You are on page 1of 10

See discussions, stats, and author profiles for this publication at: https://www.researchgate.

net/publication/375714519

Next-Generation Closed-Loop Neural Interfaces: Circuit and AI-driven


innovations

Article in IEEE Solid-State Circuits Magazine · November 2024


DOI: 10.1109/MSSC.2023.3309782

CITATIONS READS
0 126

1 author:

Mahsa Shoaran
Cornell University
66 PUBLICATIONS 931 CITATIONS

SEE PROFILE

All content following this page was uploaded by Mahsa Shoaran on 26 November 2023.

The user has requested enhancement of the downloaded file.


Mahsa Shoaran

Next-Generation
Closed-Loop
Neural Interfaces
Circuit and AI-driven innovations

N
eural interfaces have sive and noninvasive neurostimulation sorimotor function recovery and en-
opened new fron- technologies and brain–computer inter- hancing prosthetic control.
tiers in neurology faces (BCIs), hold great promise for real- Closed-loop neuromodulation sys-
and neuroscience, izing these goals. Closed-loop systems tems and BCIs combine knowledge
offering possibili- continuously monitor and modulate from neuroscience, engineering,
ties for understanding the brain and neural activity in real time, enabling and computer science to facilitate
developing therapeutic devices to precise control and targeted inter- real-time, bidirectional interaction
restore or replace lost functions. vention for symptom management, between the brain and implantable,
Among these interfaces, the closed- movement restoration, or other ther- wearable, or assistive devices. Based
loop systems, including both inva- apeutic purposes. ­ Moreover, closed- on the modality of neural signals and
loop neuromodulation is expand- the intervention approach, these tech-
Digital Object Identifier 10.1109/MSSC.2023.3309782 ing the capabilities of peripheral and nologies can be categorized into non-
Date of current version: 14 November 2023 spinal cord interfaces, improving sen- invasive and invasive systems. In the

1943-0582/23©2023IEEE
Authorized IEEE SOLID-STATE
licensed use limited to: EPFL LAUSANNE. Downloaded on November 18,2023 at 16:44:53 UTC from CIRCUITS MAGAZINE
IEEE Xplore. Restrictions FA LL 2023
apply. 41
lation with reduced risks compared
Closed-loop neuromodulation systems and
to invasive methods and enhance pa-
BCIs combine knowledge from neuroscience, tient convenience. However, noninva-
engineering, and computer science to facilitate sive approaches face challenges, such
as low efficacy and limited spatial res-
real-time, bidirectional interaction between the olution. Additionally, these ­ methods
brain and implantable, wearable, or assistive are often bulky, lack portability, and
devices. involve complex setup and position-
ing. Given the predominant use of
invasive technologies in both com-
past decade, the neuromodulation and including medication-resistant epi- mercial and research-based closed-
BCI fields have witnessed significant lepsy, dystonia, essential tremor, and loop systems, our focus in this article
growth in both academic and indus- obsessive-compulsive disorder (OCD), will be limited to invasive interfaces.
trial sectors [1], [2], [3], [4]. Neverthe- among others. While optogenetics
less, their clinical ­impact has remained has shown great promise in animal Current and Emerging
relatively limited to date. This is par- studies, its translation to human and ­Neural ­Devices
tially due to the intricate nature of clinical applications is still in its early The neuromodulation and BCI device
the human brain, challenges in device stages due to concerns related to ge- markets are expected to grow sig-
translation and validation, concerns netic modification of neurons and nificantly by 2030, driven by techno-
on long-term reliability, and the lack of associated ethical considerations. In logical advancements, the increasing
technologies with high efficacy needed invasive neural interfaces, the sens- incidence of neurological and mental
for widespread use. Overcoming these ing modality for closed-loop feedback disorders around the world, and the
challenges requires technological ad- may include high-resolution action aging population. Key industry play-
vancements, interdisciplinary collabo- potentials, or lower-frequency signals, ers like Medtronic, Boston Scientific,
rations, and extensive clinical studies such as electrocorticography (ECoG), and Abbott—as well as pioneering
to unlock the transformative potential local field potential (LFP), and stereo- neurotech companies and startups,
of neural interfaces for widespread electroencephalography (sEEG). such as NeuroPace, Blackrock Neu-
clinical applications. Conversely, there is an increasing rotech, Neuralink, Cortec, Synchron,
interest in developing noninvasive or and Paradromics—are actively in-
Invasive and Noninvasive minimally invasive technologies for volved in the development of BCI and
­Neurotechnologies individuals with milder neurologi- neurostimulation devices, with a fo-
Table 1 summarizes the neural re- cal or psychiatric conditions. These cus on invasive systems (Figure 1).
cording and stimulation modalities approaches utilize wearable scalp or The FDA-approved closed-loop
used in both invasive and noninva- subscalp EEG, functional near-infrared responsive neurostimulation (RNS)
­
sive neurotechnologies, highlighting spectroscopy [6], peripheral or be- system [5] analyzes ECoG signals from
their advantages and disadvantages. havioral measures for sensing, and up to four channels to detect and dis-
Currently, invasive ­neuromodulation noninvasive methods like focused rupt seizures, by comparing a specific
strategies based on electrical stimula- ultrasound [7], transcranial magnetic epileptic “feature” against a predeter-
tion, such as deep brain [4] and cor- stimulation [8], transcranial direct mined threshold. The AspireSR vagus
tical [5] stimulation, have emerged current stimulation, and temporal in- nerve stimulator by LivaNova is ca-
as promising therapeutic options terference [9] for brain intervention. pable of dynamically adapting stimu-
for a wide range of brain disorders, The aim is to deliver effective stimu- lation based on changes in ictal heart

TABLE 1. COMPARISON OF INVASIVE AND NONINVASIVE NEUROTECHNOLOGIES FOR NEURAL RECORDING


AND NEUROSTIMULATION.

SENSING MODALITY STIMULATION TYPE PROS CONS

Invasive AP, ECoG, LFP, stereo-EEG DBS, cortical, epicranial, High resolution, High Surgical intervention,
optogenetics efficacy, invisible higher risk and cost
Noninvasive EEG, fNIRS, subscalp EEG tDCS, focused US, TMS, TI No major surgery, safe Low resolution, low
and accessible efficacy, obtrusive
AP: action potential; DBS: deep-brain stimulation; ECoG: electrocorticography; EEG: electroencephalography; fNIRS: functional near-infrared
spectroscopy; LFP: local field potential; tDCS: transcranial direct current stimulation; TI: temporal interference; TMS: transcranial magnetic stimulation;
US: ultrasound.

42 licensed
Authorized FA L Luse
2 0 2limited
3 IEEE SOLID-STATE
to: EPFL CIRCUITS
LAUSANNE. MAGAZINE
Downloaded on November 18,2023 at 16:44:53 UTC from IEEE Xplore. Restrictions apply.
rate as a potential predictor of seizures. of thin and flexible electrode arrays and power reduction of neural inter-
Medtronic’s investigational Percept PC with over 1,000 channels, capable face circuits compared to the exist-
and Summit employ spectral analysis of recording and stimulating electri- ing designs [13], [14], integration of
and a linear discriminant function with cal activity at the level of individual closed-loop control strategies, and
a limited number of channels (four to neurons. Precision Neuroscience de- addressing electrode safety and reli-
six) for stimulation regulation [10]. velops a flexible surface implant to re- ability concerns in chronic settings.
Newronika’s AlphaDBS (with CE mark cord ECoG activity, while Synchron’s These advancements are essential
approval) utilizes simple biomark- stentrode is a minimally invasive tu- for enabling the future clinical ap-
ers of targeted movement disorders bular metallic mesh with embedded plication of these systems.
to adjust the stimulation parameters. electrodes that adheres to cerebral
The investigational Picostim DyNeuMo blood vessel walls to record popula- Closed-Loop Versus
Mk-1 device is a cranial system that in- tion-level neural activity [Figure 1(b)]. Open-Loop Stimulation
corporates three-axis accelerometers While current therapeutic appli-
for inertial sensing and closed-loop The Drive for Higher cations of neurostimulation pre-
motion-adaptive neurostimulation [Fig- Channel Counts dominantly employ the open-loop
ure 1(a)] [11]. Notably, there is a shift toward the approach, the most promising po-
In addition, we see an array of development of neural interfaces tential lies in the development of
neurotech startups in the BCI space, with substantially greater electrode closed-loop or bidirectional technol-
as shown in Figure 1(b). For instance, counts than those typically found in ogies for optimal patient outcomes.
Blackrock Neurotech and Paradromics commercial systems. Major contrib- For instance, deep-brain stimulation
develop devices that consist of small utors to this trend include Neuropix- (DBS) has gained FDA approval as a
silicon-based structures with multiple els, Neuralink, and Paradromics. The therapy for essential tremor, Parkin-
microelectrodes that can be inserted imec’s Neuropixels probe prioritizes son’s disease (PD), epilepsy, OCD,
into specific brain regions, such as fundamental neuroscience research and dystonia [15]. However, the
the motor cortex. Since the first hu- and the measurement of large-scale conventional open-loop DBS in PD
man implantation of Blackrock’s Utah brain activity, which explains its rel- leads to persistent side effects, such
array in 2005, over 30 patients have atively high power consumption and as gait and speech impairments, as
participated in ongoing clinical trials, lack of neuromodulation capability well as psychiatric complications.
utilizing one or more implants to con- [12]. In contrast, Neuralink and Par- These issues primarily arise from
trol prosthetic devices [1]. Paradromics adromics strive to develop fully im- the continuous delivery of high-fre-
develops electrode arrays with thou- plantable BCIs with potential clinical quency (~130 Hz) stimulation, dis-
sands of channels, enabling advanced applications, although specific neu- regarding the dynamically varying
capabilities for high-resolution re- rological indications have not yet clinical state of the patients.
cording and stimulation of neural ac- been defined. Achieving this goal In a pioneering study [16], elec-
tivity. The Neuralink devices consist requires significant miniaturization trophysiological biomarkers of PD,

NeuroPace RNS AspireSR VNS Medtronic Percept PC AlphaDBS DyNeuMo

Flexible Leods
(x2)

Electrodes
(x4)

Implantable
Generator

(a)

Blackrock Neurotech Synchron Paradromics Neuralink Precision Neuroscience

(b)

FIGURE 1: (a) Closed-loop neuromodulation devices (commercially available and investigational) for epilepsy and movement disorders.
(b) Examples of neurotech startups and companies building invasive neural devices for BCI and neuromodulation.

IEEE SOLID-STATE
Authorized licensed use limited to: EPFL LAUSANNE. Downloaded on November 18,2023 at 16:44:53 UTC from CIRCUITS MAGAZINE
IEEE Xplore. Restrictions FA LL 2023
apply. 43
such as the beta-band power of LFP The adaptive DBS resulted in sig- less than half the energy and reduc-
within the 13- to 30-Hz range, were nificant improvements in patients’ ing speech side effects [Figure 2(b)]
utilized in an adaptive or closed-loop motor scores compared to continu- [16], [17]. Moreover, it demonstrated
manner to control DBS [Figure 2(a)]. ous stimulation, while delivering superior performance compared to
random intermittent stimulation.
Biomarker-driven closed-loop con-
trol has also found widespread ap-
Amplified, Digitally Filtered Over Beta
Band (13–30 Hz), and Thresholded LFPs plication in epilepsy [5], [18], [19],
where seizure biomarkers from
ECoG or intracranial EEG can trigger
stimulation for seizure prevention,
Stimulation Trigger as in the RNS device. Similarly, in
the context of Tourette’s syndrome
DBS and treatment-resistant depression,
DBS Trigger stimulation can be activated based
on features linked to tic onset [20] or
Beta Filtered LFP
mood state [21]. In general, closed-
(a) loop stimulation offers the potential
for more effective stimulation com-
pared to its open-loop alternatives,

resulting in reduced side effects and
% Motor Improvement


0 ∗ 80
improved energy efficiency, thereby
Speech Intelligibility

Speech
–20 extending the device’s battery life.

Deterioration
70 Baseline
–40
AI-Enabled Closed-Loop
60
–60 Neuromodulation
∗ Despite its advantages over open-
–80 50
cDBS aDBS Random Off DBS aDBS cDBS
loop stimulation, the simple method
of thresholding individual biomark-
(b) ers may not be optimal for closed-loop
control. Accurate symptom prediction
FIGURE 2: (a) The concept of adaptive DBS (aDBS) for PD [16]. Bipolar LFP is filtered within often necessitates multiple biomark-
the beta band and smoothed. When the beta power crosses a predefined threshold, stimula-
ers from different input channels,
tion is triggered in a monopolar configuration between the bipolar recording electrodes.
Stimulation ceases when the beta power drops below the threshold. (b) Improvements in increasing system complexity. Fur-
clinical motor score (Unified PD Rating Scale), and the speech intelligibility for various stimu- thermore, recent studies [22], [23],
lation conditions on eight Parkinsonian patients. Modified from [16] and [17]. *Significant [24], [25], [26], [27] highlight the poten-
differences after applying false discovery rate for multiple comparisons correction. cDBS: tial of personalized decoders, particu-
continuous deep-brain stimulation.
larly those utilizing modern machine
learning (ML), for reliable decoding of
brain states in movement disorders
[25], [28], epilepsy [29], [30], [31], [32],
Closed-Loop Implant depression [24], migraine [26], and
Off-Chip memory [22], as well as enhanced
Amplifiers, ADC
Neural Input motor decoding for BCI [23], [33],
Symptom Detection

[34], [35]. However, AI-based closed-


Biomarker Model
Extraction loop control that utilizes external
Training
or cloud-based computing resources
Machine may lead to high telemetry power
Learning and prolonged loop latency, hinder-
ing real-time feedback.
Therapeutic
Stimulation Alternatively, Figure 3 illustrates
Feedback
a conceptual framework for a closed-
loop neural interface empowered by
FIGURE 3: The framework for AI-driven closed-loop stimulation in neural interfaces: On-chip AI. This framework integrates on-chip
biomarker extraction and ML processor facilitate rapid symptom detection and therapeutic biomarker extraction and advanced
feedback, while model training is performed externally. ML algorithms, facilitating real-time

44 licensed
Authorized FA L Luse
2 0 2limited
3 IEEE SOLID-STATE
to: EPFL CIRCUITS
LAUSANNE. MAGAZINE
Downloaded on November 18,2023 at 16:44:53 UTC from IEEE Xplore. Restrictions apply.
decision making within the neural
In general, closed-loop stimulation offers
implant itself, as implemented in a
number of seizure control system- the potential for more effective stimulation
on-chips (SoCs) in recent years [2], compared to its open-loop alternatives,
[29], [30], [31], [32], [36], [37], [38]. Le-
veraging the power of AI in complex
resulting in reduced side effects and improved
pattern recognition, this framework energy efficiency.
holds the potential for broader appli-
cations beyond epilepsy and PD in the
future. It may be utilized to predict is a complex challenge. This requires In the following, we introduce a
and enhance cognitive p ­ erformance, a careful selection of the ML model cutting-edge closed-loop neural in-
detect and improve memory dys- and extensive co-optimization of al- terface SoC [37], [38] that leverages
function, classify and regulate mood gorithms and hardware to meet both modern ML and mixed-signal IC de-
state, decode and facilitate intention- accuracy and energy requirements. sign techniques to achieve exception-
al movements in paralyzed patients Current closed-loop systems, pri- al energy efficiency, channel density,
or amputees, and more. marily designed for epilepsy and versatility, and intelligence, setting
Table 2 shows the frequently used PD, have limited channel count and new benchmarks in the field.
biomarkers and ML algorithms em- minimal on-device processing. This
ployed for symptom detection and compromises their accuracy and lim- NeuralTree: Redefining Efficiency,
motor decoding in various brain dis- its their application in more complex Versatility, and Scalability in Neural
orders and BCI applications in the indications that require extensive Interfaces
literature. As illustrated in Table 2, measurement of widespread brain For closed-loop neuromodulation to
a wide spectrum of biomarkers with networks using large-scale ECoG be effective, we need a precise mea-
varying complexity levels exists, and/or LFP recording. One potential surement of the source of pathologi-
including features extracted in the solution is to enhance the decod- cal neural activity to enable targeted
time, frequency, and phase domains. ing accuracy by increasing the num- stimulation of specific brain regions
These biomarkers underlie the disease ber and distribution of electrodes, as for symptom suppression. In the
state in diverse neurological condi- promised by technologies, such as context of epileptic seizure detec-
tions and across patients. This diversi- Neuralink. Yet to date, the process- tion, for instance, high spatial-res-
ty emphasizes the crucial requirement ing of large-scale neural signals has olution ECoG recording allows for
for programmable SoC architectures predominantly been performed off- precise localization of seizure foci
that can flexibly accommodate the op- implant [13], [23], [33], [39], necessi- [40] and improves the performance
timal feature set specific to an indica- tating the transmission of massive of ML-based seizure detectors. In
tion and patient during runtime, while data streams via physical or wire- the management of movement dis-
supporting multiple classification less links with dedicated power re- orders like essential tremor and
tasks with high accuracy and minimal sources. Development of a compact, PD, increasing the number of DBS
delay. In particular, achieving high ac- energy-efficient, and high-density contacts can enhance tremor sup-
curacy with on-chip AI models, while AI-driven system has the potential to pression and minimize side effects
simultaneously enabling low-power significantly impact the closed-loop caused by off-target, nonspecific
operation and prolonged battery life, neuromodulation and BCI fields. stimulation. Similarly, high-resolution

TABLE 2. LIST OF RELEVANT BIOMARKERS AND ML MODELS WIDELY USED FOR SYMPTOM DETECTION AND MOVEMENT
DECODING IN NEUROLOGICAL AND PSYCHIATRIC DISORDERS.

MEMORY AND
DISORDERS AND MOVEMENT PSYCHIATRIC
BIOMAKERS EPILEPSY DISORDERS DISORDERS BCI

Biomakers Line length, spectral energy Spectral energy Spectral energy features, Spectral energy
features, phase-locking value, features, Hjorth phase-amplitude features,
phase-amplitude coupling Hjorth parameters, fast and coupling, phase-locking local motor
parameters slow high-frequency value, coherence potential, Hjorth
oscillations (HFO), parameters
HFO ratio, tremor
power, phase-
amplitude coupling
ML Models Support vector machines, XGBoost, LightGBM, random forest, logistic regression, K-nearest neighbors, multilayer
perceptron, convolutional neural network, long short-term memory, spiking neural network

IEEE SOLID-STATE
Authorized licensed use limited to: EPFL LAUSANNE. Downloaded on November 18,2023 at 16:44:53 UTC from CIRCUITS MAGAZINE
IEEE Xplore. Restrictions FA LL 2023
apply. 45
SoC
Dense
DBS Lead Cortical Grid 4 mm
2.9 mm
High-Density Disease-Specific

256-Ch. TDM DSL


TDM

Memory
3.17 kB
CS-TDM AFE
Multisite Sensing Biomarkers FEE

1.2 mm
256-Ch.

NeuralTree
16-Ch.

2 mm
Stimulator
Stimulation/ ML Classifier
ion Prosthetic Control (Binary/Multiclass)
ot trol TDM FIR
M n
Co Versatile Closed-Loop Neural Interface Test Blocks and Flip-Chip Pad Array

(a)
Energy-Aware Regularization
Feature Power Feature Distribution
Low Temporal
CH1
CH2 64-Ch 16.6%
Spectral

y
CH3 TDM AFE

e Tr Activit
81.8%
Dual MUX-CHOP

1.6%

ng
High Phase
64-Ch

aini
16 × 16

al
TDM AFE
eura Neur
Switch Matrix
Network Pruning
64-Ch lTre
for N ensity

CH254 TDM AFE f1 fp f2 fp f64


Energy-Aware
CH255
w2 Pruning
h-D

CH256 64-Ch w1 w64


TDM AFE Extracted
Hig

Column Decoder fi
Sigmoid Features
fp Pruned
p = σ (fTw – TH.) Features
(b)

CH1 TDM FEE Single-Path Inference


CH2 LL
CH3
Class Labels

Hjorth
Dual MUX-CHOP

LMP
16 × 16
SE
Y

Switch Matrix 64-Ch HFOR


TDM AFE
CH254 PLV
CH255 PAC
CH256
N

Column Decoder MUX

Memory NeuralTree Hardware (≤ 64 MACs/window)


(2.93 kB) Feature Vector
Y

Channel Index f64 ... f3 f2 f1


Node Addr
Feature Type Weight Vector × + Z –1
Weight w64 ... w3 w2 w1 TH. Decision
Class

Threshold LUT
Dominant Class
(c)

FIGURE 4: NeuralTree: An intelligent, ML-driven closed-loop neural interface [37], [38]. (a) Conceptual system-level diagram, h ­ ighlighting
high-channel-count cortical arrays and depth leads for high-density sensing and stimulation. (b) NeuralTree’s training process involves
256-channel ECoG/LFP sensing, energy-aware regularization, and network pruning. (c) Dynamic inference using an on-chip, versatile,
tree-structured neural network supporting neural signal classification for epilepsy, movement disorders, and BCI. AFE: analog front-end;
FEE: feature extraction engine; HFO: high-frequency oscillation; LL: line length; LMP: local motor potential; LUT: lookup table;
MUX: multiplexer; PAC: phase-amplitude coupling; PLV: phase-locking value; SE: spectral energy; TDM: time-division multiplexing.

46 licensed
Authorized FA L Luse
2 0 2limited
3 IEEE SOLID-STATE
to: EPFL CIRCUITS
LAUSANNE. MAGAZINE
Downloaded on November 18,2023 at 16:44:53 UTC from IEEE Xplore. Restrictions apply.
sensing can significantly improve
Leveraging the power of AI in complex pattern
the precision of motor decoding in
BCI and enable more natural control recognition, this framework holds the potential
of prosthetic devices. However, the for broader applications beyond epilepsy and
stringent energy and space limita-
tions in implantable systems pose
PD in the future.
significant challenges for integrating
high-accuracy biomarker extraction
and ML, as well as high-density sens- the limitations of current closed- [Figure 4(c)]. This approach en-
ing and stimulation on the same chip. loop systems. Importantly, to facili- ables high-resolution training and
Our AI-enabled closed-loop neural tate high-density and scalable neural channel-selective inference, as it is
interface, the NeuralTree [37], [38], activity processing with ultralow common for only a subset of chan-
is designed to overcome the limita- power and minimal area, we have nels to contribute to the underlying
tions of existing systems. It features developed a unique implementation disease state.
a high channel-count (i.e., 256 chan- featuring four 64-channel mixed- By focusing on relevant chan-
nel) sensing array, compatible with signal front ends with time-division nels during inference, we can opti-
high-density cortical and subcorti- multiplexing (TDM). These four front mize the system’s performance and
cal electrodes [Figure 4(a)], enabling ends enable the processing of 256 computational efficiency. The Neu-
the collection of neural activity from channels and incorporate a novel dc ralTree classifier also incorporates
distributed brain regions associated servo loop for two-step, rapid off- an innovative energy-aware regular-
with the targeted disease. Addition- set cancellation [38]. Each front-end ization approach that discourages
ally, the system provides program- channel occupies only 0.004 mm2 of the use of computationally intensive
mable and responsive stimulation area and consumes 1.51 μW of pow- features [Figure 4(b)], allowing for
for precise therapeutic intervention. er. After training the ML model with an optimal tradeoff between energy
The direct embedding of a versatile high-resolution 256-channel neural consumption and accuracy during
biomarker extraction and ML model data [Figure 4(b)], 64 channels corre- inference [41].
on the chip enables the detection of sponding to the features of pruned The flexible SoC can compute a
various neurological conditions, ex- neural networks are amplified and diverse set of spectral-, time-, and
tending beyond epileptic seizures. directed to the biomarker extrac- phase-domain [42], [43] neural bio-
The NeuralTree SoC shown in tion and ML modules during infer- markers tailored to the patient and
­Figure 4(a) presents novel circuit ence, following the active nodes of disease characteristics, as depicted in
and algorithmic solutions to address a probabilistic decision tree [35] Figure 5. The probabilistic NeuralTree

Temporal Features Epilepsy


Amplitude

LL
Hjorth Parameters (ACT, MOB, COM)
LMP
Time
PLV LL

Spectral Features
Magnitude

SE PAC
SE
HFO Ratio
Frequency
F ACT

HFO
Ratio MOB LMP
Phase Features COM
Phase

PLV
PAC
Time Parkinson’s Finger Movement

FIGURE 5: Multidomain neural biomarkers integrated on the closed-loop NeuralTree SoC [37], [38], supporting various symptom detection
and classification tasks in epilepsy, movement disorders, and BCI. ACT: activity; MOB: mobility; COM: complexity.

IEEE SOLID-STATE
Authorized licensed use limited to: EPFL LAUSANNE. Downloaded on November 18,2023 at 16:44:53 UTC from CIRCUITS MAGAZINE
IEEE Xplore. Restrictions FA LL 2023
apply. 47
References
Development of a compact, energy-efficient, [1] L. Drew, “Decoding the business of brain–
computer interfaces,” Nature Electron.,
and high-density AI-driven system has the vol. 6, no. 2, pp. 90–95, Feb. 2023, doi:
10.1038/s41928-023-00929-9.
potential to significantly impact the closed-loop [2] B. Zhu, U. Shin, and M. Shoaran, “Closed-
loop neural prostheses with on-chip in-
neuromodulation and BCI fields. telligence: A review and a low-latency
machine learning model for brain state de-
tection,” IEEE Trans. Biomed. Circuits Syst.,
vol. 15, no. 5, pp. 877–897, Oct. 2021, doi:
10.1109/TBCAS.2021.3112756.
supports both multiclass detection vasiveness and prolonging battery [3] M. Shaeri, A. Afzal, and M. Shoaran, “Chal-
tasks for BCI applications (e.g., six- life. Furthermore, the incorporation lenges and opportunities of edge AI for
next-generation implantable BMIs,” in
class finger movement and rest) and of intricate biomarkers and advanced Proc. IEEE 4th Int. Conf. Artif. Intell. Cir-
binary classification for seizure and ML models enhances decoding accu- cuits Syst. (AICAS), Jun. 2022, pp. 190–193,
doi: 10.1109/AICAS54282.2022.9870008.
tremor detection, using only 2.93 kB racy. Potential avenues for improve- [4] J. K. Krauss et al., “Technology of deep
of on-chip memory. The therapeu- ment include the incorporation of brain stimulation: Current status and fu-
ture directions,” Nature Rev. Neurol., vol.
tic loop is completed by a compact low-power front-end circuits capable 17, no. 2, pp. 75–87, Feb. 2021, doi: 10.1038/
16-channel high-voltage–compliant of cancelling large stimulation arti- s41582-020-00426-z.
[5] F. T. Sun and M. J. Morrell, “The RNS sys-
neurostimulator. facts, the integration of dynamics- tem: Responsive cortical stimulation
The NeuralTree system incorporates aware ML models to accommodate for the treatment of refractory partial
epilepsy,” Expert Rev. Med. Devices, vol.
cutting-edge circuit and algorithmic neural signal fluctuations over time, 11, no. 6, pp. 563–572, Nov. 2014, doi:
techniques, such as end-to-end TDM, and the integration of wireless data 10.1586/17434440.2014.947274.
[6] N. Naseer and K.-S. Hong, “fNIRS-based
feature approximations, and energy- communication (for model training brain-computer interfaces: A review,”
aware learning, resulting in a new and chip configuration) and wireless Frontiers Hum. Neurosci., vol. 9, Jan. 2015,
Art. no. 3. Accessed: Jul. 15, 2023. [On-
class of energy and area efficiency. power transfer circuits with the bidi- line]. Available: https://www.frontiersin.
The chip was successfully verified rectional neuromodulation system. org/articles/10.3389/fnhum.2015.00003.
[7] P. Bowary and B. D. Greenberg, “Noninva-
using human epilepsy and PD datas- Furthermore, exploring the poten- sive focused ultrasound for neuromodu-
ets, and in vivo in a rat epilepsy mod- tial of brain-inspired neuromorphic lation: A review,” Psychiatric Clinics, vol.
41, no. 3, pp. 505–514, Sep. 2018, doi:
el, using soft ECoG electrodes [38]. computing models, such as spiking 10.1016/j.psc.2018.04.010.
The 256-channel SoC has a small neural networks (SNNs) for disease [8] M. Hallett, “Transcranial magnetic stimu-
lation: A primer,” Neuron, vol. 55, no. 2,
active area of 3.48 mm2 in a 65-nm prediction [44], [45] and BCI con- pp. 187–199, Jul. 2007, doi: 10.1016/j.neu-
TSMC process and achieves a system trol [46] can open new horizons for ron.2007.06.026.
[9] N. Grossman et al., “Noninvasive deep
energy efficiency of 0.227 µJ/class, even more efficient, low-power, and brain stimulation via temporally interfer-
4.3-times better than state-of-the- adaptable closed-loop interfaces in ing electric fields,” Cell, vol. 169, no. 6,
pp. 1029–1041, Jun. 2017, doi: 10.1016/
art ML-embedded neural interfaces. the future [47], [48]. The remarkable j.cell.2017.05.024.
Indeed, the NeuralTree SoC uses sig- energy efficiency of neuromorphic [10] W. Bouthour, P. Mégevand, J. Donoghue, C.
Lüscher, N. Birbaumer, and P. Krack, “Bio-
nificantly less power and smaller systems [45] is rooted in their utili- markers for closed-loop deep brain stimula-
footprint per channel compared to the zation of spike-based computational tion in Parkinson disease and beyond,” Na-
ture Rev. Neurol., vol. 15, no. 6, pp. 343–352,
state-of-the-art closed-loop systems, paradigms, alongside the intrinsic Jun. 2019, doi: 10.1038/s41582-019-0166-4.
while supporting 64-times more error resilience exhibited by SNNs [11] M. Zamora et al., “DyNeuMo Mk-1: Design
and pilot validation of an investigational
sensing channels than the RNS and that can facilitate ultralow-power motion-adaptive neurostimulator with
Percept devices. Its modular architec- subthreshold operation. integrated chronotherapy,” Exp. Neurol.,
vol. 351, May 2022, Art. no. 113977, doi:
ture allows for easy scalability and 10.1016/j.expneurol.2022.113977.
customization based on the contri- Acknowledgment [12] N. A. Steinmetz et al., “Neuropixels 2.0: A
miniaturized high-density probe for sta-
butions of different input channels In-vivo experiments were conducted ble, long-term brain recordings,” Science,
and signal modalities, and ensures in accordance with ethical protocols vol. 372, no. 6539, Apr. 2021, Art. no.
eabf4588, doi: 10.1126/science.abf4588.
optimal performance tailored to the and regulations of the Veterinary [13] D.-Y. Yoon, S. Pinto, S. Chung, P. Merolla,
unique characteristics of each dis- Office of the Canton of Geneva, T.-W. Koh, and D. Seo, “A 1024-channel
simultaneous recording neural SoC with
ease and patient. Switzerland. This work was partially stimulation and real-time spike detec-
The NeuralTree system outlined funded by the Swiss State Secretariat tion,” in Proc. Symp. VLSI Circuits, Jun.
2021, pp. 1–2, doi: 10.23919/VLSICircuits52068.
above offers unique opportunities for Education, Research, and Inno- 2021.9492480.
for closed-loop AI-controlled disease vation under Contract SCR0548363. [14] K. Sahasrabuddhe et al., “The Argo: A high
channel count recording system for neu-
management in both established and I thank my students and collabora- ral recording in vivo,” J. Neural Eng., vol.
emerging neurological indications, tors at the Swiss Federal Institute of 18, no. 1, Feb. 2021, Art. no. 015002, doi:
10.1088/1741-2552/abd0ce.
as well as future BCI systems. The Technology Lausanne, particularly [15] A. M. Lozano et al., “Deep brain stimula-
SoC’s remarkably low-power con- Uisub Shin, for their contributions tion: Current challenges and future direc-
tions,” Nature Rev. Neurol., vol. 15, no.
sumption and compact design favors to the NeuralTree project described 3, pp. 148–160, Mar. 2019, doi: 10.1038/
implantable systems by reducing in- in this article. s41582-018-0128-2.

48 licensed
Authorized FA L Luse
2 0 2limited
3 IEEE SOLID-STATE
to: EPFL CIRCUITS
LAUSANNE. MAGAZINE
Downloaded on November 18,2023 at 16:44:53 UTC from IEEE Xplore. Restrictions apply.
[16] S. Little et al., “Adaptive deep brain stim- [29] J. Yoo, L. Yan, D. El-Damak, M. A. B. Al- [40] M. Shoaran, M. H. Kamal, C. Pollo, P. Van-
ulation in advanced Parkinson disease,” taf, A. H. Shoeb, and A. P. Chandrakasan, dergheynst, and A. Schmid, “Compact
Ann. Neurol., vol. 74, no. 3, pp. 449–457, “An 8-channel scalable EEG acquisition low-power cortical recording architec-
Sep. 2013, doi: 10.1002/ana.23951. SoC with patient-specific seizure clas- ture for compressive multichannel data
[17] S. Little et al., “Adaptive deep brain stimula- sification and recording processor,” ­acquisition,” IEEE Trans. Biomed. Circuits
tion for Parkinson’s disease demonstrates IEEE J. Solid-State Circuits, vol. 48, no. 1, Syst., vol. 8, no. 6, pp. 857–870, Dec. 2014,
reduced speech side effects compared to pp. 214–228, Jan. 2013, doi: 10.1109/JSSC. doi: 10.1109/TBCAS.2014.2304582.
conventional stimulation in the acute set- 2012.2221220. [41] B. Zhu, M. Taghavi, and M. Shoaran, “Cost-
ting,” J. Neurol. Neurosurg. Psychiatry, vol. [30] M. Shoaran, B. A. Haghi, M. Taghavi, M. efficient classification for neurological
87, no. 12, pp. 1388–1389, Dec. 2016, doi: Farivar, and A. Emami-Neyestanak, “En- disease detection,” in Proc. IEEE Biomed.
10.1136/jnnp-2016-313518. ergy-efficient classification for resource- Circuits Syst. Conf. (BioCAS), Oct. 2019, pp.
[18] M. Shoaran et al., “A 16-channel 1.1 mm2 constrained biomedical applications,” 1–4, doi: 10.1109/BIOCAS.2019.8918702.
implantable seizure control SoC with IEEE J. Emerg. Sel. Topics Circuits Syst., [42] U. Shin, C. Ding, V. Woods, A. S. Widge,
sub-μW/channel consumption and closed- vol. 8, no. 4, pp. 693–707, Dec. 2018, doi: and M. Shoaran, “A 16-channel low-power
loop stimulation in 0.18 µm CMOS,” in Proc. 10.1109/JETCAS.2018.2844733. neural connectivity extraction and phase-
IEEE Symp. VLSI Circuits (VLSI-Circuits), [31] G. O’Leary, D. M. Groppe, T. A. Valiante, locked deep brain stimulation SoC,” IEEE
Jun. 2016, pp. 1–2, doi: 10.1109/VLSIC. N. Verma, and R. Genov, “NURIP: Neural Solid-State Circuits Lett., vol. 6, pp. 21–24,
2016.7573557. interface processor for brain-state clas- Jan. 2023, doi: 10.1109/LSSC.2023.3238797.
[19] M. Shoaran, C. Pollo, K. Schindler, and A. sification and programmable-waveform [43] U. Shin, C. Ding, L. Somappa, V. Woods,
Schmid, “A fully integrated IC with 0.85-μW/ neurostimulation,” IEEE J. Solid-State Cir- A. S. Widge, and M. Shoaran, “A 16-channel
channel consumption for epileptic iEEG cuits, vol. 53, no. 11, pp. 3150–3162, Nov. 60 µW neural synchrony processor for
detection,” IEEE Trans. Circuits Syst., II, 2018, doi: 10.1109/JSSC.2018.2869579. multi-mode phase-locked neurostimu-
Exp. Briefs, vol. 62, no. 2, pp. 114–118, Feb. [32] A. Chua, M. I. Jordan, and R. Muller, lation,” in Proc. IEEE Custom Integr. Cir-
2015, doi: 10.1109/TCSII.2014.2387652. “SOUL: An energy-efficient unsupervised cuits Conf. (CICC), Apr. 2022, pp. 1–2, doi:
[20] M. S. Okun et al., “A trial of scheduled online learning seizure detection classifi- 10.1109/CICC53496.2022.9772806.
deep brain stimulation for Tourette syn- er,” IEEE J. Solid-State Circuits, vol. 57, no. [44] M. Sharifshazileh, K. Burelo, J. Sarnthein,
drome: Moving away from continuous 8, pp. 2532–2544, Aug. 2022, doi: 10.1109/ and G. Indiveri, “An electronic ­neuromorphic
deep brain stimulation paradigms,” JAMA JSSC.2022.3172231. system for real-time detection of high fre-
Neurol., vol. 70, no. 1, pp. 85–94, Jan. [33] F. R. Willett, D. T. Avansino, L. R. Hoch- quency oscillations (HFO) in intracranial
2013, doi: 10.1001/jamaneurol.2013.580. berg, J. M. Henderson, and K. V. Shenoy, EEG,” Nature Commun., vol. 12, no. 1, May
[21] K. W. Scangos et al., “Closed-loop neuro- “High-performance brain-to-text commu- 2021, Art. no. 3095, doi: 10.1038/s41467-021-
modulation in an individual with treat- nication via handwriting,” Nature, vol. 23342-2.
ment-resistant depression,” Nature Med., 593, no. 7858, pp. 249–254, May 2021, doi: [45] M. Ronchini, Y. Rezaeiyan, M. Zamani,
vol. 27, no. 10, pp. 1696–1700, Oct. 2021, 10.1038/s41586-021-03506-2. G. Panuccio, and F. Moradi, “NET-TEN: A
doi: 10.1038/s41591-021-01480-w. [34] L. Yao, B. Zhu, and M. Shoaran, “Fast and silicon neuromorphic network for low-­
[22] Y. Ezzyat et al., “Closed-loop stimulation accurate decoding of finger movements latency detection of seizures in local field
of temporal cortex rescues functional from ECoG through Riemannian features potentials,” J. Neural Eng., vol. 20, no. 3,
networks and improves memory,” Nature and modern machine learning tech- Jun. 2023, Art. no. 036002, doi: 10.1088/
Commun., vol. 9, no. 1, Feb. 2018, Art. no. niques,” J Neural Eng., vol. 19, no. 1, Feb. 1741-2552/acd029.
365, doi: 10.1038/s41467-017-02753-0. 2022, Art. no. 016037, doi: 10.1088/1741- [46] H. Fares, M. Ronchini, M. Zamani, H.
[23] H. Lorach et al., “Walking naturally after 2552/ac4ed1. Farkhani, and F. Moradi, “In the realm of
spinal cord injury using a brain–spine [35] B. Zhu, M. Farivar, and M. Shoaran, “ResOT: hybrid Brain: Human brain and AI,” Oct.
interface,” Nature, vol. 618, no. 7963, pp. Resource-efficient oblique trees for neu- 2022, arXiv:2210.01461.
126–133, Jun. 2023, doi: 10.1038/s41586- ral signal classification,” IEEE Trans. [47] C. Fang, C. Wang, S. Zhao, F. Tian, J. Yang,
023-06094-5. Biomed. Circuits Syst., vol. 14, no. 4, pp. 692– and M. Sawan, “A 510 μW 0.738-mm 2
[24] O. G. Sani, Y. Yang, M. B. Lee, H. E. Dawes, E. F. 704, Aug. 2020, doi: 10.1109/TBCAS.2020. 6.2-pJ/SOP online learning multi-topolo-
Chang, and M. M. Shanechi, “Mood variations 3004544. gy SNN processor with unified computa-
decoded from multi-site intracranial hu- [36] M. A. Bin Altaf and J. Yoo, “A 1.83 μJ/clas- tion engine in 40-nm CMOS,” IEEE Trans.
man brain activity,” Nature Biotechnol., sification, 8-channel, patient-specific epi- Biomed. Circuits Syst., vol. 17, no. 3, pp.
vol. 36, no. 10, pp. 954–961, Nov. 2018, leptic seizure classification SoC using a 507–520, Jun. 2023, doi: 10.1109/TBCAS.
doi: 10.1038/nbt.4200. non-linear support vector machine,” IEEE 2023.3279367.
[25] L. Yao, P. Brown, and M. Shoaran, “Im- Trans. Biomed. Circuits Syst., vol. 10, no. 1, [48] J. Yoo and M. Shoaran, “Neural interface
proved detection of Parkinsonian resting pp. 49–60, Feb. 2016, doi: 10.1109/TBCAS. systems with on-device computing: Ma-
tremor with feature engineering and Kal- 2014.2386891. chine learning and neuromorphic archi-
man filtering,” Clin. Neurophysiol., vol. [37] U. Shin et al., “A 256-channel 0.227 µJ/class tectures,” Current Opinion Biotechnol., vol.
131, no. 1, pp. 274–284, Jan. 2020, doi: versatile brain activity classification and 72, pp. 95–101, Dec. 2021, doi: 10.1016/
10.1016/j.clinph.2019.09.021. closed-loop neuromodulation SoC with j.copbio.2021.10.012.
[26] B. Zhu, G. Coppola, and M. Shoaran, “Mi- 0.004 mm2-1.51 µW/channel fast-settling
graine classification using somatosen- highly multiplexed mixed-signal front-
sory evoked potentials,” Cephalalgia, vol. end,” in Proc. IEEE Int. Solid-State Circuits About the Author
39, no. 9, pp. 1143–1155, Aug. 2019, doi: Conf. (ISSCC), Feb. 2022, pp. 338–340, doi: Mahsa Shoaran (mahsa.shoaran@
10.1177/0333102419839975. 10.1109/ISSCC42614.2022.9731776.
[27] L. Yao, J. L. Baker, N. D. Schiff, K. P. Pur- [38] U. Shin et al., “NeuralTree: A 256-channel epfl.ch) is an assistant professor in
pura, and M. Shoaran, “Predicting task 0.227-μJ/class versatile neural activity electrical engineering and Neuro-X
performance from biomarkers of mental classification and closed-loop neuromod-
fatigue in global brain activity,” J. Neural ulation SoC,” IEEE J. Solid-State Circuits, and director of the Integrated Neuro-
Eng., vol. 18, no. 3, Mar. 2021, Art. no. vol. 57, no. 11, pp. 3243–3257, Nov. 2022, technologies Laboratory at the Swiss
036001, doi: 10.1088/1741-2552/abc529. doi: 10.1109/JSSC.2022.3204508.
[28] L. Yao, P. Brown, and M. Shoaran, “Resting [39] J. D. Simeral et al., “Home use of a per- Federal Institute of Technology Lau-
tremor detection in Parkinson’s disease cutaneous wireless intracortical brain- sanne, 1015 Lausanne, Switzerland.
with machine learning and Kalman filter- computer interface by individuals with
ing,” in Proc. IEEE Biomed. Circuits Syst. tetraplegia,” IEEE Trans. Biomed. Eng., vol. She is a Senior Member of IEEE.
Conf. (BioCAS), Oct. 2018, pp. 1–4, doi: 68, no. 7, pp. 2313–2325, Jul. 2021, doi:
10.1109/BIOCAS.2018.8584721. 10.1109/TBME.2021.3069119. 

IEEE SOLID-STATE
Authorized licensed use limited to: EPFL LAUSANNE. Downloaded on November 18,2023 at 16:44:53 UTC from CIRCUITS MAGAZINE
IEEE Xplore. Restrictions FA LL 2023
apply. 49
View publication stats

You might also like