You are on page 1of 1

latch_nand library ieee; use ieee.std_logic_1164.all; ibrary altera; use altera.altera_primitives_components.

all; -----------------------------Entity tipo_NAND is Port ( snand, rnand: in bit; Q: buffer bit); End tipo_NAND; Architecture funcionamiento of tipo_NAND is Begin Process (snand, rnand) Begin If snand = '0' then elsif rnand = '0' then else end if; end process; end funcionamiento;

Q <= '1'; Q <= '0'; Q <= Q;

Pgina 1

You might also like