You are on page 1of 6

Write a VHDL behavioural

code to generate a clock


signal of period of 20ns using
wait statement
What if a process doesn’t
have a sensitivity list?
• It is possible for a process not to have an explicit sensitivity list. In
such case the process may have one or more wait statements.
• It must have at least one wait statement, otherwise, the process will
never get suspended and would remain in an infinite loop during the
initialization phase of simulation
• It is an error if both the sensitivity list and a wait statement are
present within a process.
• The presence of a sensitivity list in a process implies the presence of
an implicit “wait on sensitivity-list” statement as the last statement in
the process.
Write the below process
statement without using
sensitivity list

You might also like