You are on page 1of 59

( )

Burn-in, burn-in:

DEC15
Issue21/2005
Issue 24/2009
dc inferno Pg 56
EDN.comment: Semi-
conductors, emerging
www.edn.com
markets, and the self-
interest of survival Pg 6
Baker’s Best Pg 18
Inside a cellular
femtocell Pg 20

VOICE O F T HE ENGINEE R Design Ideas Pg 45

MODEL-BASED
DESIGN AND EARLY
VERIFICATION
AID DESIGNERS
Page 22

ANALOG FLOATING-GATE
TECHNOLOGY
COMES INTO ITS OWN
Page 29

HOT 100
PRODUCTS
OF 2009
Page 37

PRECISION
EQUALIZATION
AND TEST
BRING HIGH-
PERFORMANCE,
LOW-COST
CABLING
Page 40
WHEN DESIGNING OUR MICROCONTROLLERS WE FIND

INSPIRATION RIGHT IN
OUR OWN BACKYARD.

WORKER SILICON
LICON LAB
LABS
ANT D-SIGNAL M
MIXED-SIGNAL MCU

2 MM – 25 MM AS SMALL AS 2 MM X 2 MM

CAPABLE OF CARRYING UP TO MORE PROCESSING POWER THAN


20 TIMES THEIR OWN BODY WEIGHT MCUs MANY TIMES THE SIZE

COMMUNICATE THROUGH COMMUNICATE THROUGH STANDARD


PHEROMONES, CHEMORECEPTORS, COMMUNICATIONS INTERFACES
AND HIGHLY SENSITIVE ANTENNAE (USB, UART, CAN, LIN, I2C, SPI)

INTEGRATED ANALOG PERIPHERALS


HIGHLY EFFICIENT BODY DESIGNED
OPTIMIZED TO DELIVER MAXIMUM SYSTEM
FOR MAXIMUM VERSATILITY
PERFORMANCE AND DESIGN FLEXIBILITY

CAN OPERATE OFF A SINGLE-CELL


CAN SURVIVE WITHOUT FOOD OR WATER
BATTERY, CONSUMING SIGNIFICANTLY LESS
FOR EXTENDED PERIODS OF TIME
POWER THAN COMPETITIVE SOLUTIONS

THESE SOCIAL INSECTS LIVE IN A ROBUST DEVELOPER ECOSYSTEM AND


HIGHLY ORGANIZED COLONIES OFTEN ADVANCED TOOLS ENSURE THAT YOU HAVE
NUMBERING IN THE MILLIONS EVERYTHING YOU NEED TO DEVELOP CODE FAST

OVER 200 PRODUCTS AVAILABLE WITH


EASILY ADAPT TO VIRTUALLY ANY
PIN-COMPATIBLE OPTIONS TO MIGRATE
ENVIRONMENT ON EARTH
SOFTWARE EASILY BETWEEN DEVICES

Silicon Labs mixed-signal MCUs don’t compromise on features and functionality to achieve
a small footprint or low power consumption. In fact, we pack in more per square millimeter
than competing solutions, while reducing system cost.
Learn more and sign up to win a free dev kit at www.silabs.com/mcu

©2009 Silicon Laboratories Inc. All rights reserved.


Enter xx at www.edn.com/info
NI LabVIEW
Limited Only by Your Imagination

Drivers for
hundreds of sensors FPGA-based embedded
from LIDAR to GPS hardware for
drive-by-wire systems

Image processing and


acquisition libraries

Multicore algorithms
Standard communication for real-time navigation
including JAUS and control
and Ethernet support

RF LabVIEW graphical programming PRODUCT PLATFORM


software and modular NI hardware, such NI LabVIEW graphical
Medical as CompactRIO and PXI, are helping and textual programming
engineers develop fully autonomous NI CompactRIO embedded
control hardware
Robotics robotics systems, including unmanned
NI LabVIEW Real-Time Module
vehicles designed to compete in DARPA
NI LabVIEW FPGA Module
Multicore Grand Challenge events.

>> Find out what else LabVIEW can do at ni.com/imagine/robotics 866 337 5041

©2009 National Instruments. All rights reserved. CompactRIO, LabVIEW, National Instruments, NI, and ni.com are trademarks of National Instruments.
Other product and company names listed are trademarks or trade names of their respective companies. 0177
12.15.09
contents
Model-based design Analog floating-gate The Hot 100
and early verification technology comes Products of 2009
aid designers into its own
37 It’s back, and
it’s hot. Read
22 Modeling and simulation at
levels ranging from chip to
system can catch bugs early and
29 No longer an anomaly,
analog floating-gate
technology now finds use in every-
our list of the prod-
ucts and technolo-
ensure quick time to market. thing from cars to greeting cards. gies that in 2009
by Rick Nelson, Editor-in-Chief by Paul Rako, Technical Editor heated up the elec-
tronics world and
grabbed the attention
of our editors and our
readers.

Precision equalization
and test bring high-
performance, low-cost
cabling
40 The high data rates of
communication protocols
mean tight timing budgets for the

pulse
communications channel, includ-
Dilbert 12 ing the interconnecting copper
cable. Low-cost signal-condition-
ing circuits and cable-measure-
11 Power supplies 14 Wireless-networking ment systems can address this
for new LED applications fit development kit supports problem by creating reliable,
into high-margin markets real-world use cost-effective cables for consum-
er products. by Kay Hearne and
12 Quad-PWM-controller IC 14 VeriWave launches video-over- John Horan, PhD, RedMere
includes low-dropout linear Wi-Fi test
regulator
16 Voices: Roy Vallee: Innovation
13 Simulation technology targets must go on
SI analysis and RF/microwave
design

DESIGNIDEAS
IC1

16 5k
AD630
10k
45 Compact, four-quadrant lock-in amplifier generates two analog outputs
2.5k

1
1 15

20 ⳮ A
13
47 Eight-function remote uses one button, no microcode
19
2.5k ⳮB
17 5V

14 10k 48 Doorbell transformer acts as simple water-leak detector


7
NCE 10
⫹ 49 Inverted regulator increases choice and reduces complexity
9

8
50 Debug a microcontroller-to-FPGA interface from the FPGA side
DECEMBER 15, 2009 | EDN 3
The Newest
Sensing Products
New Products from:

Pressure Sensors, HSC Series


http://www.mouser.com/
HoneywellHSC/

QTouch™ Sensor IC
www.mouser.com/atmel_
qtouch

Digital Temperature
Sensor: TMP112
www.mouser.com/ti_tmp112/

The ONLY New Catalog Every 90 Days

Experience Mouser’s time-to-market


advantage with no minimums and same-day
shipping of the newest products from more
than 390 leading suppliers.

LIS331DL 3-Axis Smart Motion Sensor


www.mouser.com/st/a

The Newest Products


For Your Newest Designs

www.mouser.com (800) 346-6873


Over A Million Products Online
contents 12.15.09 Simpler Power
Conversion

IR’s AC-DC product portfolio


offers simple, compact high
density solutions tailored
6 56 for energy-efficient
power supplies.

D E PA R T M E N T S & C O L U M N S
6 EDN.comment: Semiconductors, emerging markets,
and the self-interest of survival
18 Baker’s Best: Common-mode range can bite hard
20 Prying Eyes: Inside a cellular femtocell
54 Product Roundup: Power Sources; Integrated Circuits
56 Tales from the Cube: Burn-in, burn-in: dc inferno
μPFC™ PFC IC
Gate VGATE
Part VCC Freq. Current
Pckg. Drive Clamp

online contents www.edn.com Number

IR1150 SO-8
(V)

13-22
(kHz)

50-200
±(A)

1.5
(V)

13
Mode

CCM
(STR)PbF PDIP8

O N L I N E O N LY Reade
r
Check out this Web-exclusive article: Here is a Choic s’
selection of e SmartRectifier™ IC
Taking power analysis to the transistor
level for a full chip
recent articles Part
Number
IR1166S
PbF
IR1167AS
PbF
IR1167BS
PbF
IR1168S
PbF
Neither functional simulation nor conventional receiving high traffic Package SO-8
power estimation can catch some major is- on www.edn.com: VCC (V) 20
sues in power consumption for low-power Simple tester checks VFET (V) <=200
designs. Large-area mixed-signal simulation Christmas-tree lights Sw Freq.
may be the right answer. ➔ www.edn.com/article/CA46423 max (kHz)
500

➔ www.edn.com/article/CA6709857 Gate Drive


+1/-4 +2/-7 +1/-4
Transistor junction leakage current ±(A)
drops by 10 times, according to VGATE Clamp
SEE NAKED ICs research report (V)
10.7 10.7 14.5 10.7

The IC Insider, an exclusive EDN.com ➔ www.edn.com/article/CA6709908 Min. On Time


Program. 250 -3000 750
series contributed by Chipworks, one (ns)

of the leading IC reverse-engineering Precision tilt/fall detector consumes Channel 1 2

houses, strips down modern ICs with less than 1.5 mW RoHS   

scanning-electron- ➔ www.edn.com/article/CA6709554
THE
microscope imagery, SSB modulator covers HF band
INSIDER
circuit schematics, ➔ www.edn.com/article/CA46185
and in-depth discussions. Read the latest
For more information call
entry, “Battery-charger IC drives energy Create a DAC 1.800.981.8699 or visit
efficiency,” which debuted Dec 4. from a microcontroller’s ADC www.irf.com
➔ www.edn.com/icinsider ➔ www.edn.com/article/CA6709558

EDN ® (ISSN#0012-7515), (GST#123397457) is published biweekly, 24 times per year, by Reed Business Information, 8878 Barrons Blvd, Highlands
Ranch, CO 80129-2345. Reed Business Information, a division of Reed Elsevier Inc, is located at 360 Park Avenue South, New York, NY 10010.
John Poulin, CEO/CFO, RBI-US; Jeff DeBalko, President, Business Media; Jane Volland, Vice President, Finance. Periodicals postage paid at Littleton,
CO 80126 and additional mailing offices. Circulation records are maintained at Reed Business Information, 8878 S Barrons Blvd, Highlands Ranch,
CO 80129-2345. Telephone (303) 470-4445. POSTMASTER: Send address changes to EDN ®, PO Box 7500, Highlands Ranch, CO 80163-7500.
EDN ® copyright 2009 by Reed Elsevier Inc. Rates for nonqualified subscriptions, including all issues: US, $179.99 one year; Canada, $229.99 one year
(includes 7% GST, GST#123397457); Mexico, $229.99 one year; air expedited, $399.99 one year. Except for special issues where price changes are
indicated, single copies are available for $10 US and $15 foreign. Publications Agreement No. 40685520. Return undeliverable Canadian addresses THE POWER MANAGEMENT LEADER
to: RCS International, Box 697 STN A, Windsor Ontario N9A 6N4. E-mail: Subsmail@ReedBusiness.com. Please address all subscription mail to EDN ®,
8878 S Barrons Blvd, Highlands Ranch, CO 80129-2345. EDN ® is a registered trademark of Reed Elsevier Properties Inc, used under license. A Reed
Business Information Publication/Volume 54, Number 24 (Printed in USA).
EDN.COMMENT
,,
BY RON WILSON, EXECUTIVE EDITOR

merly destitute people are finally


achieving a bit of disposable income.
They will spend this income on qual-
ity-of-life and livelihood-supporting
purchases, such as cell phones, appli-
ances, and safe transportation. Pro-
portionately less growth will come
from the developed world, which al-
ready lost its credit and in which the
Semiconductors, emerging aging population is losing its acquisi-
tiveness as older people gradually stop
buying stuff.
markets, and the self-interest For companies that have lived in
the lifestyle supply chain, however,
of survival change is complex. It requires learning
what life is like for the emerging mid-
ome time ago, as the storm of recession broke over the

S
dle class. And change means learning
industry, I opined that companies should look quickly to how investment patterns in emerging
developing markets for demand that was not based on con- economies turn into demand for elec-
tronics systems and, ultimately, for
spicuous consumption. Since then, a devastating collapse
semiconductors. That learning must
in end-user demand has occurred across the industrialized come by working with emerging-mar-
nations. In contrast, countries such as China and India ket system OEMs and service provid-
appear to be using their banks to support their citizenry—rather than ers that are already successful in their
committing ordinary citizens to enrich the banks. These actions seem to local markets. The Financial Times ar-
justify my dim view of developed-world demand. ticle says that major competition for
the Europeans’ expansion would come
Apparently, European companies— not from US companies but from local
always of necessity more outward-look- ones, such as Shanghai Electric and
ing than their US competitors—are Huawei. Finally, it means innovating
starting to believe similarly. According to drastically reduce product cost in
to a recent Financial Times article, com- competitive markets, rather than fir-
panies including electronics manufac- ing people to incrementally reduce
turer Philips, car maker Renault, and fixed expenses.
German truck-builder MAN are plan- Looking outward, understanding
ning to get more than half their sales countries’ development plans and their
from emerging markets by 2015 (Ref- people’s lives, partnering across cultur-
erence 1). According to electrical-en- al boundaries, and creating instead of
gineering giant ABB, 55% of new or- copying comprise a kind of humani-
ders in the third quarter of this year into a long-term strategic error. You tarianism that has nothing to do with
came from emerging markets. are specializing away from the market charity. For US semiconductor compa-
The Financial Times article also growth. nies, it is about survival.EDN
quotes David Michael, head of Bos- It is simple arithmetic. As Xilinx
ton Consulting Group’s globalization President and Chief Executive Of- R E FE R E NCE
practice in Beijing. “Many industries ficer Moshe Gavrielov points out, a 1 Milne, Richard, “Ambitious growth

are at the tipping point where 50% genuine and growing end-user de- targeted as groups look to fresh terri-
or more of global demand is in emerg- mand is coming from people around tories,” Financial Times, Nov 20,
ing markets,” he says. There is a sim- the world—people who perhaps for 2009, www.ft.com/cms/s/0/cdf
ple message here. If your business plan the first time can make discretion- 8da30-d573-11de-81ee-00144
is to be part of a supply chain that ulti- ary purchases. Accordingly, market feabdc0.html?nclick_check⫽1.
mately brings expensive discretionary growth in today’s world will come dis-
goods to developed markets, you have proportionately from emerging econ- Contact me at ronald.wilson@reed
a short-term problem rapidly turning omies, in which huge numbers of for- business.com.

6 EDN | DECEMBER 15, 2009


New! LPD3015 and LPD4012
miniature coupled inductors. Perfect for
LED drivers in portable equipment.

Pick the best coupled inductor for


your SEPIC converter in less time
than it takes to read this ad.
(and have free samples on your desk tomorrow)

With over 135 coupled coupled inductors that meet your needs. A
inductors to pick from, few more clicks gives you a detailed analysis
choosing the right one of core and winding losses. The whole process
might seem difficult. can literally take less than a minute.
But our new on-line When you’re done, be sure to ask
tools make it incredibly for free evaluation samples. We’ll
accurate and easy. ship them that same day.
Enter data about your SEPIC converter and Start your coupled inductor
you’ll get detailed specifications on all the search at coilcraft.com/sepic

RCOoMPH S
LIANT
www.coilcraft.com 800/322-2645
Accelerating the Speed of Design. ™

Your one solution for design creation.

Avnet Memec focuses on a select group of leading suppliers to provide original equipment
manufacturers (OEMs) a high level of technical expertise — enabling the swift and accurate
design of leading-edge products.

With factory trained and certified support, Avnet Memec specialists offer a level of technical depth
unmatched in the industry. With easy access to our industry experts, your projects move forward
with greater velocity, driven by in-depth technical expertise. That translates into faster time to
market for you, with greater ease and confidence. From Design to Delivery.™ Avnet is ranked
Best-in-Class* for online seminars, design & engineering services and knowledgeable
application engineers – proof that we consistently deliver:

> Proactive engineering support


> Factory certified FAEs

Accelerate Time to Market.™


Visit the Avnet Design Resource Center™ at: www.em.avnet.com/drc

1 800 332 8638


www.em.avnet.com

*As rated by Hearst Electronics Group: The Engineer & Supplier Interface Study, 2009. Follow us on Twitter!
©Avnet, Inc. 2009. All rights reserved. AVNET is a registered trademark of Avnet, Inc. www.twitter.com/avnetdesignwire
PRESIDENT, BUSINESS MEDIA, REED BUSINESS INFORMATION
Jeff DeBalko, jeff.debalko@reedbusiness.com
1-646-746-6573
PUBLISHER, EDN WORLDWIDE SENIOR ASSOCIATE EDITOR
Russell E Pratt, 1-781-734-8417; Frances T Granville
rpratt@reedbusiness.com 1-781-734-8439; fax: 1-303-265-3131;

MAXIMUM
ASSOCIATE PUBLISHER,
f.granville@reedbusiness.com
EDN WORLDWIDE EDITORIAL/WEB PRODUCTION
Judy Hayes, 1-925-736-7617; Diane Malone,
judy.hayes@reedbusiness.com Manager
EDITOR-IN-CHIEF, EDN WORLDWIDE 1-781-734-8445; fax: 1-303-265-3024

RELIABILITY
Rick Nelson, 1-781-734-8418; Steve Mahoney,
rnelson@reedbusiness.com Production/Editorial Coordinator
1-781-734-8442; fax: 1-303-265-3198
EXECUTIVE EDITOR
Melissa Annand,
Ron Wilson, 1-510-744-1263; In contact, stability and low-noise performance
Web Operations Specialist
ronald.wilson@reedbusiness.com
1-781-734-8443; fax: 1-303-265-3279 Mill-Max Mfg. Corp. spring-loaded connectors provide
MANAGING EDITOR
Amy Norcross
Adam Odoardi, Prepress Manager superior reliability under the most rigorous environmental
1-781-734-8325; fax: 1-303-265-3042
1-781-734-8436; conditions, offering:
CONSULTING EDITOR
fax: 1-720-356-9161;
Jim Williams, Staff Scientist,  ™8dci^cjdjh!adl"cd^hZZaZXig^XVaXdccZXi^dch#
amy.norcross@reedbusiness.com
Contact for contributed technical articles
Linear Technology  ™He^`Z"[gZZhiVW^a^inid*%<h]dX`VcY&%<k^WgVi^dc#
SENIOR ART DIRECTOR
CONTRIBUTING TECHNICAL EDITORS  ™8dci^cjZY]^\]eZg[dgbVcXZ
Dan Strassberg,
Mike O’Leary
strassbergedn@att.net
i]gdj\]&!%%%!%%% XnXaZh#
1-781-734-8307;
Nicholas Cravotta,  ™Adl"VcY]^\]"egd[^aZhjg[VXZ"bdjcihinaZh#
fax: 1-303-265-3021;
moleary@reedbusiness.com
editor@nicholascravotta.com  ™)*Y^hXgZiZYZh^\ch[dgXjhidbZg"heZX^[^XVhhZbWa^Zh#
ANALOG
COLUMNISTS  ™+[Vb^a^Zhd[h^c\aZVcYYdjWaZhig^eVhhZbWa^Zh#
Howard Johnson, PhD, Signal Consulting
Paul Rako, Technical Editor
Bonnie Baker, Texas Instruments
 ™I]Zh]dgiZhiegdYjXi^dcaZVYi^bZh^ci]Z^cYjhign#
1-408-745-1994;
Pallab Chatterjee, SiliconMap
paul.rako@edn.com
PRODUCTION
MASS STORAGE, MULTIMEDIA,
PCs, AND PERIPHERALS Dorothy Buchholz,
Brian Dipert, Senior Technical Editor Group Production Director
1-916-760-0159; 1-781-734-8329
fax: 1-303-265-3187; Joshua S Levin-Epstein,
bdipert@edn.com Production Manager
1-781-734-8333; fax: 1-781-734-8096
MICROPROCESSORS, DSPs,
AND TOOLS EDN EUROPE
Robert Cravotta, Technical Editor Graham Prophet, Editor, Reed Publishing
1-661-296-5096; +44 118 935 1650;
fax: 1-303-265-3116; gprophet@reedbusiness.com
rcravotta@edn.com EDN ASIA
NEWS Luke Rattigan, Chief Executive Officer 9^hXgZiZHA8E^ch
Suzanne Deffree, Managing Editor luke.rattigan@rbi-asia.com
1-631-266-3433; Kirtimaya Varma, Editor-in-Chief
sdeffree@reedbusiness.com kirti.varma@rbi-asia.com
POWER SOURCES, EDN CHINA
ONLINE INITIATIVES William Zhang,
Margery Conner, Technical Editor Publisher and Editorial Director
1-805-461-8242; wmzhang@rbichina.com.cn
fax: 1-805-461-9640; Jeff Lu, Executive Editor
mconner@reedbusiness.com jefflu@rbichina.com.cn
DESIGN IDEAS EDITOR EDN JAPAN
Martin Rowe, Katsuya Watanabe, Publisher
Senior Technical Editor, k.watanabe@reedbusiness.jp
Test & Measurement World Ken Amemoto, Editor-in-Chief
edndesignideas@reedbusiness.com amemoto@reedbusiness.jp HA88dccZXidg6hhZbWa^Zh

EDN. 225 Wyman St, Waltham, MA 02451. www.edn.com. Phone 1-781-734-8000.


Address changes or subscription inquiries: phone 1-800-446-6551; fax: 1-303- Stay in contact with
470-4280; subsmail@reedbusiness.com. For a free subscription, go to www.getfreemag.
com/edn. Reed Business Information, 8878 S Barrons Blvd, Highlands Ranch, CO 80129- Mill-Max spring-loaded connectors.
2345. Include your mailing label. Idk^Zldjg9Zh^\c<j^YZ!cZlegdYjXi
offerings and request a datasheet
…
l^i][gZZhVbeaZh!k^h^i

www.mill-max.com/EDN596
pulse
EDITED BY FRAN GRANVILLE

INNOVATIONS & INNOVATORS

Power supplies for new LED applications FEEDBACK LOOP


“Success has
fit into high-margin markets many parents,
Another promising, albeit more mundane, but a knotty
I
C vendors eagerly anticipate that the huge
market for LED bulbs to replace incandes- market for external power supplies is indus- problem is an
cent and CFL (compact-fluorescent-light) trial lighting with LEDs. For example, Power
bulbs will drive the demand for ac/dc power- Partners introduced its PIL100 series of 100W
orphan.”
—Software engineer JT Klopcic,
management ICs. The largest bulb-replace- ac/dc LED-lighting power modules, also with a in EDN’s Feedback Loop,
ment market of all, the replacement of 60W choice of constant-current or constant-voltage at www.edn.com/article/
light bulbs, will have razor-thin profit margins models. All models accept as much as 305V CA6705270. Add your
and most likely go straight to Asian manufac- ac; the output on the constant-current models comments.
turers. As a result, signage, displays, and indus- is 350 to 4200 mA, and the constant-voltage
trial lighting may be more promising markets models offer 12 to 105V-dc outputs. Efficiency
for US companies focusing on high-power- is as high as 93%.
LED designs. —by Margery Conner
For example, the Mitsubishi Electric (www. 컄TDK-Lambda, www.tdk-lambda.com.
mitsubishielectric.com) screen at the Dallas 컄Power Partners, www.powerpartners-inc.
Cowboys’ Cowboys Stadium
uses 22,000 TDK-Lambda
ZWS100AF-5-series power
supplies to drive more than 20
million LEDs. Digi-Key (www.
digikey.com) lists the supplies
at $20 (100). Lambda also
recently released the LD12
series of ac/dc power sup-
plies for LED-lighting and -
display applications, offering
as much as 12.6W of power
and with both constant-volt-
age and constant-current
models available. The encap-
sulated devices operate with
universal power inputs of 90 to
265V ac. The constant-voltage
LDV12 series comes with 12,
15, or 24V outputs; the $14.50
(1000) LDC12 constant-cur-
rent units provide 350 to 750
mA with dc-output ranges of 3
to 18 or 3 to 36V. Typical out- Mitsubishi Electric claims that the video-screen system for the Dallas Cowboys’ Cowboys Stadium
put efficiencies are 82% under includes the world’s largest high-definition video display with two 160⫻72-foot sideline displays,
full load. each using more than 10 million LEDs.

DECEMBER 15, 2009 | EDN 11


pulse
Quad-PWM-controller IC includes The Digital
Power
low-dropout linear regulator Studio allows

E
xar Corp’s new 5A (pulse-width-modulation)-con- FETs. In addition to the four
XRP7704 and 16A troller chips include gate-driver PWM voltage regulators, the
you to program
XRP7740 quad-PWM circuitry that drives external devices include a low-drop- and communi-
out linear regulator, which you cate with the
can use for auxiliary or standby
power. The input-voltage range
chips as you
is 6.5 to 20V, and you can set develop your
the output voltages at 0.9 to power system.
5V. The four PWM outputs
have 12-bit resolution, and 1.5-MHz switching frequency.
the units, which you can pro- Applications include POL
gram through an I2C (inter- (point-of-load) dc/dc power
integrated-circuit) interface, conversion in high-volume
have six general-purpose digi- and cost-sensitive consumer
tal-I/O pins. They use a digital devices, such as set-top boxes,
PID (proportional/integral/dif- and industrial markets, such as
The Exar XRP7704 demo board allows you to evaluate the per- ferential) algorithm that tailors servers and instrumentation.
formance and characteristics of this quad-output controller. control-loop response up to a The units store their settings in
VIN OTP (one-time-programmable)
6.5 TO 20V memory, but your system pro-
VIN1 VIN2
cessor can overwrite those set-
INTERNAL GATE DRIVERS tings using the I2C bus.
STANDBY LOW-DROPOUT CURRENT SENSE
3.3/5V (100 mA)
LOW-DROPOUT HIGH-SPEED The Digital Power Studio
REGULATOR VOUT1
REGULATOR CHARGE PUMP software environment allows
FOUR OR SIX OUTPUT-VOLTAGE
GPIO FEEDBACK you to program and commu-
GPIOs
GATE DRIVERS nicate with the chips as you
IC SHUTDOWN ENABLE CURRENT SENSE develop your power system.
HIGH-SPEED VOUT2
SDA/SCL TO HOST 12C The package lets you config-
XRP77XX CHARGE PUMP
MICROCONTROLLER
FOUR- OUTPUT-VOLTAGE ure the power supply’s voltage
CHANNEL FEEDBACK
CONFIGURATION- DIGITAL GATE DRIVERS settings, current thresholds,
REGISTER SET PWM CURRENT SENSE fault monitoring and response,
ENGINE HIGH-SPEED VOUT3
CHARGE PUMP soft start, shutdown, channel
OUTPUT-VOLTAGE sequencing, phase-shift man-
OTP MEMORY FEEDBACK
agement, and loop response.
GATE DRIVERS
CURRENT SENSE You can also control the parts
HIGH-SPEED VOUT4 in real time using the I2C port
CHARGE PUMP
OUTPUT-VOLTAGE with your system microcon-
FEEDBACK troller, FPGA, or ASIC. You can
The XRP7704 has four PWM controllers, a low-dropout linear regulator, and drivers for external configure as many as eight
FETs. PWM controllers by linking
two parts together in a master/
slave arrangement.
DILBERT By Scott Adams
Both parts come in 40-pin
QFN packages, comply with
ROHS (reduction-of-hazard-
ous-substances) directives,
operate at ⫺40 to ⫹85⬚C,
and are available for sampling.
The XRP7704 sells for $4;
the XRP7740 sells for $5.50
(1000).—by Paul Rako
컄Exar Corp, www.exar.com.

12 EDN | DECEMBER 15, 2009


Simulation technology targets tem), Q3D (quasi-three-dimen-
sional) Extractor, and SIwave
SI analysis and RF/microwave design software.
The DesignerSI product
the Ansoft Designer desktop, cuit simulation. Both packages suite targets engineers design-

A
nsys Inc has announced
its Ansoft Designer 5.0 3-D planar EM-field solver, include a design-management ing high-speed electronic inter-
and Nexxim 5.0 engi- RF-system-simulation tool, front end for Ansoft’s HFSS faces, including XAUI (10-
neering-simulation platform design-synthesis tools, and cir- (high-frequency simulator sys- Gbps attachment-unit inter-
and integrated technology face), SATA (serial advanced-
suite, which supports Ansys’ technology attachment),
trademarked simulation-driven PCIe (Peripheral Component
product development. The new Interconnect Express), HDMI
versions add features that com- (high-definition-multimedia
press electronic design and interface), DDR, DDR2, and
analysis. For example, links with DDR3. Engineers using
Ansys DesignXplorer software DesignerSI can leverage its
enable experiments, sensitivity optimization algorithms and
studies, and six-sigma design. design-of-experiments, tuning,
In addition, a distributed-solve and postprocessing capabili-
HPC (high-performance-com- ties for key SI metrics, such as
puting) capability allows engi- TDR (time-domain reflectom-
neers to analyze process vari- etry), BER (bit-error rate), tim-
ations within a full SI (signal- The DesignerRF PlanarEM package includes 3-D planar electro- ing analysis, and eye diagrams.
integrity) analysis across a magnetics with linear circuit-simulation capabilities. All SI analyses can dynamically
network of computers. For RF link to rigorous EM extrac-
and microwave design, Ansoft tion. New SI-analysis features
Designer 5.0 with Nexxim 5.0 include IBIS-AMI (input/out-
features a new system-simu- put-buffer-information-speci-
lation engine that allows engi- fication-algorithmic-modeling-
neers to simulate entire wire- interface) simulation, which
less systems and link to accu- fully supports the latest IBIS
rate transistor and EM (elec- standard, enabling fast behav-
tromagnetic) models. ioral modeling of electronic
The suite also offers new systems with silicon vendor-
product packaging that cus- supplied driver and receiver
tomizes and streamlines Ansoft models, and QuickEye and
Designer and Nexxim simula- VerifEye enhancements, which
tion technology into applica- support separate rise/fall step
tion-specific software tools The DesignerRF suite allows detailed component modeling or end- responses, step-response par-
for engineers focusing on SI to-end system modeling of advanced communications systems. allel processing, improved BER
analysis or RF and microwave noise floor, and enhanced jitter
design. The new packages, algorithms.
DesignerSI and DesignerRF, The DesignerRF product
integrate technology from suite’s new enhancements
Ansoft Designer and Nexxim include a system simulator
into application-specific, easy- with baseband and envelope
to-use engineering platforms simulation of advanced com-
that are straightforward to munication systems, a filter-
acquire. synthesis tool that generates
The DesignerSI package ideal and physical filters for cir-
includes the Ansoft Designer cuit and EM tools, and library
schematic-capture and -layout expansion. For more on these
graphical user interface, a 2-D products, go to www.edn.com/
quasistatic field solver, and the article/CA6709501.
Nexxim circuit-simulation tech- You can perform high-speed serial channel simulation in —by Rick Nelson
nology. DesignerRF includes DesignerSI using dynamic links to HFSS software. 컄Ansys, www.ansys.com.

DECEMBER 15, 2009 | EDN 13


pulse
Wireless-networking development
kit supports real-world use
that provides a USB

T
exas Instruments’ new eter, sensors for tempera-
eZ430-Chronos devel- ture and battery voltage, and (Universal Serial Bus)
opment kit delivers a a 96-segment LCD that the connection to your host
programmable development CC430 drives directly. On-chip computer. The USB-RF
environment for the CC430 peripherals include a 100-nA access point supports
microcontroller that resides comparator; an eight-channel, PC communication and
within a wearable-sports-watch 200k-sample/sec, 12-bit ADC; includes production-
form factor. The development an LCD controller; and a 128- ready source projects
kit is available now for $49 bit AES (Advanced Encryption for motion-based mouse
and includes a CC430F6137 Standard) security encryption/ control, keyboard and
microcontroller that integrates decryption coprocessor. The presentation control, and
two-way RF support, through 27-MHz CC430 can store in time and calendar synchro-
the 5CC1101 RF transceiver, internal memory as much as nization. The Chronos develop-
in the 433-, 868-, or 915- 11 hours of data, such as from ment kit includes projects with
MHz frequency bands. The the companion BM-CS5 heart- watch functions, such as time, The eZ430-Chronos develop-
kit includes TI’s SimpliciTI and rate monitor, which is available date, alarm, and stopwatch; ment kit delivers a program-
mable development environ-
BM Innovations’ (www.bm-inno separately with a coupon. sensor data logging with wire-
ment for the CC430 micro-
vations.com) Blue Robin RF To program the microcon- less PC download; and motion- controller in a sports watch.
stacks to support out-of-box troller, you disassemble the based mouse/PC-game con-
operation. sports watch with an included trol. User-generated applica- —by Robert Cravotta
The kit integrates a three- screwdriver and attach it to tions are available at www. 컄Texas Instruments, www.
axis accelerometer, an altim- an eZ430 programmer board ti.com/chronoswiki. ti.com/chronos-pr.

12.15.09
VeriWave launches video-over-Wi-Fi test
VeriWave has introduced points, video and media problems. WaveVideo sup- you can load onto a client
its WaveVideo, which gateways, IP (Internet ports video ranging from device to measure qual-
measures the quality of Protocol)-based video- low-quality VGA (video- ity of experience from the
video streamed over Wi-Fi- surveillance cameras, and graphics array) to high- end user’s point of view.
based consumer services, set-top boxes. Service quality HDTV (high-defini- WaveAgent works with any
video-security networks, providers and corporate IT tion television). client device—laptops,
and corporate videoconfer- departments can use the The VeriWave tool can PDAs (personal digital as-
encing services. The tool tool to help select vendors, provide objective measures sistants), and phones—con-
accelerates time to market optimize network design, of quality using numerical nected to a wired or wire-
for makers of Wi-Fi access and troubleshoot end-user values, or users can sub- less network, including
jectively compare original Ethernet and SONET (syn-
video clips entering a chronous optical network),
system under test with the as well as Wi-Fi, GSM
resulting video clip after it (global system for mobile
passes through that sys- communication), 3G (third
tem. The tool also enables generation), LTE (long-term
users to mix video traffic evolution), and WiMax.
with other types of traffic, Prices for the Wave-
such as voice and data, to Video application start
observe cross impact. at $7500 per licensed
To help measure VeriWave test port. For
video quality on client more on these products,
devices, users can employ go to www.edn.com/
The WaveVideo tool reports the percentage of I (intracoded), P VeriWave’s WaveAgent, a 091215pa.—by Rick Nelson
(predicted), and B (bidirectionally predicted) frames that have software utility taking up 왘VeriWave, www.veriwave.
become corrupt over time. less than 200 kbytes, which com.

14 EDN | DECEMBER 15, 2009


S P E C I A L A D V E R T I S I N G S E C T I O N

R A Q ’ s

Rarely Asked Questions


Strange stories from the call logs of Analog Devices

Bring on the Converter Noise! – Part 2


Q. How does resistor noise com- Contributing Writer
pare to A/D converter’s noise?
Rob Reeder is a senior
A. In the first installment Noise Figure converter applications
(NF) considerations were discussed. engineer working in
Remember, think noise spectral density Analog Devices high-
(NSD), here’s why. speed converter group
in Greensboro, NC since
The A/D converter’s total NSD perfor-
1998. Rob received
mance is really a number of parameters
his MSEE and BSEE
like thermal noise, jitter, and quantization
noise, ie — signal-to-noise ratio (SNR) from Northern Illinois
Now let’s continue to discuss driving
over a specified bandwidth (BW). SNR down NF in order to increase sensitivity. University in DeKalb,
reported in a converter datasheet, can This can be achieved by adding gain and IL in 1998 and 1996
give the designer a realistic expectation resistance on the converter’s frontend respectively. In his
when trying to understand the converter’s design. In the case of a passive frontend spare time he enjoys
lowest resolvable “step” in the signal a decrease in the input full-scale by a mixing music, art, and
being sampled. This step, is also called a factor of 2, means the NF goes down by playing basketball with
least significant bit or LSB. Given an N-bit 6dB. Yeah! However, consider the uncor- his two boys.
converter and input fullscale value SNR related resistor noise as well.
and LSB size can be determined. Where,
SNR = 20*log(Vsignal-rms / Vnoise-rms) The gain of 2 in the signal chain really Have a question
and LSB = (Vrms Fullscale/(2^N)). makes a 50ohm resistor look like involving a perplex-
14.4uVrms and the 200ohm termination ing or unusual analog
By re-arranging this equation, one can resistor noise on the opposite side will problem? Submit
determine the converter’s noise or add another 14.4uVrms. These two uncor-
your question to:
Vnoise-rms = Vsignal-rms*10^-SNR/20. related noise sources root sum square
raq@reedbusiness.com
So, for a typical 16-bit, 80MSPS A/D (RSS) together bringing the total noise
converter with an SNR of 80dB that has to 20.3uVrms. That’s 2 LSBs!
a 2Vpp input full-scale will have a Vnoise-
rms = 70.7uVrms or LSB size of 10.8uVrms. The point here is converter noise is >>
bigger in terms of resistor noise even For Analog Devices’
Now let’s look at resistor noise. Resistor with some gain applied. However, as Technical Support,
noise is defined as Vresn = sqrt(4*k* higher value resistors and gain are Call 800-AnalogD
T*BW*Resistance), therefore a 1kohm applied throughout the signal chain the
resistor adds about 4nV of noise in a 1 total noise will easily start to erode SNR
Hertz BW. Where T is temperature in away (LSB = 1bit = 6dB). Be wary about
Kelvin (room temperature = 290K), BW employing gain in the signal chain, the
is bandwidth and k is Boltzmann’s con- factors add up fast.
stant (1.38x10E-23 Watt/second/Kelvin).
With respect to the converter resistor SPONSORED BY
noise doesn’t seem to be much to worry To Learn More About
about. Don’t be fooled. Noise Spectral Density (NSD)
http://designnews.hotims.com/23129-101

DECEMBER 15, 2009 | EDN 15


pulse
seems to have done so—and
partly due to the still-substan-
tial government stimuli that
are happening and [are hap-
pening] in a way that will con-

VOICES tribute to demand in 2010.


It’s going to be a much better
year than 2009.
Roy Vallee: Are you particularly hopeful
Innovation must go on What’s more important: about any segments or re-
A the heart or the brain? I gions for next year?

R
oy Vallee, a 37-year distribution-industry veteran and
don’t think I can make this call. From a geographic per-
chairman and chief executive officer of Avnet Inc (www.
avnet.com), discusses the year that was and the year to
It depends on who you are A spective, the first an-
talking to and at what point in swer out of everyone’s mouth
come, where opportunities are, and how innovation continued
time. The simple reality is that is China. It is doing a good job
despite a turbulent 2009. A shortened version of that conversa-
both of these requirements with its stimulus package and
tion with EDN follows. For the complete interview, go to www.
are absolutely vital to the elec- driving indigenous demand.
edn.com/091215pb.
tronics supply chain. It can’t In end markets, [I’m hopeful
Analysts are suggesting the demand drop, but [the function without either one about] medical, government,
that 2009 will see an 11 to drop was different from that of them. and some digital consumer
13% revenue decline versus in] 2001. When we went into markets, especially digital con-
estimates they made in the this slowdown, we didn’t have Do you think the layoffs in sumer for mass markets. More
first quarter that called for massive amounts of excess 2009 hampered the indus- from a technology perspec-
a nearly 25% decline. What inventory and capacity. try’s ability to innovate and tive, I would put solid-state
made this year less painful design? lighting in a growth category,
than it could have been for What did distributors do There had to be some and wireless everything con-
the electronics industry? to help keep the inven- A negative impact … just tinues to expand. The other
The supply chain has tory situation under control associated with all of the dis- one that really seems to be
A reacted more dramati- through 2008 and 2009? traction that went on. But I do having some impact is “green”
cally to this economic slow- Distributors had been want to say that most of the technology. I think all of those
down than I have seen in my A doing a good job be- companies I know were fo- areas are going to grow faster
entire career in this industry. fore the slowdown at getting cused on protecting their R&D than the averages.
… By the time the industry inventories in line or at appro- resources and projects. A lot
saw a drop in demand, there priate levels. Distributors then of the cutbacks were done Do you think that the elec-
was a very accelerated and reacted quickly to the slow- in other areas. I’m not saying tronics industry is leading
aggressive movement to re- down in demand and actually 100%, but I think innovation the United States’ recovery?
duce inventories and cut back got their inventories corrected was protected and therefore I do. The data supports
supply chains. In the early part in … a record time frame. The negatively impacted less than A the statement. The re-
of the year, the picture looked good news is that [reaction] other areas. … Innovation covery in our business seems
bleak. As the year has played helps reduce the duration of must go on. to be preceding the recovery
out, companies have gotten the correction. The bad news in the macro economy and
their inventories in line with is that … while we were cut- What do you see for 2010? what’s going on in the job
where they would like them to ting back those orders with I think the industry is market. Electronics technol-
be, even with end demand be- suppliers, suppliers were per- A going to enter the year ogy allows for higher levels of
ing below where it was a year haps not so happy with us and in pretty healthy shape; in- productivity in business envi-
ago. As a result, they resumed disappointed with their actual ventories and capacities will ronments and improves the
purchasing. So the overreac- incoming orders. But distribu- be in reasonably good shape. quality of people’s lives. You
tion or initial aggressive re- tors did an excellent job of On top of that, end demand can look at that from medi-
action was not sustained for managing working capital be- should improve. I’m not ex- cal and health applications to
more than a couple of quar- fore and during the slowdown. pecting an end-demand V good old-fashioned entertain-
ters. … Going into this [pe- curve, but I am expecting end ment. Technology brings great
riod], the industry was in pretty Was fulfillment or demand demand to gradually improve, value to the market in produc-
good shape from an inventory creation/design chain more partly due to what normally tivity and the quality of life.
and a capacity perspective. As important in 2009? How happens when economic cy- —interview conducted and
a result, we had to deal with about in 2010? cles hit a trough—and this one edited by Suzanne Deffree

16 EDN | DECEMBER 15, 2009


A D VERT IS EM ENT

BY PATRICK DORSEY
tionality (as well as software and
algorithms) of each product and
integrate the functions of multiple
chips into a single FPGA—elimi-
Spartan-6 FPGAs — nating the restrictions of an ASSP-
based solution that only allows for
The Crystal Clear Advantage limited modifications. This transi-
tion from a multichip ASSP-based
system to a single-chip FPGA-
in Flat Panel TVs based system saves power, space,
cooling and improves overall sys-
n the age of the flat panel display, top TV tem performance, while reducing

I
overall bill of materials cost, and,
manufacturers have to be extremely innovative. above all, enabling end-product
They not only have to figure out what advanced differentiation.
feature set they’ll include in their next line of TVs, What’s more, the Spartan se-
they must differentiate their TVs from a growing ries’ proven reliability and repro-
grammability has helped OEMs
number of competitors, then get those TVs to drastically reduce defect rates and
market quickly. The most innovative OEMs have figured increase margins as well as consumer
out that the secret to staying on top and keeping their satisfaction, garnering their Spartan
FPGA-based flat panel displays
edge in the TV market is to use low-cost Xilinx® Spartan® critical acclaim from editors and
FPGAs instead of ASSPs. In January, Xilinx in partnership customers alike.
with distributor Tokyo Electron Device Ltd. (TED) will To build on this success in the
make the Spartan FPGA advantage even more clear with consumer TV market and help
OEMs and their suppliers leverage
the release of its Spartan-6 FPGA Consumer Video Kit. the integrated 3.125Gbps serial
A few years ago, OEMs dealt uct line. Unfortunately, a slew of transceivers and other advanced
with these design and market competitors followed suit and be- features of Xilinx’s new Spartan-6
challenges by using one ASSP-based gan using the same ASSP chip sets. family, in January Xilinx will an-
chip set across multiple product This limited differentiation, forced nounce the availability of the Spar-
lines. By creating various software the big OEMs to drop price points, tan-6 FPGA Consumer Video Kit.
features that leverage these chips’ which significantly deteriorated This easy-to-use and expand-
internal processors, they could profit margins. able kit will include a baseboard
quickly, though not too resound- By switching to Spartan FPGAs, along with several FMC daugh-
ingly, distinguish one TV’s feature OEMs can quickly enhance and ter cards, integrating soft IP logic
set from the others in their prod- optimize the full hardware func- blocks from Xilinx and its partner
TED to support emerging and de
Display Interface Bandwidth Requirements facto high-speed display interfaces,
&" "$#&
including DisplayPort, V-by-One,

high-speed LVDS and HDMI.The

 
kit will streamline customer algo-


Implement using GTP in Spartan-6 rithm development on Spartan-6
Bandwidth (Gbps)

 
based systems and give designers

a jump on bringing differentiated
 
products to the market quickly.

To learn more about the Spartan
 
Implement using SelectIO( in Spartan-6 FPGA advantage, visit the Consumer

#  # #  # 
Page at www.xilinx.com/consumer.
Resolution

  %# '"$& '!)


About the Author: Patrick Dorsey is
the Sr. Director Product Management at
With integrated 3.125Gbps serial transceivers, the Spartan-6 family is ideally suited to Xilinx Inc. (San Jose, Calif.). Contact
support increasing line rate and bandwidth requirements of emerging TV standards. him at more_info@xilinx.com
© Copyright 2009 Xilinx, Inc. Xilinx, the Xilinx logo, Virtex, Spartan, ISE, and other designated brands included herein are trademarks of Xilinx in the United States and other
countries. All other trademarks are the property of their respective owners.
BAKER’S BEST
,,
BY BONNIE BAKER

mum and minimum input-voltage lim-


its vary from device to device.
The input voltages at VIN and VIN
and the gain of A1 and A2 cause the in-
ternal output voltages to increase or de-
crease. Note that the A1 and A2 stages
do not gain the input common-mode
Common-mode range can voltage, VCM. An input/gain-overload
condition can occur if A1’s output volt-
bite hard age, A2’s output voltage, or both vio-
late the internal output-swing restric-
y last column provided a glimpse inside the CMR

M
tions. This condition is impossible to
(common-mode rejection) of a three-op-amp INA directly measure. You must be aware of
(instrumentation amplifier) and revealed the main the limitations of VOA1 and VOA2 and
contributors to total CMR error (see “Understanding then calculate whether your design is
at risk using the equations in Figure 1.
CMR and instrumentation amplifiers,” EDN, Nov 26,
An example of this type of input/
2009, pg 14, www.edn.com/article/CA6707779). This gain-overload condition occurs when
story goes deeper, however, if you look at the common-mode range of the in-range voltages on the INA’s
the same device. Of all the performance characteristics of an INA, the inputs drive A1, A2, or both to their
most misunderstood is the common-mode-range requirement. So how positive or negative output-swing lim-
do designers calculate the INA’s common-mode range? Consider the it. In this condition, A3 measures an
erroneous difference voltage. This er-
exposure to an input/gain-overload you may notice that an input signal roneous voltage plus the voltage refer-
condition on the INA as a possibility. into an INA can produce an incorrect ence to the INA is incorrect and may
Three basic kinds of nodes in the output signal that is nevertheless with- be inside the output range of A3.
INA can cause input/gain-overload in the device’s normal output range. The final place to look for an in-
problems (Figure 1). Pay attention to The applied input voltages to the put/gain-overload condition is at the
the voltage levels of the INA’s VIN INA are equivalent to the common- output, VOUT, of the INA. The A3 out-
and VIN input pins, the VOA1 and mode input voltage plus or minus the put restrictions are similar to those of
VOA2 output levels of A1 and A2, and differential input signal. The input any other amplifier. For instance, in a
the VOUT output-swing capability of stages of A1 and A2 limit the range of single-supply environment, the output
A3. As you work with these concepts, these two input voltages. The maxi- swing never spans all the way to the
rails.
GVDIFF
VOA1=VCM
2
The common-mode behavior of the
½VDIFF three-op-amp INA may surprise you if
VIN you ignore the nuances of the inter-

A1
VOA1 nal A1 and A2 output stages. All of
R

the internal amplifier’s output voltag-
RF R es relate to the linear common-mode
 VOUT =VREFG(VINVIN) input range of the complete INA.
A3
RG
RF R (2RF) Most product data sheets provide il-
 G= 1
RG lustrations of the effects of input/gain-
overload conditions. You can now use

½VDIFF
A2
those graphs to your advantage.EDN
VIN VOA2 R

Bonnie Baker is a senior applications engi-
neer at Texas Instruments and author of
VCM
GVDIFF VREF
A Baker’s Dozen: Real Analog Solu-
VOA2=VCM
2 tions for Digital Designers. You can
Figure 1 Three basic kinds of nodes in an instrumentation amplifier can cause reach her at bonnie@ti.com.
input/gain-overload problems.
+ www.edn.com/bakersbest

18 EDN | DECEMBER 15, 2009


48 V : 12 V Bus Converter for Server, ATE, Telecom, and Industrial Control

For designers working IBA applications


in server, ATE, telecom, industrial
control, etc., VIB0101THJ offers layout
flexibility, reduced power converter
footprint, and easy design for fast
time-to-market.

• 4x smaller than previous best bus


converters
• Simple design for fast time-to-market
• High efficiency
• Powers standard 12 V POL
converters
• 16x capacitance reduction feature

BCM Model VIN VOUT Output Efficiency


Number Package Range Range Power (%)
(V) (V) (W) Call 1-800-735-6200
VIB0101THJ Half 38 – 55 Vdc 12.0 120 W 94.5 (US & Canada only) to order.
B048F120T30 Full 38 – 55 Vdc 12.0 300 W 95.1
B048F096T24 Full 38 – 55 Vdc 12.0 240 W 96.2
vicorpower.com/vichip

Products in mass production and available from stock

800-735-6200 vicorpower.com/bcm-half/edn
PRYING EYES RON WILSON • EXECUTIVE EDITOR
PRY FURTHER AT EDN.COM
+ Go to www.edn.com/pryingeyes
for past Prying Eyes write-ups.

Inside a cellular femtocell


ellular-service providers are trying to shore up their service by selling

C femtocells to customers. These little boxes have to sell for at most a


few hundred dollars and be both user-installed and self-configuring.
And they must provide most of the functions of a full cellular base
station. On power-up, the femtocell configures itself to take advan-
tage of the most available radio frequencies at its current location, establishes an
IPsec (Internet Protocol-security) connection through the user’s Wi-Fi or wired
Ethernet back to the carrier’s femtocell gateway, and checks itself into the carrier’s
wireless network.
The femtocell requires a vari-
To get all of these functions into this price range and into a form factor similar
ety of radios: an HSPA Node
to that of a Wi-Fi hub, designers lean heavily on both a highly integrated, applica-
B transmitter and receiver for
tion-specific system chip and carefully optimized radio and power circuits. This
femtocell operation, an HSPA
evaluation-level reference design of an HSPA (high-speed-packet-access) femtocell
UE (user-equipment) receiver
from silicon vendor PicoChip illustrates the implementation and the care that will
for hand-over and network-
go into femtocell designs. Note that this unit is a lab development board, not an
monitor functions, and a GSM
optimized product. As such, it has a lot of test points, debugging connectors, and so
(global-system-for-mobile)
on. It also has some duplication—for example, several memory types.
communications UE receiver
for hand-over.
Some carriers use the optional SIM (subscriber-
identity-module) card for authentication and security.

An Ethernet
broadband
connection
routes traffic
back onto
the wired
Internet.

The board
requires a vari-
ety of power
supplies at the
lowest pos-
sible cost.

The low-cost, low-power, fully integrated


PicoChip PC302 baseband SOC (system Either an NTP (network-time protocol)
on chip) integrates a Node B modem; a from the left-hand module or an A-GPS
controller, including an RNC (radio-network (assisted-global-positioning-system) cir-
controller) and all associated functions; cuit from the right-hand module provides
interference management; and peripherals. timing and synchronization for stationary
indoor applications.

20 EDN | DECEMBER 15, 2009


Introducing
EDN’s New
Microsite on LEDs
Your complete source for up-to-the-minute
information on LEDs and their applications.

Latest News from the Edi-


tors at EDN, Design News and
other Engineering Magazines

Blogs, including Margery


Conner’s Popular “Power-
Source” Blog

Resource Center, Includ-


ing White Papers, eBooks,
Tutorials, Webcasts
and More

Videos, Including
Design Tips, Application
Demos, and More

Updates on Future
In-Person Workshops
and Seminars, like
this one!

Fun Challenges to Test Your


Knowledge of LEDs

Check It Out Today at


www.edn.com/leds
ngineers can save themselves a lot of grief if

E
they carefully evaluate their designs in software
before committing to silicon or sheet metal.
Model-based-design and early-verification tools
are helping designers, whether they are devel-
oping ICs or airframes, find inevitable mistakes
early and get to market on time. Model-based
design can be of significant value in helping
isolate domain experts, such as medical-device
or aerospace engineers, from the need to understand low-level
hardware and software details (Reference 1). However, they can
also help catch errors at the specification stage that designers
would not otherwise catch until the test the challenges, citing Mentor Graphics’
stage, saving time and money. The avail- SystemVision, for example, as a simula-
able modeling and prototyping tools tor that can help engineers manage me-
span the gamut of applications, from chanics, electronics, software, and con-
mechatronics systems to RTL (register- trols all in one system.
transfer-level)-IP (intellectual-proper- Model-based design and rapid proto-
ty)-based SOCs (systems on chips). typing can be particularly valuable in
Not surprisingly, the automotive in- proof-of-concept work or in ensuring
dustry is aggressively using model-based that a specification meets customer re-
design and simulation as it grapples with quirements. Alliance Spacesystems, for
new technologies, such as hybrid-elec- example, uses the concept in its devel-
tric and fuel-cell vehicles. A recent ar- opment of robotic arms for applications
ticle describes automotive-R&D activi- including the Mars Spirit and Opportu-
ties as comparable to those of the tele- nity rovers (Reference 3). Sean Dough-
communications industry when it over- erty, mechatronics-group supervisor at
came power and chip-size challenges to the company, describes a Hubble-space-
support the evolution of cell phones into telescope application in which replace-
multimedia devices (Reference 2). The ment of a malfunctioning instrument
article touts simulation as a way to meet would require the removal of 100 fas-

MODEL-BASED DESIGN AND EARLY VERIFICATION

AID DESIGNERS
MODELING AND SIMULATION AT LEVELS RANGING FROM CHIP TO SYSTEM
CAN CATCH BUGS EARLY AND ENSURE QUICK TIME TO MARKET.
B Y RIC K NE L SO N • E D I TO R -I N -CH I E F

22 EDN | DECEMBER 15 , 2009


AT A G L A N C E
 The automotive industry is using model-based design and simulation as it
teners. NASA (National Aeronautics
and Space Administration), he says, grapples with new technologies, such as hybrid-electric and fuel-cell vehicles.
was not sure the feat was possible. Using  Simulations often do not connect to requirements, a problem that you can
hardware and software from National address through the definition of requirements-based test.
Instruments, however, Alliance Space-
 For algorithm-intensive applications, engineers are spending 50% or more of
systems within three months prototyped
their time writing verification code.
a functioning robot with X-, Y-, and Z-
axis motion, complete with a vision sys-  In the software-differentiated hardware era, software development costs will
tem to recognize the fasteners. represent more than 70% of total development costs as process geometries
A more down-to-earth application shrink to 22 nm.
for Alliance Spacesystems involves au-  It has become as difficult to handwrite cycle-accurate models as it is to write
tomobile-mounted camera booms that the underlying RTL (register-transfer-level) code.
the movie industry uses to film car chas-
es. Although aerospace and similar pro-
grams tend to have long leadtimes with tions to obtain what they want. Hard- adapt to customers’ evolving needs, rap-
thoroughly reviewed specifications and ware and software, such as National In- idly developing new versions.
requirements documents, filmmakers struments’ LabView and CompactRIO Brett Murphy, manager of technical
are more likely to require frequent itera- platform, says Dougherty, enable him to marketing at The MathWorks, lays out

TOMORROW’S ENGINEERS LEARN MODEL-BASED DESIGN


The engineers of tomor- National Laboratory, investigate vehicle design. the modules inside the
row are getting a taste said that EcoCar is the Zachery Chambers, an vehicle and go out and col-
of model-based design latest effort in the 20-year associate professor of lect some baseline data.”
and simulation through history of advanced- mechanical engineering Chambers advises the
programs such as EcoCar: vehicle-technology at the school, says that teams to figure out their
The Next Challenge, competitions. Wahlstrom the team is working on testing procedure now
which has the support began his career with a parallel pretransmis- while they have something
of the US Department of the competitions as a sion/post-transmission that works before they try
Energy, General Motors, student and now assists electric hybrid. The vehicle to “figure out a testing
The MathWorks, Freescale in organizing the program, will have a downsized 1.3l procedure with something
Semiconductor, National which Argonne manages diesel engine. Between that may or may not work
Instruments, and other for the Department of it and a stock four-speed all that well.”
sponsors that are lending Energy. automatic transmission, See the online version
time, money, and products For the first year of the the team will insert an of this article for more on
to the university-level program, teams worked electric machine to pro- EcoCar and other applica-
competition. The EcoCar only on model-based vide additional capability tions for model-based
program began in the fall design and simulation. to the engine to offset its design and simulation.
of 2008 when students Vehicles began arriving downsizing. In addition,
from 17 universities in the this fall, and teams will the team will place another R E FE R E NCE S
United States and Canada spend the second year electric machine on the A Nelson, Rick, and Jessi-
began a three-year effort building a “mule vehicle,” a rear axle to provide for ca MacNeil, “Grooming
to design and re-engineer term the teams borrowed regenerative braking and the innovators of the
a 2009 Saturn Vue to be from GM’s global- additional acceleration. future,” EDN Global Inno-
more efficient and reduce development program. A As for the importance vators 2008, Nov 2008, pg
emissions (references A mule vehicle will be 60 to of model-based design 18, www.edn.com/article/
and B). 65% ready to go. During and simulation, Chambers CA6608527.
Speaking at a kickoff the final year, teams says, the biggest mistake B MacNeil, Jessica, “Eco-

meeting for the second year will refine their vehicles teams can make is to take CAR uses student innova-
of the program, which took to bring them nearer a a wrench to their vehicles tion to design more effi-
place at The MathWorks production state. too early. “When they have cient cars,” Test & Mea-
headquarters in Natick, Chad Conway, a sopho- an operational vehicle, it surement World, Sept 11,
MA, Mike Wahlstrom, a more at Rose-Hulman really behooves teams to 2008, www.tmworld.com/
control and simulation Institute of Technology, use their CAN [control- blog/Engineering_
engineer at the Center for joined the team last win- ler-area-network]-analysis Students_at_Work/20552-
Transportation Research ter and has been using tools to tap into the vehicle EcoCAR_uses_student_
at the Energy Systems MathWorks and National network to make sure they innovation_to_design_
Division of Argonne Instruments tools to can communicate with all more_efficient_cars.php.
the case for early verification. He says
that aerospace and automotive mem- ERRORS MOST OFTEN
bers of the company’s customer-advi- EMERGE AT THE SPECIFI-
sory boards cite verification and valida-
CATION STAGE; FEWER
C E M OUNT tion as top priorities. Errors most often
S U R FA ru-h ole) emerge at a project’s specification stage, ERRORS MANIFEST
(and th rmers and fewer errors manifest themselves at
THEMSELVES AT THE
fo the subsequent design, implementation,
Tr a n sd u c t o r s and test stages. In contrast, engineers DESIGN, IMPLEMENTA-
& In frequently don’t detect the errors until
the test stage. Murphy presents a multi-
TION, AND TEST STAGES.
stage approach to catching and correct-
Size ing errors earlier. This approach includes
capturing requirements using execut-
does able specifications; using models as the
system-level test benches for algorithms Murphy adds, simulations often do not

matter! and components; simulating to explore


design trade-offs, component interac-
connect to requirements, a problem that
designers can address through the defini-
tions, and system-level metrics; and re- tion of requirements-based test in a pro-
using the same test bench from virtual cess that enables the use of simulations
system integration through to the devel- to ensure designers find requirements
oped system. These techniques are appli- errors early. Companies that have suc-
cable to adopters of model-based design; cessfully employed the approach include
groups developing control systems; and Bell Helicopter. In addition, Murphy
engineers designing algorithm-intensive says, Hyundai has employed MathWorks
signal-processing, imaging, and commu- and SimuQuest tools to model, simulate,
from nications systems. prototype, and deploy an engine-control
low-
profile .19"ht. For adopters of model-based design, unit. The MathWorks is also involved

REQUIREMENTS

• Audio Transformers DESIGN

• Pulse Transformers ENVIRONMENT MODELS

• DC-DC Converter
PHYSICAL COMPONENTS
Transformers
ALGORITHMS
• MultiPlex Data Bus
Transformers GENERATE GENERATE

• Power &
EMI Inductors C, C⫹⫹ VHDL, VERILOG CAD
ia te ly
im m e d
a ta lo g m
fu ll C ics.co
See P
ic o ’s ctron
coele
w w w. p i EMBEDDED
FPGA ASIC HARDWARE
SOFTWARE TEST
or send direct for free PICO Catalog ENVIRONMENTS
Call Toll Free 800 431-1064 IMPLEMENTATION
Fax 914-738-8225
E Mail: info@picoelectronics.com VERIFICATION

PICO Electronics,Inc.
143 Sparks Ave. Pelham, N.Y. 10803-1837
INTEGRATION

Problems can occur when simulations do not connect to requirements. One approach
is to define requirement-based tests and employ simulations to ensure that you find
requirements and design errors (courtesy The MathWorks).
Delivery - Stock to one week

24 EDN | DECEMBER 15, 2009


in the EcoCar challenge for engineering
students (see sidebar “Tomorrow’s engi-
PRODUCT CONCEPT (FUNCTION)
neers learn model-based design”).
Control-system designers, Murphy
says, face challenges as system complex- ALGORITHMIC, MECHATRONIC, AND SYSTEM SIMULATION
ity grows. It then becomes important to
test control algorithms through model- IP DEVELOPMENT SYSTEM PROTOTYPING
ing and simulation and to leverage mod- HIGH-LEVEL
IP HIGH-LEVEL DESIGN VIRTUAL
els through automatic code generation AND VERIFICATION PROTOTYPING EMBEDDED
for a microcontroller, an FPGA, or a SOFTWARE
programmable-logic controller to sup-
port real-time testing. Murphy notes VIRTUAL IP HIGH-LEVEL RAPID
RTL IP SYNTHESIS PROTOTYPING
that Manroland has employed Math-
Works tools to design and model a print-
ing-press controller, run real-time simu- HARDWARE DESIGN AND VERIFICATION
lations, and deploy a production system,
reducing development time by a year.
For algorithm-intensive signal pro- HARDWARE AND EMBEDDED SOFTWARE

cessing in communications, electronics,


semiconductor, imaging, medical, and
aerospace applications, verification time System-level offerings from Synopsys span the gap from product concept to a hard-
and costs are escalating, with engineers ware and embedded-software implementation: Saber, System Studio, and Innovator for
spending 50% or more of their time writ- algorithmic, mechatronic, and system simulation; the DesignWare, System Studio, and
ing verification code. To alleviate the Synphony libraries of high-level IP; DesignWare cores for virtual IP and RTL IP; System
problem, engineers can turn to multi- Studio for high-level DSP design and verification; Synphony HLS (high-level synthesis);
domain system verification, integrating Innovator for virtual prototyping; Confirma for rapid prototyping; and core tools for hard-
Matlab, C/C⫹⫹, and HDL (hardware- ware design and verification (courtesy Synopsys).
description-language) IP into Simulink

CADENCE OrCAD RELEASE 16.3


YOUR WINDOW TO THE FUTURE

New Cadence OrCAD Release 16.3


From front-end design creation with Cadence®
OrCAD® Capture and OrCAD Capture CIS to circuit
simulation and analysis with Cadence PSpice® A/D
and PSpice Advanced Analysis to back-end layout
with Cadence OrCAD PCB Editor, the OrCAD family
of products has been enhanced in the 16.3 release.
By boosting performance and productivity through
new features and functionality, this latest release
helps deliver shorter, more predictable design cycles
for PCB designs.
Join EMA for a Free 16.3 Lunch 'n Learn
To learn more about the OrCAD Release 16.3 attend
a free “Lunch ‘n Learn” in your area. You can register
by visiting www.ema-eda.com/orcad16.3 or call us
at 800.813.7288.

© 2009 Cadence Design Systems, Inc. All rights reserved. Cadence, the Cadence logo, OrCAD, and PSpice are Cadence Channel Partner
registered trademarks of Cadence Design Systems, Inc. All others are properties of their respective holders.
models. Designers can develop a golden of embedded software; Confirma for rap-
reference model in Matlab, develop a
ENGINEERS WHO WERE id prototyping; and core tools for hard-
test bench in Matlab or Simulink, and NOT EXPERT PRO- ware design and verification. One of the
perform cosimulation with embedded company’s newest tools in this market is
IDEs (integrated development environ-
GRAMMERS WERE ABLE the Synphony high-level-synthesis tool,
ments), HDL simulators, or analog sim- TO DEVELOP REAL-TIME which debuted in October. It converts
ulators, leading to a DSP or an FPGA PROTOTYPES WITHOUT Matlab M scripting-language code to
prototype without requiring low-level synthesizable datapath-RTL logic.
programming. Murphy adds that acousti- WRITING CODE. Synopsys’ DSP-algorithm portfolio en-
cal engineers from Philips, who were not hances verification productivity through
expert programmers, were able to devel- HDL-import and SystemC-export capa-
op and test real-time prototypes for a sur- bilities and maximizes simulation per-
round-sound system without writing any formance. System Studio supports al-
low-level DSP code. gorithm optimization and verification
using an executable test bench. The
SOFTWARE DIFFERENTIATION reflects the trend toward higher soft- DesignWare system-level library com-
Frank Schirrmeister, director of prod- ware costs. As a result, designers are us- bines with Innovator to support early
uct marketing for system-level solutions ing embedded processors within their software development and enhance de-
at Synopsys, sees the emergence of the designs to verify the hardware—for ex- sign quality through a SystemC-execut-
software-differentiated-hardware era as ample, by running test benches. able specification.
a key challenge, with software-develop- Synopsys offers a variety of system-
ment costs beginning to represent more level tools, including Saber, System Stu- CYCLE-ACCURATE MODELING
than 70% of total development costs as dio, and Innovator for algorithmic, me- Carbon Design Systems focuses on
process geometries shrink to 22 nm. Cit- chatronic, and system simulation; the cycle-accurate IP modeling for virtual
ing IBS Research and Consultancy, he DesignWare system-level library of high- prototyping of SOCs, providing presili-
says the software costs were less than level IP; DesignWare cores; System Stu- con validation of hardware and software
20% of total development costs at the dio for high-level DSP design and verifi- designs. The company offers a compiler
180-nm node. Synopsys’ own research cation; Innovator for virtual prototyping that reads in RTL in VHDL (very-high-

BEST IN
BEST IN CLASS.
CLASS.AGAIN.
AGAIN.
The APx BW52 Ultra-high bandwidth option offers unprecedented FFT performance:
OneAPx
The million points,
BW52 DC to 1 MHz
Ultra-high bandwidth
bandwidth andoffers
option full 24-bit resolution. Ideal
unprecedented for
FFT performance:
Classmillion
One D amplifier design,
points, DC tounmatched by any and
1 MHz bandwidth otherfull
test instrument,
24-bit and yet
resolution. another
Ideal for Class D
reason why Audio Precision is best in class and the recognized standard in audio test.
amplifier design, unmatched by any other test instrument, and yet another reason
why Audio Precision is best in class and the recognized standard in audio test.

0
0 50k
50k 100k
100k 150k
150k 200k
200k 250k
250k 300k
300k 350k
350k 400k
400k 450k
450k 500k
500k 550k
550k 600k
600k 650k
650k 700k
700k 750k
750k 800k
800k 850k
850k 900k
900k 950k
950k 1M
1M
Frequency
Frequency (Hz)
(Hz)

Learn
Learn more about ultra-high
more about ultra-highbandwidth
bandwidth analysis
analysis at at ap.com/bw52
ap.com/bw52
speed hardware-description language) or that we’ve solved,” says Neifert. Carbon embedded-system design: Doing your
Verilog and produces a model that you then acquired ARM’s SOC Designer job?” EDN, July 9, 2009, pg 20, www.
can link into almost any virtual plat- tool, which handles model generation edn.com/article/CA6668610.
form, including Carbon SOC Designer, for ARM IP, generating 100%-accurate 2 Teegarden, Darrell A, “Simulation

CoWare Platform Architect, and OSCI models for ARM RTL IP and integrating provides key to explosive automotive
(Open SystemC Initiative) SystemC features such as debuggers and program design challenges,” John Day’s Auto-
(Reference 4). Cycle-accurate models in loaders. MIPS faced similar challenges motive Electronics News, Oct 12,
a language such as C represent the RTL in handwriting cycle-accurate models. 2009, johndayautomotivelectronics.
from which designers compile them and “Seeing what we are able to do with the com/?p⫽864.
consequently are more suitable for SOC ARM processors, MIPS decided to fol- 3 “Alliance Spacesystems Relies on

validation than are models you derive low a similar path,” Neifert adds. MIPS, the NI Graphical System Design Plat-
from behavioral descriptions, which may however, chose to employ Carbon’s tech- form to Develop Sophisticated Robot-
not match the RTL performance. nology to generate its own cycle-accu- ics,” National Instruments, 2009, http://
According to Bill Neifert, Carbon’s rate models of IP, such as its M14K and zone.ni.com/wv/app/doc/p/id/wv-1363/
vice president of business development, M14Kc cores, for its customers. upvisited/y.
the company has recently been work- IP providers such as ARM and MIPS 4 Bollaert, Thomas, “SystemC, Ten

ing with ARM and MIPS Technologies aren’t the only customers for Carbon’s Years Later…,” Mentor Graphics Corp,
on cycle-accurate models for increas- technology. Last month, Carbon an- Nov 17, 2009, www.mentor.com/
ingly complex processors. “Continu- nounced that AppliedMicro, which ad- products/esl/blog/post/system
ing handwriting cycle-accurate models dresses energy-conscious computing and c-ten-years-later--be383cde-6b14-
was becoming too onerous a task [for communications applications, selected 4052-b4bb-5a1a61224a74.
ARM] as the company developed more Carbon Model Studio to accelerate the
and more advanced processors,” he says. deployment of SystemC-based virtual
You can reach
“It turned out it was just as much work platforms for presilicon and postsilicon Editor-in-Chief
to write the cycle-accurate models as it software development, performance anal- Rick Nelson
was to write the RTL for the real design.” ysis, and validation of SOC designs.EDN at 1-781-734-8418
As a result, ARM decided 18 months and rnelson@
ago to stop handwriting models. “That’s R E FE R E NCE S reedbusiness.com.
when we stepped in and said that mod- 1 Nelson, Rick, “High-level software for
el generation happens to be a problem

F O R M O R E I N F O R M AT I O N
Alliance Manroland
Spacesystems www.manroland.com
www.alliancespace The MathWorks
systems.com www.mathworks.com
AppliedMicro Mentor Graphics
www.appliedmicro.com www.mentor.com
Argonne National MIPS
Laboratory www.mips.com
www.anl.gov
National Aeronautics
ARM and Space
www.arm.com Administration
Bell Helicopter www.nasa.gov
www.bellhelicopter. National Instruments
com www.ni.com
Carbon Design Open SystemC
Systems Initiative
www.carbondesign www.systemc.org
systems.com
Philips
CoWare www.philips.com
www.coware.com
Rose-Hulman
EcoCar Challenge Institute of
www.ecocarchallenge. Technology
org www.rose-hulman.edu
Freescale SimuQuest
Semiconductor www.simuquest.com
www.freescale.com
Synopsys
General Motors www.synopsys.com
www.gm.com US Department
Hyundai of Energy
www.hyundai.com www.energy.gov
IBS Research and
Consultancy
www.ibsresearch.com

DECEMBER 15, 2009 | EDN 27


“How can I tell if a power supply is reliable?”

There’s an indicator on the front.


It says “Agilent.” With a typical MTBF of 40,000 hours, over half-a-
century of experience, and with more than 250 models to choose
from, Agilent’s power supplies are the ones you can count on. In fact
the array of our power supplies is so extensive, it wouldn’t fit on this
page. For clean, low-noise, programmable power to countless DUTs,
there’s an Agilent power supply with your name on it. Actually, it’s
our name on it, but you know what we mean.

For free measurement tips and the


Agilent Power Products brochure go to
www.agilent.com/find/powertips

Agilent Authorized Distributor

© 2009 Agilent Technologies, Inc. 800-463-9275 www.newark.com/agilent


ANALOG FLOATING-GATE
TECHNOLOGY

COMES
INTO ITS
OWN
B Y PAU L R A KO • TECH NI CAL EDI TO R

ust as its name implies, a floating-gate

J
transistor’s driving terminal is electrically
isolated from the rest of the device—that
is, floating—so there is no direct internal NO LONGER AN ANOMALY,
dc path from the input terminal to the ANALOG FLOATING-GATE
other terminals. Semiconductor companies TECHNOLOGY NOW FINDS
became aware of this technology more than USE IN EVERYTHING FROM
40 years ago when they examined “defec-
CARS TO GREETING CARDS.
tive” ICs that had broken electrical con-
nections to the gate terminal. Little did they then know
that they could use digital versions of these floating-gate
transistors to store information in memories.
Over the last 10 years, however floating-gate design has emerged as a
technique not just for memories but also for many classes of ICs. For exam-
ple, you can use the gate voltage to represent a trim level for internal circuit
nodes. Voltage references can also store the output voltage on a floating gate,
and you can use floating-gate analog circuits to implement advanced signal-
processing functions in audio-recorder chips—recording the audio as analog
samples on the floating gates—in everything from cars to greeting cards.
Silicon Valley start-up GTronix has leveraged work on analog floating
gates at the Georgia Institute of Technology to make analog signal-pro-
cessing chips that store multiplier coefficients as analog voltages on float-
ing-gate transistors. The parts find use in noise cancellation and beam
steering, in which the chip improves the directionality of a microphone in
a cell phone or a Bluetooth headset. Because the signal processing occurs
in the analog rather than the digital domain of DSPs, power consumption
is relatively minuscule. Perhaps just as important in some applications, the
analog signal processing also has much lower latency from input to output.
Researchers are also considering using analog floating-gate techniques to
represent neural networks because analog storage can help them mimic
the behavior of brain cells.

15, 2009 | EDN 29


AT A G L A N C E
Digital floating-gate technology—and  Analog floating-gate storage Analog audio storage has many ad-
its analog counterpart—has had a builds on EEPROM (electrically eras- vantages over digital methods. For ex-
lengthy evolution, which began in the able-programmable-read-only-memo- ample, a digital-memory audio system
late 1960s at Bell Labs, when researchers ry) and flash-memory technology. might record 8000 8-bit samples/sec,
Dawon Kahng and Simon Sze evaluated whereas an analog memory cell could
 Applications for analog floating
the uses of charge storage on insulated store that same sample in one cell, an
gates include analog recording, volt-
gates. They hoped to use this storage as age references, and analog signal
eight-to-one advantage. As Geoff Jack-
a replacement for ferrite-core magnetic processing. son, chief technology officer at Nuvo-
memories (Reference 1). This research ton, notes, analog floating-gate technol-
was an outgrowth of Kahng’s 1960 pat-  Analog storage and signal process- ogy with large memory cells and 8-bit
ent on FETs (field-effect transistors). In ing yield significant power savings. samples can achieve fidelity equivalent
1968, Horst Wegener, a researcher at  Analog processing also offers to that of 10- or 12-bit samples. Farid
Sperry Rand, received a patent on a FET low latencies. Noory, senior technical-marketing man-
memory element using charge stored on ager at the company, notes that the parts
 Producing successful ICs
dielectrics, much as an electret micro- can sample analog data at a 4- to 16-kHz
phone stores charge in wax. requires good understanding of sampling rate.
design, process, and manufacturing.
By 1971, Intel’s Dov Programming a floating-gate memo-
Frohman had filed a patent  The potential uses for analog stor- ry cell to a desired analog voltage is not
for a floating-gate device age and processing are limitless. trivial (Figure 4). It involves the layout
that designers programmed geometries, the thickness of the insulat-
using an electron tunneling quire error-correction circuitry to reduce ing oxide, and the field-strength pro-
through oxide. This technol- the BER. files of each cell, so you cannot be sure
ogy forms the basis of most current float- that every cell you expose to an identi-
ing-gate memory. In 1972, Frohman ANALOG EVOLUTION cal programming pulse will program to
received a patent for EPROM (eras- In 1988, Richard T Simko of ISD (In- the same analog voltage. One of Simko’s
able programmable read-only memory), formation Storage Devices, now Nuvo- fundamental contributions provides for
which requires you to irradiate the die ton), built on the digital floating-gate a series of pulses to the floating gate.
with UV (ultraviolet) light to erase the patents he obtained in 1979 while at Xi- The chip measures the resultant analog
memory. By 1978, George Perlegos, also cor with a patent for high-density-IC an- programmed voltage after each pulse, so
at Intel, had developed a floating-gate alog signal recording and playback (Fig- that programming circuitry can stop the
EEPROM (electrically erasable PROM, ure 3). This patent was the basis for Nu- pulses when each cell reaches the proper
figures 1 and 2). In 1980, Fujio Masuo- voton’s ChipCorder, an analog IC that voltage. As Simko notes in his 1988 pat-
ka at Toshiba developed flash memory, records and plays back audio using float- ent, writing is an iterative, trial-and-er-
the ubiquitous storage medium that now ing-gate storage techniques. As Simko ror process because the analog input sig-
finds use in everything from USB (Uni- states in the patent, the device can elec- nal is only 1 to 2V, for example, and the
versal Serial Bus) sticks to MP3 players tronically store analog information with voltage to charge a floating-gate memory
to digital cameras and solid-state disk reasonable precision but with substan- cell may be 7 to 17V. Further, he notes,
drives. Flash memory does not allow you tially less complexity and memory capac- programming a cell may require as many
to erase individual memory locations ity than digital techniques require. With as 400 pulses, but the chip can program
as EEPROM does but is far cheaper to the system, small errors in recording the a column of memory cells at once, with
manufacture, as prices for memory sticks signal information do not damage the re- the iterative programming process oc-
demonstrate. production quality during playback. curring in parallel.
Analog techniques have also crept in- Today, Nuvoton calls its analog float-
12V
to the flash-memory arena. SLC (single-
level-cell) solid-state flash drives work FLOATING 200A
0V
like traditional memory cells: You pro- GATE
FLOATING 200A
gram the cell to either a one, which is 0V
GATE
full voltage, or a zero, representing no OPEN
voltage. MLC (multilevel-cell) memory SOURCE DRAIN
incorporates more analog elements. You 12V
program a cell to one of four levels, rep- SOURCE DRAIN
resenting 2 bits of data, effectively dou- 12V
bling the memory capacity. Everything
in the analog domain comes with trade-
offs, however. For example, with MLC Figure 1 You program this floating-gate 12V
drives, you gain capacity at the expense memory cell by putting 12V on the
of a worse BER (bit-error rate) and a re- metallization over the floating gate. Figure 2 You erase this floating-gate
duced lifetime because you cannot over- Electrons tunnel through the insulating memory cell by changing polarities to dis-
write MLC devices as many times as you oxide layer to program the gate. charge the gate.
can SLC devices. MLC devices also re-

30 EDN | DECEMBER 15, 2009


ing-gate parts MLS (multilevel-stor-
age) devices, with which it implements
a complete audio-recording and -play-
back SOC (system on chip, Figure 5).
The ChipCorder IC includes a voltage
regulator, a charge pump to make the
programming voltage, a microphone
preamplifier, memory, a PWM (pulse-
width-modulated) power amplifier to
run the speaker, the analog memory ar-
ray, switch-debouncing circuits, and an
SPI (serial peripheral interface) to a
computer. The parts won an EDN Inno-
vation Award in 1991, and Simko and
his co-workers Trevor Blyth and Sakha-
wat Kahn were finalists for Innovators
of the Year in EDN’s 1991 Innovation
Awards (Reference 2).
The analog storage paradigm works
well and is cost-effective for short record-
ings. For example, the ISD5216 chip uses
analog floating gates to record and play
back 16 minutes of audio. For longer re-
cordings, Nuvoton offers chips such as the
ISD151016, which uses digital storage,
conventional flash memory, DACs, and
ADCs, to record and play back 16 min-
utes of audio with better SNR (signal-to-
noise ratio) than analog techniques can
achieve. Deciding on an analog memory
versus a digital one depends on your bud-
get, taking into account the costs of flash
versus those of an analog process. Ana-
log processes tend to have larger features
than those of a current flash-memory die
with its 45-nm features.

DC IS ANALOG, TOO
Figure 3 The 1989 patent on floating-gate analog audio storage describes the architec-
As early as 1989, researchers had pro- ture of the chips.
posed the use of floating-gate an-
alog FETs as a trimming element
2 50
for ICs (references 3 and 4). PROGRAMMING
Then-start-up Impinj planned 1 .8 CURRENT=85 nA 45
CUMULATIVE CHANGE
to use floating-gate structures to 1 .6 IN SOURCE-FOLLOWER 40
hold analog trimming voltages. 1 .4 PROGRAMMING
READ VOLTAGE
35
AFTER SWITCH POINT
In 2003, the company tried to CURRENT CHANGES
SOURCE- 1 .2 FROM 1 ␮A TO 85 nA 3 0 SOURCE-
develop a floating-gate circuit FOLLOWER FOLLOWER
using conventional high-density READ 1 CHANGE IN 2 5 READ
VOLTAGE SOURCE-FOLLOWER VOLTAGE
CMOS, instead of the CMOS (V) 0 .8 READ VOLTAGE 20 (mV)
process with larger features and 0 .6 15
more process layers that EE-
0 .4 10
PROM cells use. At the time, PROGRAMMING
CURRENT=
the company’s Web site touted 0 .2 1 ␮A 5
DESIRED RESOLUTION
its mission as “developing float- 0 0
ing-gate transistors that are an- 6 8 10 12
alog memory devices but [that] COMMON SOURCE VOLTAGE (V)

retain all the attributes of con- Figure 4 Programming an analog floating gate is complex. You must put repeated pulses on the
ventional CMOS transistors.” programming gate and measure the results. This approach accommodates process variations
The practical aspects of this ap- (courtesy Nuvoton).
proach proved so difficult that

DECEMBER 15, 2009 | EDN 31


Figure 5 A floating-gate analog recording device is an entire system on a chip (courtesy Nuvoton).

Impinj had begun by 2005 to downplay age reference relatively large, allowing currents than do bandgap-based parts.
its analog floating-gate technology and them to program the gate voltage to a Intersil programs the parts in sever-
became a supplier of RFID (radio-fre- precise level during manufacturing—a al steps. Further, the IC-design layout is
quency-identification)-tag silicon (Ref- difficult task. “One benefit of floating- complicated and prone to error, and pack-
erence 5). By 2007, the company’s engi- gate structures is that the temperature age stress can affect the parts. “There are
neers were writing articles that indicated coefficient is substantially linear; it does all these mobile ions in an IC process …
it is more practical to use standard-logic not have a bow,” easing temperature really bad when you are storing charge on
CMOS floating-gate structures as digital compensation, says Barry Harvey, a de- a floating gate,” says Harvey. With clever
storage devices (Reference 6). sign fellow at Intersil. This linearity al- design, process, and manufacturing, In-
This turnabout does not mean that so means that you can program the gate tersil has overcome these problems. Few
analog floating-gate trimming structures to any voltage within reason, he adds. design tools are available to help design-
are impractical. It does indicate, how- References using floating-gate struc- ers craft a single floating-gate analog stor-
ever, that if the use of a conventional tures have lower noise at smaller supply age cell, so the engineers at Intersil had
fine-line CMOS is necessary to achiev- to spend considerable time and effort
ing your cost goals, you are better off us- understanding the effects of process type
ing digital storage. Nevertheless, by ac- and process variation on the devices’
quiring Xicor in 2004, Intersil gained the functions. Charge leaking off the gate is
expertise to offer a line of analog parts on the order of a few electrons per sec-
that uses floating gates to store an ana- ond, so the parts are suitable for decades
log voltage. With a voltage reference, of use, even at higher automotive tem-
only one floating gate—the one that peratures (Table 1).
holds the part’s reference voltage—is
necessary. Because analog parts do not ANALOG PROCESSING
need the benefits of fine-line CMOS for Several trade-offs in using an analog
smaller die, it is suitable to use a more floating gate include whether you are
complex CMOS process that has coarser using a fine-line CMOS process, the size
line widths and more process steps to do of the die you are using, and the number
the floating-gate structure. The die for a of floating-gate storage cells your design
reference is small enough that the line- Figure 6 The GTX0050 cancels out noise requires. Another trade-off may make
width penalty is not too severe. from off-axis to a pair of microphones in the use of floating gates especially desir-
Designers at Intersil can make the GTronix’s IR-reflow solderable module. able when you wish to keep your signal
floating-gate structure in the volt- processing in the analog domain. Ana-

32 EDN | DECEMBER 15, 2009


log signal processing uses less power and
has lower latency than its digital coun-
terpart. Although digital signal process-
ing has become ubiquitous, it involves a
significant power penalty. You must dig-
itize the signal, and the fast clock rates
in the digital processing core use a lot of
power. Further, you often must convert
the signal back into the analog domain.
A digital system can perform a 64-point
FFT (fast Fourier transform) using 520 (a) (b)
mW of power, whereas an analog signal-
processing chip uses 13 mW. The analog Figure 7 Using a discrete approach (a) takes up more space than using analog signal
die is also one-fifth the size of the digi- processing, as GTronix does with its GTX0050, which cancels out noise to a pair of
tal die. headphones (b).
The fact that digital systems are, out
of necessity, sampled-data systems gives age of digital coefficients, so your CMOS tute of Technology. Knowing that ana-
rise to latency problems. These problems process is more expensive because it must log signal processing would be beneficial
occur not just because of the delay neces- encompass EEPROM or flash-memory for battery-powered systems, GTronix
sary for taking a sample but also because cells. For this reason, many DSP systems has concentrated on designing parts for
digital signal processing uses filters that use an outboard EEPROM to store coef- cell phones, mobile devices, and Blue-
require digital feedback to locations in a ficients, allowing you to use silicon with tooth headsets. One product line in-
shift register. The number of samples in the cheapest fine-line, logic-only CMOS cludes chips for beam forming in mo-
that register dictate the latency that your process that has the smallest die and the bile phones. The module combines two
system must suffer when you perform fewest masks. carefully spaced microphones and an
digital signal processing. In addition to A pioneer in the use of analog sig- analog signal-processing chip, allowing
higher power and long latency, the reg- nal processing, GTronix has licensed the phone to reject noise sources from
ister requirements may require the stor- several patents from the Georgia Insti- the side and back (Figure 6). The tech-

(a) (b)

(c) (d)

Figure 8 Analog floating gates allow signal processing in the analog domain, saving considerable power and reducing latency.
Processing algorithms for constant-Q filter banks (a), vector-matrix multiplication (b), Gaussian-mixture models (c), and adaptive filters
(d) are available to designers (courtesy GTronix).

DECEMBER 15, 2009 | EDN 33


nique yields significant improvements can design systems that perform DCTs
in clarity and reduction in background ANALOG SIGNAL (discrete cosine transforms), the math-
noise. The company is also pursuing ac- PROCESSING YIELDS ematical basis for the compression that
tive noise cancellation, such as that in MP3 audio and JPEG images use. The
today’s consumer headphones. Although ENOUGH BENEFITS TO only driving factor is that the applica-
these headphones might already use ana- JUSTIFY THE INCREASED tion benefits from low latency and re-
log techniques, the GTronix chip com- quires low power. Performing signal
bines the analog functions into one chip,
COST OF AN HV-CMOS processing in the analog domain yields
further reducing power (Figure 7). PROCESS. enough benefits to justify the increased
Using floating-gate storage and ana- cost of a CMOS process that has wider
log signal processing, you can imple- lines to support analog as well as more
ment almost any signal-processing masks in production to make the float-
function (Figure 8). For example, you ing-gate structures. If you have a large

TABLE 1 REPRESENTATIVE INTERSIL PARTS


Tempera- Maximum
Output Initial ture coef- source Supply Typical Minimum Maximum
voltage accuracy ficient current voltage noise tempera- tempera- Stability Price
Device (V) (mV) (ppm/°C) (μA) (V) (μV p-p) ture (°C) ture (°C) (ppm) (1000) Package
ISL21032BPH306 0.6 ±1 10 12 2.7 to 5.5 30 ⳮ40 130 10 $1.99 SOT-23
ISL21080DIH312 1.25 ±7.5 50 1.5 2.7 to 5.5 30 ⳮ40 85 50 69 SOT-23
cents
ISL21007BFB825 2.5 ±0.5 3 150 2.7 to 5.5 4.5 ⳮ40 125 50 $4.05 SOIC-8
ISL21060CFH633 3.3 ±2.5 25 40 3.5 to 5.5 10 ⳮ40 125 100 95 SOT-23
cents
X60003C-41 4.096 ±2.5 20 0.9 4.5 to 9 30 ⳮ40 85 10 $1.45 SOT-23

CADENCE OrCAD RELEASE 16.3


YOUR WINDOW TO THE FUTURE

New Cadence OrCAD Release 16.3


From front-end design creation with Cadence®
OrCAD® Capture and OrCAD Capture CIS to circuit
simulation and analysis with Cadence PSpice® A/D
and PSpice Advanced Analysis to back-end layout
with Cadence OrCAD PCB Editor, the OrCAD family
of products has been enhanced in the 16.3 release.
By boosting performance and productivity through
new features and functionality, this latest release
helps deliver shorter, more predictable design cycles
for PCB designs.
Join EMA for a Free 16.3 Lunch 'n Learn
To learn more about the OrCAD Release 16.3 attend
a free “Lunch ‘n Learn” in your area. You can register
by visiting www.ema-eda.com/orcad16.3 or call us
at 800.813.7288.

© 2009 Cadence Design Systems, Inc. All rights reserved. Cadence, the Cadence logo, OrCAD, and PSpice are Cadence Channel Partner
registered trademarks of Cadence Design Systems, Inc. All others are properties of their respective holders.
digital chip that supports flash memory, ory devices,” The Bell System Technical
you may be better off doing your signal Journal, Volume 46, No. 4, 1967, pg

New High
processing in digital, but there are more 1288.
and more applications that benefit from 2 Second Annual Innovation Awards,

removing functions from a large digital EDN, 1991, www.edn.com/info/


chip and putting them into specialized
analog chips with process and power
119992.html.
3 Carley, LR, “Trimming analog circuits
Voltage
benefits. Today’s small IC packaging
enables this trend. You can thus add a
using floating-gate analog MOS memo-
ry,” IEEE Journal of Solid-State Cir-
Hi Power
small analog chip to your system with
almost no penalty in the PCB (printed-
cuits, Volume 24, Issue 6, December
1989, pg 1569.
Regulated
circuit-board) area. 4 Harrison, Reid R, Julian A Bragg, Paul
DC-DC
THE FUTURE
Hasler, Bradley A Minch, and Stephen
P Deweerth, “A CMOS Programmable Converters
The future of analog floating-gate Analog Memory-Cell Array Using Float- QP Series
technology will bring further improve- ing-Gate Circuits,” IEEE Transactions Isolated
ments in applications of audio storage, on Circuits and Systems—II: Analog
voltage references, and analog signal and Digital Signal Processing, Volume
processing. Saleel Awsare, president of 48, No. 1, January 2001, www.ece.
Nuvoton, sees applications in appliances utah.edu/~harrison/papers/TCASII
and automotive, consumer, and medical 2001.pdf.
devices. He envisions defibrillators that 5 Wilson, Ron, “Programmable fuse IP

provide spoken instructions and smoke finds life after RFID role,” EE Times, Feb
detectors that provide exit instructions, 7, 2005, www.eetimes.com/show
High Voltage, Isolated Outputs
among others. Spoken warnings and in- Article.jhtml?articleID559300964.
structions are far less distracting to driv- 6 De Vries, Ma, “A logical approach to 100-500 VDC
ers, so the chips have limitless potential NVM integration in SOC design,” EDN, Output Voltages from 5VDC to 500VDC
High Power: to 50 Watts,
in automotive applications. Hubert En- Jan 18, 2007, pg 73, www.edn.com/ Efficiency to 90%
gelbrechten, chief executive officer at article/CA6406729.
Miniaturized Size Package:
GTronix, sees applications as diverse as 7 Lee, BW, BJ Sheu, and H Yang, “Ana-

glass-breaking detection, accelerometer log floating-gate synapses for general- 2.5" X 1.55" X 0.50"
signal processing, and medical-microsen- purpose VLSI neural computation,” IEEE Two Standard Wide Input Ranges
sor monitors. Researchers have also been Transactions on Circuits and Systems, Safe: Short Circuit, Over/Under
looking at using analog floating gates as Volume 38, Issue 6, June 1991, pg 654. Voltage, and Over Temperature
the building blocks for silicon that mim- 8 Fujita, O, and Y Amemiya, “A floating- Protected
ics the neural networks in brains (refer- gate analog memory device for neural Options Available: Expanded
Operating Temperature, -55 to +850C
ences 7 and 8). When you look at the networks,” IEEE Transactions on Elec- Environmental Screening, Selected
function of the optic nerve, for example, tron Devices, Volume 40, Issue 11, from MIL Std. 883
you can see that the nerve is performing November 1993, pg 2029. Ruggedized for Operation in Harsh
a significant amount of signal processing, 9 Smith, PD, M Kucic, and P Hasler,
Environments
greatly reducing the amount of informa- “Accurate programming of analog float-
External Bias Control: For Charge
tion the eye sends to the brain. These ing-gate arrays,” IEEE International Pump Applications
functions are perfect applications for an- Symposium on Circuits and Systems,
Custom Modules: Available to
alog floating-gate structures because they Volume 5, May 2002, pg V-489.
Optimize Your Designs, Special
can store processing coefficients in one Input or Output Voltages Available
silicon memory cell. F O R M O R E I N F O R M AT I O N
Spin-offs from neural research will Georgia Institute Intel
of Technology www.intel.com PICO’s QP Series compliments our 650
give us many more applications for an-
www.gatech.edu Intersil plus existing standard High Voltage
alog floating-gate technology in sensor Modules. Isolated, Regulated,
GTronix www.intersil.com
signal conditioning. Ongoing research www.gtronix.com Programmable, COTS and Custom
Nuvoton
involves improving the accuracy and Impinj www.nuvoton-usa.com Modules available to 10,000 Vdc and
time required for programming a cell www.impinj.com other High Voltage to 150 Watts!
(Reference 9). Analog floating-gate
technology may have taken decades to You can reach www.picoelectronics.com
warm up, but it is sure to be a hot tech- Technical Editor E-Mail: info@picoelectronics.com
Paul Rako at
nology in the coming decade.EDN send direct for free PICO Catalog
1-408-745-1994
Call Toll Free 800-431-1064
and paul.rako@
R E FE R E NCE S FAX 914-738-8225
1 Kahng, Dawon, and Simon M Sze, “A
floating-gate and its application to mem-
edn.com.
PICO Electronics,Inc.
143 Sparks Ave, Pelham, NY 10803-1837

DECEMBER 15, 2009 | EDN 35


H<995G=9GHD767CAD5BMHC8C6IG=B9GGK=H< JU`iYDfchc D76YldfYgg› :i``:YUhifY

GibghcbY7]fWi]hg›d]cbYYfYXh\Ycb`]bYcfXYf]b[cZdf]bhYXW]fWi]hVcUfXgUbX]gh\Y`YUX]b[D76gc`ih]cbgdfcj]XYfk]h\
acfYh\Ub')mYUfgcZYldYf]YbWY]bXY`]jYf]b[eiU`]hmdfchchmdYgUbXYb[]bYYf]b[gcZhkUfY"K]h\h\]g_bck`YX[YUbX
YldYf]YbWY GibghcbY]gXYX]WUhYXhc]adfcj]b[h\YD76dfchchmd]b[dfcWYggZfcaeichYhcXY`]jYfmE&8›"
8]XMci?bck3GibghcbYCZZYfg.
˜7cbhfc``YX]adYXUbWYhYgh]b[  ˜:fYY&)!dc]bhXYg][bfYj]Yk  ˜Cb`]bYEichYCfXYf ˜CjYf--cb!h]aYcfYUf`mXY`]jYfm
˜:]bY`]bYgUbXgdUW]b[O"$$'Q  ˜:fYYg\]dd]b[bcBF9»g  ˜D76%&'›XYg][bgcZhkUfY ˜6YghD76g]bh\Y]bXighfm
˜Fc<GWcad`]UbhÃb]g\Yg  ˜:`Yl#F][]X:`Yl6cUfXg  ˜F:#9lch]WAUhYf]U`g ˜@]jYWighcaYfgiddcfh&(#+#'*)
IT’S BACK, AND IT’S HOT. HERE IS EDN’S LIST
OF THE PRODUCTS AND TECHNOLOGIES THAT
IN 2009 HEATED UP THE ELECTRONICS WORLD
AND GRABBED THE ATTENTION OF OUR EDITORS
AND OUR READERS. YOU’LL FIND AMPLIFIERS, CPUs,
ICs, LEDs, MICROCONTROLLERS, VECTOR NETWORK
ANALYZERS, AND MORE.

VISIT WWW.EDN.COM/HOT100 FOR LINKS TO EDN’S ORIGINAL


COVERAGE OF EACH OF THE HOT 100 PRODUCTS YOU SEE
LISTED ON THESE PAGES. FOR CONTINUOUS NEW-PRODUCT
COVERAGE, CHECK OUT WWW.EDN.COM/PRODUCTFEED.

PRODUCTS

MBER 15, 2009 | EDN 37


IM Flash Technologies Fairchild Semiconductor Nvidia Mentor Graphics
3-bit-per-cell MLC NAND flash FAN9612 PFC controller Tegra CPU FloEFD electronics-cooling-
www.imftech.com www.fairchildsemi.com www.nvidia.com simulation software
Western Digital www.mentor.com
1-Tbyte, 2.5-in. and 2-Tbyte, Freescale Semiconductor PROGRAMMABLE ICs
3.5-in. hard-disk drives MCF51EM microcontrollers Actel National Instruments
www.wdc.com www.freescale.com ProASIC3 family LabView 2009 graphical-sys-

EDN HOT 100 PRODUCTS MICROCONTROLLERS


AND PROCESSORS
Atmel
International Rectifier
IR3725 input-power-
monitoring IC
www.actel.com

Altera
Cyclone3 LS
tem-design software platform
www.ni.com

National Semiconductor
ANALOG ICs AT91CAP7L microcontroller www.irf.com www.altera.com Webench online-design tool
ZeroG Wireless Fairchild Semiconductor Ansys
www.atmel.com www.national.com
Analog Devices Wi-Fi kits for PIC FDMS7650 30V MOSFET Ansoft SIwave Version 4.0
Linear Technology Corp Lattice Semiconductor
AD9269 80M-sample/sec www.zerogwireless.com www.fairchildsemi.com www.ansys.com
Atmel LTC2978 monitoring-and- ECP3 family Verigy
pipeline ADC Berkeley Design Automation
mXT224 maXTouch control chip www.latticesemi.com Triage and YieldVision
www.analog.com COMMUNICATIONS Luminus AFS Nano simulator
touchscreen controller www.linear.com yield-learning tools
AND NETWORKING PhlatLight LED PT-39 chip set www.berkeley-da.com
www.verigy.com
Analog Devices www.luminus.com www.atmel.com Xilinx
Freescale Semiconductor Maxim Integrated Products
ADA4627 JFET op amp Cadence Design Systems Virtex 6
MPC8569E communications MAX16064 monitoring-and- TEST AND
www.analog.com Philips Lumileds Virtuoso APS circuit simulator Cypress Semiconductor www.xilinx.com
processor control chip MEASUREMENT
Luxeon Rebel HB LEDs www.cadence.com PSoc 3 and PSoc 5
www.freescale.com www.maxim-ic.com
Analog Devices www.philipslumileds.com programmable system- RF Agilent Technologies
ADuM4160 bidirectional USB Jasper on-chip architectures Linear Technology Corp J-BERT N4903B jitter-
Fujitsu Maxim Integrated Products
isolator Phoenix Company of Chicago Behavioral Indexing www.cypress.com LTC5541 downconverting tolerance tester
MB86L01A transceiver chip MAXQ3108/DS8102 Poly-
www.analog.com Nonmagnetic-connector family www.jasper-da.com mixer www.agilent.com
www.fujitsu.com/us phase Energy Meter chip set
www.phoenixofchicago.com Energy Micro www.linear.com
EFM32G Gecko microcontroller www.maxim-ic.com Anritsu
Linear Technology Corp Gigle Semiconductor Mentor Graphics
LT3092 two-terminal current Qualcomm Tessent Yield Insight tool www.energymicro.com Texas Instruments VectorStar 110-GHz
GGL541 powerline- Microchip Technology
source Color IMOD display www.mentor.com ADS5400 12-bit, 1G-sample/ vector network analyzer
networking IC dsPIC33F ac/dc reference
www.linear.com www.mirasoldisplays.com Microchip sec ADC www.anritsu.com
www.gigle.biz design
OneSpin PIC32 Connectivity www.ti.com
microcontrollers www.microchip.com Data Translation
Maxim Integrated Products Intellon (now Atheros) SMSC 360MV
MAX11810 touchscreen- INT6400 powerline- CAP10xx capacitive sensors www.onespin-solutions.com www.microchip.com SEMICONDUCTOR Voltpoint instrument
Teridian Semiconductor for lithium-ion cell-by-cell
interface chip networking IC www.smsc.com PROCESSES AND IP
Samsung Electronics and 78M6612 power- and energy- measurement
www.maxim-ic.com www.intellon.com Synopsys Akya
Intrinsity measurement chip www.datatranslation.com
www.atheros.com STMicroelectronics Custom Designer 2009.06 ART2 reconfigurable-
Hummingbird and Fast14 www.teridian.com
Microchip Technology LIS302DLH digital MEMS www.synopsys.com logic technology
MCP651/2/5 offset-voltage- accelerometer domino logic LeCroy
PMC Sierra Texas Instruments www.akya.co.uk
corrected operational amplifier www.st.com Synopsys www.samsung.com Xi-A Series 400-MHz to
HyPHY 20G MSP430F471xx ultralow-
www.microchip.com Synphony www.intrinsity.com 2-GHz WaveRunner digital
www.pmc-sierra.com power microcontrollers IBM
Texas Instruments www.synopsys.com oscilloscopes
www.ti.com Power7 architecture
National Semiconductor Solarflare Picoprojector development kit POWER www-03.ibm.com www.lecroy.com
ADC16DV160 ADC SFL 902x www.ti.com Synopsys austriamicrosystems
Yield Explorer
PROCESSORS AND Rohde & Schwarz
www.national.com www.solarflare.com/index.php AS1302 charge-pump dc/dc On Semiconductor
DSPs www.synopsys.com boost converter TOOLS CMW500 6-GHz wideband-
180-nm ASIC process
APPLIED SYSTEMS www.austriamicrosystems.com AMD radio-test system
COMPONENTS Ceva www.onsemi.com
Ceva-XC DSP core GRAPHICS/GRAPHICS- Athlon II CPU www.rohde-schwarz.com
Altium Bergquist
www.ceva-dsp.com INTERFACE ICs Cissoid www.amd.com
NanoBoard 3000 Bond Ply 450 thermal- Snowbush Division
Magma PWM-controller chip Tektronix
www.altium.com interface material IDT of Gennum
Tensilica www.cissoid.com AMD RSA6120A 20-GHz spectrum
www.bergquist.com VMM 1300 PanelPort PCI Generation 3 controller IP
ConnX D2 DSP Phenom II CPU analyzer
Lantronix ViewXpand chip www.snowbush.com
www.tensilica.com EaglePicher www.amd.com www.tektronix.com
IPv6-certified SpiderDuo Bourns www.idt.com
KVM-over-IP product Lithium-CFX (carbon-mono- Synopsys
Hot-dipped-tin ROHS- AMD
www.lantronix.com Texas Instruments Nvidia fluoride) battery chemistry DesignWare miniPower
compliant cermet-wire-termi- Shanghai and Istanbul CPUs
TMS320C6472 DSP Ion core-logic chip set www.eaglepicher.com www.synopsys.com
nal trimming potentiometers www.amd.com
MEN Micro www.bourns.com www.ti.com www.nvidia.com
ESMexpress system Enpirion SOFTWARE
Texas Instruments EN5337QI 5MHz, 3A synchro- Intel
on module Cool Innovations Silicon Image Audio Precision
TMS320C6743 fixed/floating- nous buck dc/dc converter Atom N280 CPU
www.menmicro.com Flared-pin-fin heat sinks HDMI Version 1.4 chips APx500 Version 2.4 software
point DSP www.enpirion.com www.intel.com
www.coolinnovations.com www.siliconimage.com www.ap.com
WinSystems www.ti.com
Exar Corp Intel
Pico-ITXe SBC Epson Toyocom MEMORY AND DDC-I
EDA XRP7704 and XRP7740 quad- Core i5 CPU
www.winsystems.com EG-4101/4121CA SAW STORAGE www.intel.com DEOS RTOS
Agilent Technologies PWM-controller chips
resonator Agiga Tech www.ddci.com
ADS signal-integrity channel www.exar.com
www.epsontoyocom.co.jp/ AgigaRAM technology Intel
english simulator www.agigatech.com Nehalem EP and EX CPUs
www.agilent.com
www.intel.com
38 EDN | DECEMBER 15, 2009 EDN 39
B Y K AY HE A R N E A N D JO H N H O R A N , PhD • REDMERE

Precision equalization and


test bring high-performance,
low-cost cabling
THE HIGH DATA RATES OF COMMUNICATION PROTOCOLS MEAN TIGHT TIMING
BUDGETS FOR THE COMMUNICATIONS CHANNEL, INCLUDING THE INTERCON-
NECTING COPPER CABLE. LOW-COST SIGNAL-CONDITIONING CIRCUITS AND
CABLE-MEASUREMENT SYSTEMS CAN ADDRESS THIS PROBLEM BY CREATING
RELIABLE, COST-EFFECTIVE CABLES FOR CONSUMER PRODUCTS.

he first step in understanding and allowing for

T
electrical variations in low-cost cables is to es-
tablish a timing budget. The data rate sets the
timing budget for the entire data channel. For
example, a 3.4-Gbps channel has a bit time or
UI (unit interval) and, hence, total budget of 294
psec. Designers must divide this budget among the receiver,
the transmitter, and the interconnecting cable. The receiver
requires an eye diagram with a 50%-open eye to adequately
recover the data, thus using up half of the available budget.
Transmitters are somewhat less budget-hungry. The random-
jitter component of a transmitter with a state-of-the-art clock
source would require 35 psec, or 12% of a UI at 3.4 Gbps. Figure 1 A vector network analyzer measures differential inser-
Other unavoidable deterministic sources of noise, such as tion loss over frequency for the best cable, the worst cable, and
clock-source duty-cycle distortion and power-supply noise, an average cable from a batch of 32 5m AWG28 passive HDMI
can increase the total transmitter budget until it makes up cables from the same bulk reel.
30% of a UI. This amount leaves the cable with only 20%
of a UI, or 60 psec for a 3.4-Gbps channel, to work with—a
tough target by any standard. equalizer gives a gain of approximately 10 dB at the data fre-
quency to the signal. Without this gain, all of the eyes would
PROCESS VARIATIONS remain closed, meaning that you would be unable to discern
Low-cost copper cables are subject to significant electrical relative channel quality.
variation. One way to address this problem is to capture the From the plots in Figure 2, you can clearly see that signifi-
quality of the data channel using analysis, such as insertion- cant eye degradation occurs between Cable 1 with ⫺10-dB
loss measurement. Figure 1 shows the result of insertion-loss insertion loss and Cable 3 with ⫺16-dB insertion loss (Fig-
measurement using a VNA (vector network analyzer). The ure 2a). The eye mask is set for a receiver requiring a 50%
analyzer measures the differential insertion loss over frequency eye opening. Cable 1 and the transmitter show a high-qual-
of 32 passive, 5m AWG28 HDMI (high-definition-multime- ity open eye with no eye-mask violations. The transmitter is
dia-interface) cables from the same bulk reel. The measure- a laboratory data-pattern generator with low noise sources,
ment analyzes the best cable, the worst cable, and an average using approximately 10% of the timing budget. Cable 2 and
cable from the batch. The differential insertion loss at the the same transmitter have some eye-mask violations; hence,
HDMI data frequency of 1.7 GHz for a 3.4-Gbps data channel there may be errors in the received signal (Figure 2b). Cable 3
ranges from ⫺10 to ⫺16 dB. and the same transmitter have significant eye-mask violations
An alternative method of measuring cable performance uses and hence a completely unrecoverable signal (Figure 2c).
an eye diagram, which takes into account all sources of signal Now consider the reasons for the electrical eye variations
degradation and presents them in a convenient form. Look- in this batch of cables in the context of the steps involved in
ing at eye diagrams makes it immediately obvious how much cable production. Step 1 is to make an insulated copper core.
of the data-channel timing budget your design has consumed. As with any manufacturing process, the material properties
Using an oscilloscope, you apply a fixed mathematical equaliz- and physical dimensions of the copper and the insulator
er to each measurement before creating the eye diagrams. This have an average value and tolerances on the order of ⫾10%.

40 EDN | DECEMBER 15, 2009


Figure 3 Equalizer transfer functions have successively increas-
ing gain levels.
(a)

Figure 4 You can use equalizer transfer functions to equalize


increasing lengths of AWG32 cable.
(b)

(c)
Figure 2 Eye diagrams for cables 1, 2, and 3, respectively, show
a high-quality open eye with no eye mask (the black hexagon in Figure 5 Equalizer transfer characteristics have different gains
the middle of the eye) and ⫺10-dB insertion loss (a); some eye- with different low-frequency characteristics.
mask violations, indicating there may be errors in the received
signal and ⫺12-dB insertion loss (b); and significant eye-mask
violations with a completely unrecoverable signal and ⫺16-dB Step 2 is to create a differential pair, usually by twisting
insertion loss (c). two insulated cores around each other. The twisting tech-
nique makes the distance between the cores both small and
consistent, which helps control the differential characteristic
The material properties and physical dimensions vary along impedance and reduces the electromagnetic emissions from
the cable’s length. This variation in turn causes variations the pair. This technique’s lack of precise control, however,
in conductivity, permittivity, and permeability. At a higher can lead to intrapair skew—a significant contributor to elec-
level, these electrical properties affect cable parameters, such trical eye variability—due to a difference in length between
as characteristic impedance and attenuation profile. Vari- the two component wires. In some cases, twisting the cables
ability in these properties directly affects the electrical eye. more slowly can improve this length mismatch. However, this

DECEMBER 15, 2009 | EDN 41


(a)

Figure 6 You can use equalizer transfer functions to equalize two


channels of an 8m AWG32 cable. EQ A is a reasonable but not
optimal choice for Channel 2, EQ C is the best transfer function for
Channel 1, and EQ B is the best transfer function for Channel 2.

(b)

Figure 7 Accurate signal conditioning and eye measurement


result in high cable yield.

approach results in longer production times and so creates the (c)


need to trade off cost versus quality.
Step 3 is to assemble the bulk cable with the required number Figure 8 With the application of tuned equalization to each cable
of pairs and cores, which loosely wrap around each other along output for the same three cables as those in figures 2a, b, and
the cable length. Adjacent signal pairs typically have some c, respectively, but with the application of tuned equalization to
crosstalk. Both physical proximity and the presence or absence each cable output, all the cables now have high-quality eyes with
of electromagnetic shielding between the wires affect crosstalk. no mask violations.
Choosing the quality and thickness of the shield is also a cost-
versus-quality trade-off in cable production.
Step 4 is the connection or termination of the bulk cable to all of these fluctuations combine to cause variation in eye
the connector. Termination involves stripping back a certain quality.
amount of shielding and insulation to gain access to the bare
conductors. This lack of insulation and shielding, even over SIGNAL CONDITIONING
short distances, can cause significant characteristic imped- Cable-process data clearly shows that each channel within
ance variation and an increase in crosstalk, both of which a cable may differ due to process variations and hence may
cause variation in the electrical eye. Minimization and con- require different levels of signal conditioning to sufficiently
trol of the strip-back distance help to reduce these problems. re-create the signal. The signaling-conditioning circuit, or
A further source variation occurs when you solder each wire equalizer, applies an approximation of the inverse of the cable-
to the connector. You must design the impedance of the sol- loss characteristic at the output of the cable. The first step in
der-fillet form making the contact to avoid an impedance dis- designing an equalizer for a cable is to produce a filter-transfer
continuity. In designing the processes necessary for terminat- function that has a gain at the data frequency that is equiva-
ing a bulk cable, the manufacturer must trade off cost versus lent to the cable loss at the data frequency. Figure 3 shows a
quality. range of equalizer transfer functions that gives increasing gain
In short, manufacturers must perform a number of steps in at the data frequency. Figure 4 shows how you can use each of
the production of a cable, and each step requires precision these equalizer transfer functions to equalize increasing lengths
to maximize signal integrity. In volume production, however, of AWG32 cable. Designers can make these measurements
the parameters in each process step vary to some degree, and using an oscilloscope, a tunable equalizer, and cables that rep-

42 EDN | DECEMBER 15, 2009


resent the mean parameters of the batch. The fig- ume cable products—USB (Universal Serial Bus)
+ Go to www.edn.
ure illustrates an optimal gain level for each cable 2.0, for example—traditionally used statistical
com/ms4350 and
length; selecting any gain level above or below process control to guarantee cable performance.
click on Feedback
this level causes a degradation in performance. Figure 2 shows the drawbacks of this method. In
Loop to post a com-
Thus, you need some method of selecting this op- the higher-priced enterprise markets, expensive
ment on this article.
timal equalizer gain level for a cable. and time-consuming oscilloscope-based systems
Important criteria for this selection include the can be used to test the cables. Consumer products
gain level not only at the data frequency but also at lower fre- cannot afford such test systems. A purpose-built tester is thus
quencies. Figure 5 shows three equalizer transfer characteris- required. The criteria for this tester are low cost; accuracy, ide-
tics with the same gain at the data frequency but with gains ally to ⫾5 psec; and speed of less than 1 sec per cable. With
that differ by as much as 2 dB at one-tenth of the data rate. this accuracy and speed achieved, it is trivial to check a range
The lowest frequency of interest depends on the longest run of equalizer characteristics to find the optimum setting. A sim-
of the data stream. An 8b/10b data stream has a maximum run ple user interface and mechanical robustness make it compat-
length of five, whereas an HDMI data stream typically has a ible with the production environments for such cables.
maximum run length of 11. This length places greater demands Figure 7 shows the result of running a custom-built in-house
on the equalization function. Figure 6 shows how you can use tester across a range of 27 cables of various lengths and quanti-
these equalizer transfer functions to equalize two channels of ties. The 0-dB point on the X axis is the best equalization filter
an 8m AWG32 cable. EQ A is a reasonable but not optimal available. As you move toward the left along the graph’s X axis,
choice for Channel 2, EQ C is the best transfer function for you’ll see that the signal is underequalized; as you move toward
Channel 1, and EQ B is the best transfer function for Chan- the right along the X axis, the signal is overequalized. Thus,
nel 2. Thus, relatively subtle changes of equalizer characteristic good equalizer selection significantly improves the cable yield,
can affect the performance of this cable. This situation empha- and the ability to measure the cable eye ensures the quality
sizes the need for both careful equalizer-characteristic selection of the shipped product. Figures 8a, b, and c show the oscil-
and the availability of a wide range of equalizer characteristics loscope-measured eye diagrams for the same three cables as
to maintain end-product performance. those in Figure 2 but with the application of tuned equaliza-
Given the wide process spread across low-cost, high-volume, tion to each cable output. Each cable requires a different level
and high-data-rate cables, how does the manufacturer guaran- of equalization, and all the cables now have high-quality eyes
tee the quality of every cable? Low-speed, low-cost, high-vol- with no mask violations.EDN

DC- DC EMI NOISE ...CANCELLATION


Picor QUIETPOWER™ Active Filters suppress EMI AND save PCB space

QUIETPOWER™
Typical DC-DC Converter Input EMI High Performance
 Up to 60 dB CM attenuation
 Up to 80 dB DM attenuation
 Up to 14 A and 80 V
ONLY 1 in2
 Supports EN55022, Class B limits

Very Small Size


 <50% area vs. most passive filters
 Low profile (4.5 mm) SMT package
 Optional Integrated Hot-Swap
for even greater space savings

AVAILABLE!
Easy to Use
Evaluation Boards
Call 800-735-6200

picorpower.com/QPI/edn • 800-735-6200 Defining the Quality of Power through Innovation


9œÕÊV>˜½ÌÊ}iÌÊ>˜ÞÊVœœiÀÊ̅>˜ÊVÌi½ÃÊ""ÁÊ œÜiÃÌÊ*œÜiÀ œÜÊ*œÜiÀ
*ðʘ`Ê܈̅Ê̅iʈ˜`ÕÃÌÀÞ½ÃÊ܈`iÃÌÊÀ>˜}iʜvÊ
«>VŽ>}iÃp>ÃÊÓ>Ê>ÃÊÎÝÎʓ“pޜÕÊ>ÃœÊV>˜½ÌÊ
}iÌÊ>˜ÞÊÓ>iÀ°Ê""Ê*ÃÊ>ÀiÊ«iÀviVÌÊvœÀÊ>Ê )',//E )',//
NANO )',//0,53 0RO!3)#% 0RO!3)#
NANO 0RO!3)#,

œvÊޜÕÀÊ«œÀÌ>Li]ÊL>ÌÌiÀއ«œÜiÀi`Ê>««ˆV>̈œ˜Ã]Ê 0OWER 0OWER


AND3IZE
0OWER
AND)/S
0ERFORMANCE #OST 0OWERAND
0ERFORMANCE
>˜`Ê>˜Þ܅iÀiÊޜÕʘii`Ê̜ÊÃÌÀiÌV…ÊޜÕÀÊ«œÜiÀÊ ,OW0OWER

LÕ`}iÌÊ̜Ê̅iʓ>݈“Õ“°Ê 3MALL&OOTPRINT
Ê ,OGIC$ENSITY

iÈ}˜ˆ˜}Ê«Àœ`ÕVÌÃÊvœÀÊ̅iÊVœ˜ÃՓiÀ]ʈ˜`ÕÃÌÀˆ>]Ê )/TO,OGIC2ATIO
^ÊÓää™ÊVÌiÊ
œÀ«œÀ>̈œ˜°ÊÊÀˆ}…ÌÃÊÀiÃiÀÛi`°

“i`ˆV>]Ê>Õ̜“œÌˆÛiʜÀÊVœ““Õ˜ˆV>̈œ˜Ãʓ>À‡ 3PEED

ŽiÌöÊ7i½ÛiÊ}œÌÊޜÕÊVœÛiÀi`Ê܈̅Ê>ÊvՏÊÀ>˜}iÊ ,OW#OST

œvÊ܏Ṏœ˜Ã°ÊÃʏœ˜}Ê>ÃÊ«œÜiÀʓ>ÌÌiÀÃ]ÊVÌiÊ "EST 'OOD

*ÃÊŜՏ`ÊLiÊ>ÌÊ̅iÊ̜«ÊœvÊޜÕÀʏˆÃ̰ʈ˜`ʜÕÌÊ
“œÀiÊ>ÌÊ>VÌi°Vœ“°

'ETYOURBATTERY POWERED)',//)CICLE%VALUATION+IT
ANDSTARTDESIGNINGTODAYACTELCOM)CICLE+IT
EDITED BY MARTIN ROWE

designideas
AND FRAN GRANVILLE

READERS SOLVE DESIGN PROBLEMS

Compact, four-quadrant D Is Inside


lock-in amplifier generates 47 Eight-function remote uses

two analog outputs one button, no microcode


48 Doorbell transformer acts as
Stefano Salvatori and Marco Girolami, simple water-leak detector
Universitã Degli Studi Roma Tre, Rome, Italy
49 Inverted regulator increases
The circuit in this Design Idea use in sophisticated signal-processing

choice and reduces complexity
realizes a simple, low-cost lock- applications, including synchronous
in amplifier employing an Analog De- detection. The amplifier can detect a 50 Debug a microcontroller-to-FPGA
vices (www.analog.com) AD630 bal- weak ac signal even in the presence of interface from the FPGA side
anced modulator-demodulator IC noise sources of much greater ampli- 왘To see all of EDN’s Design Ideas,
(Reference 1). The device uses laser- tude when you know the signal’s fre- visit www.edn.com/designideas.
trimmed thin-film resistors, yielding quency and phase.
accuracy and stability and, thus, a flex- As an analog multiplier, the AD630
ible commutation architecture. It finds reveals the component of the input- voltage signal in a narrow band around
the frequency of the reference signal.
IC1 The lowpass filter at the AD630’s out-
AD630
16 5k 10k put allows you to gain information on
the weak signal amplitude, which the
1 2.5k 15 uncorrelated noise originally masked.
ⳮ 
20 ⳮ A

A1
19
13

When the input voltage and the refer-
ⳮB
17 2.5k 5V
OA2
ence voltage are in phase, the lowpass
 
VIN
14 10k filter’s output, VOUT, assumes the max-
VOUT 0 imum amplitude. Conversely, if the
7
0 REFERENCE 10
VA input voltage and the reference volt-

REF age are in quadrature, the output volt-
9
ⳮ age would ideally be 0V. In this way, if
8
ⳮ5V
both in-phase and quadrature reference
signals are available, two balanced de-
IC2
AD630
modulators reveal the in-phase output
16 5k 10k
voltage to be 0 and the in-quadrature
output voltage to be 90. You can cal-
1 2.5k 15

A2

20 ⳮ A culate the module and phase shift as
13
 19
ⳮB
ⳮ follows:
17 2.5k 5V
OA3
 
2 2
14 10k
VOUT = V OUT 0 + V OUT 90 ;
VOUT 90
7
VMON
⎛V ⎞
∠ VOUT = tanⳮ1 ⎜ OUT 90 ⎟ .
VR2 10


VA
90 REFERENCE 9
ⳮ ⎝ VOUT 0 ⎠
ⳮ 8
ⳮ5V
OA1
VR1  The two AD630s have a gain of 2
and receive the amplified signal, VIN,
Figure 1 OA1 integrates the bipolar VA signal and creates a triangular wave. through two identical amplifiers, A1
VR1 and VR2 obtain a 90-shifted reference voltage with respect to VA. and A2. At Pin 7 of IC1, a bipolar 5V
squared signal appears in phase with

DECEMBER 15, 2009 | EDN 45


designideas
the reference signal. OA1 integrates of the reference signal. In this way,
the amplifier voltage, which generates AN INCREASE IN THE the accuracy is equal to 3/N1.
a triangular wave that IC2’s compara- To maintain accuracy at least com-
tor compares with the VR2 voltage. You NUMBER OF BITS parable with that of the AD630, the
must regulate VR1 and VR2 to obtain a DECREASES THE N1 output of Counter 1 would be the
perfect 90⬚-shifted command for IC2. highest. However, an increase in the
You can monitor the voltage at IC2’s
MAXIMUM REFER- number of bits decreases the maximum
Pin 7. Measurement accuracy and re- ENCE FREQUENCY. reference frequency for a given digital-
peatability depend strongly on the RC clock frequency if you want N1 to reach
time constant of the integrator and the high values. For example, if N is 15
values of VR1 and VR2. command at the N2⫽1 value when its bits, the N1 output assumes the 32,767
You can use a different approach value reaches the comparator-mea- maximum value with an accuracy of
to generate in-phase and in-quadra- sured N/4 quantity. approximately 0.01%. If the reference-
ture reference signals. Figure 2 shows To overcome the lack of the last time period decreases, you can assume
an all-digital circuit, which you can EQ signal when the reference time is a minimum value of 3277—that is,
implement in a small CPLD (com- greater than approximately four times one-tenth of the maximum value—for
plex programmable-logic device) to the N/4 integer value, the OR combi- N1, with a correspondingly lower accu-
generate the 0 and 90⬚ reference sig- nation of the two RST and EQ pulses racy of 0.1%, which is comparable to
nals in Figure 1. Counter 1 measures yields four almost-equidistant posi- the gain accuracy of the AD630. To in-
the reference-signal time in terms of tive-edge commands in each refer- crease the reference frequency, divide
the N number of digital clock pulses, ence-time period. The N/4 integer di- the digital clock’s frequency to select
where the reference time can be dif- vision, a logical right shift by 2 bits of low values when the reference time be-
ferent from 50%. It receives a preset N1, gives a maximum error of three on comes too long.EDN
command at the N1⫽1 value at each the last pulse position. These pulses
positive front edge of the reference sig- generate the in-phase and in-quadra- R E FE R E NCE
nal. D-type flip-flop IC1 generates such ture signals, 0 and 90⬚, respectively, 1 “AD630 Balanced Modulator/

pulses. At each positive edge of the resulting from simple commutations Demodulator,” Revision E, Analog
reference signal, IC2 acquires the N/4 on the positive or negative edges of Devices, 2004, www.analog.com/
value. Meanwhile, Counter 2 counts the signal. T-type flip-flop IC3 gener- static/imported-files/data_sheets/
the clock periods and receives a restart ates a signal with twice the frequency AD630.pdf.

REF

REFERENCE D Q VCC
IC1
Q VCC
COUNTER 1 T Q 0⬚ REFERENCE
2⫻FREF
N-BIT Nⳮ2 Nⳮ2 N T Q
1 VCC
COUNTER D Q A IC3
Q1 IC2 A=B
RST Q0 T Q 90⬚ REFERENCE
B

REGISTER COMPARATOR 4⫻FREF


COUNTER 2
Nⳮ2
N2

RST

FREF RANGE: 0.6⫼6 kHz 60⫼600 Hz 6⫼60 Hz 0.6⫼6 Hz


(N=15)

VCC 20 MHz 2 MHz 200 kHz 20 kHz

RCI RCO RCI RCO RCI RCO


MOD 10 MOD 10 MOD 10
CLK

Figure 2 You can implement this all-digital circuit in a small CPLD.

46 EDN | DECEMBER 15, 2009


Eight-function remote uses Normally, the output of the NAND
gate is low because both inputs must
one button, no microcode be logic one to produce a logic-one
output to close one of the CMOS
Jay Davis, Boeing Integrated Defense Systems, Wichita, KS switches, IC5 and IC6.
Many people with significant Power for the circuit in Figure 1 If the user presses the control switch
 physical disabilities can’t operate comes from four 1.5V AA batteries while the desired LED is lit, both in-
everyday mechanisms, such as TV re- in series. Diodes D1 through D4 re- puts to one of IC3’s AND gates are at
mote controls. To make matters worse, duce the battery power from 6V to logic one, causing the output to be logic
adaptive technologies are often unaf- approximately 3.4V, and they pro- one and closing a 4066 switch, which
fordable unless insurance covers them. tect against accidental reverse polar- is effectively the same as pressing one
This Design Idea describes an interface ity of the batteries. IC1, a 555 timer, of the buttons on the remote control.
circuit that lets a disabled person con- and associated discrete components As long as the control switch remains
trol eight remote-control functions. form a repetitive-pulse generator. closed, the 555 pulses remain disabled
The design uses older, small-scale-inte- Potentiometer R1 adjusts the pulse and LED1 through LED4 remain in
gration ICs because of their simplicity, speed. This pulse feeds directly into their current state. This characteristic
low power requirements, affordability, decade counter, IC2, which causes in- is important because a person can con-
and availability at stores such as Radio dicator LEDs LED1 through LED4 to tinue to hold the control switch closed
Shack (www.radioshack.com). Because sequence on and off. Each output of to continuously increment the chang-
the circuit uses no microcontroller, you the decade counter feeds one input of ing of a channel or increase or decrease
need not do any programming. CMOS gate IC3 and AND gate IC4. the volume.EDN
VCC

REMOTE
VCC VCC CONTROL
R4
1k
8 4 16
4081 IC5
5 4066
4
3 6 IC3A 3
CH⫹
LED1 4
R2
1.8V 4081
15k 1 4066
2 mA
2 IC3B 3 1
2 CHⳮ
7 IC1 3 14 IC2 LED2 2
TLC555 4017 1.8V 4081
9 4066
D5 D6 D7 2 mA 10 8
1N4001 1N4001 1N4001
4 8 IC3C VOL⫹
6 LED3 9
R3 R1 1.8V 4081
1k 5 4066
1M 2 mA 4
2 7 6 IC3D 3
VOLⳮ
CLK ENB LED4 4
C1 1.8V
4.7 ␮F 8 13 6 5 1 10
2 mA
4081 IC6
9 4066
IC4A 10 8
8 VCR
LED5 9
1.8V 4081
D1 D2 D3 D4 13 4066
2 mA 11
1N4001 1N4001 1N4001 1N4001 12 IC4B 10
CABLE
3.4V
LED6 11
VCC 4081
1.8V 1
2 mA 3 4066
FOUR 2 IC4C 1
AA TV
LED7 2
BATTERIES 4081
1.8V 13
2 mA 11 4066
12 IC4D 10
POWER
LED8 11
1.8V
2 mA

R5
1k

Figure 1 This interface circuit allows a disabled person to control eight remote-control functions.

DECEMBER 15, 2009 | EDN 47


designideas
ever, if you only infrequently visit the
Doorbell transformer acts attic, you may not discover that your
hot-water heater is leaking until it is
as simple water-leak detector too late. By that time, it may cost you
Jeff Tregre, www.BuildingUltimateModels.com, Dallas, TX hundreds of dollars to repair the water
damage to ceilings and walls.
Shortly after installation, the whether it will leak; it is simply a mat- The circuit in Figure 1 detects hot-
 simple water-leak-detector cir- ter of when it will leak. The builders water-heater leakage, and you can also
cuit in this Design Idea saved the day of new homes in the Midsouth region use it for detecting leaks in dishwashers,
and hundreds of dollars. The average of the United States have been install- garbage disposals, ice makers, swimming
life expectancy of a hot-water heater ing hot-water heaters in attics. This pools, hot tubs, and waterbeds. Figure
is about 10 years. It’s not a question of approach saves valuable space; how- 2 shows the completed circuit.
Most doorbell transformers produce

DOORBELL TRANSFORMER D1 D2

ⳮ ⫹
TO 120V AC 16V AC

D4 D3
26V DC


C1
R1
220 ␮F
5.1k
PUSH TO TEST 35V

S1 NO 6V DC
WATER PROBES
R5 R2 2N3906
R4 10k 2N2222A 10k
10k Q1
Q2
R3 ⫹
10k

6V PIEZOELECTRIC
SPEAKER

Figure 1 A transformer and a bridge provide power for the


speaker. Figure 2 The circuit includes a push-to-test button.

Figure 4 The completed probe


with bare wire inside senses water
Figure 3 Use a sponge and copper wire to form a water probe. through a change in resistance.

48 EDN | DECEMBER 15, 2009


16 to 20V ac. To drive the buzzer, you the speaker so that you’ll hear it when You can now place this sponge in
must convert the ac voltage to dc: Mul- it sounds. the metal overflow tray underneath
tiply the ac voltage by 1.414 to yield Transistors Q1 and Q2 can be any the hot-water heater. When the hot
the dc-rms voltage. Connect the wires general-purpose NPN and PNP types, water leaks, the sponge absorbs it.
to the secondary side of the transform- respectively. The water probes use cop- The resistance between the two bare
er to a bridge rectifier and then into per wires about 1 in. apart from each copper wires then drops to about 1
a filtering electrolytic capacitor. Your other. You then pierce two holes, about M⍀ or less, which forward-biases the
power supply should now be providing 1 in. apart, into a sponge from a sol- two transistors and enables the piezo-
about 26V dc. The 5.1-k⍀ resistor, R1, dering station. Insert bare copper wire electric speaker. The cost for this cir-
limits the current to the buzzer. When into these holes (Figure 3). Take some cuit shouldn’t exceed $25. If you have
the system detects water or when you of the remaining wire but leave the in- more than one hot-water heater in the
press the push-to-test switch, you have sulation on it and wrap it around the same area, you can make another water
about 6V dc to operate the circuit and sponge so that the bare copper wire probe and tie the two probes together
sound the piezoelectric speaker. Mount does not come out (Figure 4). in parallel.EDN

Inverted regulator increases choice can detect a voltage increase indi-


cating overcurrent through a resistor
and reduces complexity that connects between the load and
ground. To do the same thing on the
David McCracken, Aptos, CA
high side, you typically select a dif-
Most circuits are referenced to control the low side of a load but not ferential amplifier that tolerates high
 ground, where relatively low- the high side. For example, nearly any common-mode voltage. This approach
voltage components can monitor and low-voltage rail-to-rail-input op amp limits the component choices for the

AUTO-RESET 24V 23.5V 24V 24V


R8
TIMER
23.5V REFERENCE 100k
GENERATOR 24V ⫹
12V
24V C1 R3 R10 R12
0.1 ␮F 178k 0.33 0.33
R13 D3 D4 9 16V 1% 1W 1W
1N4148 1N4148 ⳮ R2
435 IC1C
8 100k 6
1% TLV2374 10 ⳮ
23.5V 1% 7 IC2B
⫹ TLV2374 5
R14
10k D1 ⫹
1% 1N4148
R7 R1
R9 47k 10k 6
12V
100k 1% ⳮ
1% 7 IC1B
12V 12V TLV2374 5
12.4V REFERENCE
GENERATOR D2 ⫹
24V R5 1N4148
12 R4 47k 24V
12.4V ⫹ 47k
R15 IC1D 14 Q2
10k TLV2374 FDC5614P
13 MOTOR
1% ⳮ 24V VDD
12V 3 DRIVER
12.4V R6 ⫹ 4
22k 2N2907 IC2A PH1 VS
R16 C3 1
345 R11 6.8 ␮F TLV2374
Q1 2
1% 1k 16V ⳮ
LED1 11
OPTIONAL TANTALUM
GND
12V VISUAL
INDICATOR
24V 12V
24V
1 C2 Q3
GND 6.8 ␮F FDC5614P
IC3 VDD MOTOR
16V
3 4 DRIVER
2 LM320T-12 3 ⫹ PH2 VS
GND VI VO 12V C4 IC1A 1
6.8 ␮F TLV2374
2
16V ⳮ 11
TANTALUM
GND
NOTES:
THE VI PIN CONNECTS TO CIRCUIT GROUND, AND THE GROUND PIN CONNECTS TO THE 24V.
THE ⳮ24V REGULATOR GENERATES 12V REFERENCED TO 24V. 12V

Figure 1 Current-sense resistors turn off MOSFETs when current through them exceeds a limit.

DECEMBER 15, 2009 | EDN 49


designideas
input amplifier and brings up the ques- minal linear regulator can easily supply. referenced to its local ground, which
tion of how to respond to an overcur- However, this approach requires an un- the regulator’s output provides. Like all
rent. The differential amp produces a usual configuration employing a nega- negative linear regulators, the circuit
low ground-referenced signal from a tive regulator whose ground pin con- requires a 6.8-␮F tantalum capacitor.
high-side event, but you can prevent a nects to the high-side rail and whose R10 and R12, both 0.33⍀, 1W resis-
high-side overcurrent resulting from a input connects to system ground. tors, provide current sensing for the
short to ground only by turning off the There are no other connections to sys- two phases. High-side power flows
high-side power. In effect, the differen- tem ground. All “ground” points of the through a sense resistor and a P-chan-
tial amp translates the high-side signal overcurrent-protection circuit connect nel MOSFET to the high-side input of
into the low-side domain in which you to the regulator’s out pin. an H bridge (not shown), which drives
must then translate the response back Figure 1 shows a two-phase-stepper- one motor winding. Current in either
into the high-side domain. motor, fast-acting, self-resetting high- phase can cause the sense voltage to
A simpler approach for any high-side side circuit breaker with a 24V power increase to 0.5V, triggering the break-
overcurrent-protection circuit refer- supply to the motor and a 12V power er. The circuit responds by turning off
ences the entire circuit to the high-side supply to the circuit breaker that is ref- both MOSFETs. It then waits 20 msec
rail. Such circuits typically consume erenced to 24V. The circuit breaker and turns them back on, automatically
little power, which a small, three-ter- sees the 24V motor’s power rail as 12V clearing momentary shorts.EDN

data-capture circuit, the JTAG commu-


Debug a microcontroller-to-FPGA nication circuit, and the GUI (graphi-
cal user interface). The data-capture
interface from the FPGA side circuit uses standard HDL (hardware-
Bibo Yang, Sunrise Telecom, Beijing, China description language) and instantiates
a FIFO (first-in/first-out) buffer in the
 Microcontrollers and FPGAs write transactions on the microcon- FPGA. Whenever you read or write to
often work together in embed- troller/FPGA interface. This method a register, the debugging tool records
ded systems. As more functions move is nonintrusive because the circuit that the corresponding value of the address
into the FPGA, however, debugging captures transactions sits between the and data on the bus and stores it in the
the interface between the two devices microcontroller and the FPGA’s func- FIFO buffer. You can retrieve the data
becomes more difficult. The tradition- tioning logic and monitors the data through the JTAG’s download cable to
al debugging approach comes from the without interfering with it. It stores the PC (Listing 1, which is available
microcontroller side, which relies on the captured transaction in the FPGA’s in the online version of this Design
a serial-port printout. This approach RAM resources in real time. You can Idea at www.edn.com/091215dia).
adds overhead and may cause timing transfer the data to a PC through the Because the FPGA has limited on-
problems. Furthermore, this approach JTAG port’s download cable. chip RAM resources, you must keep
cannot guarantee uninterrupted and The debugging tool comprises the the FIFO buffer shallow. To efficient-
exclusive access to cer- ly use the FIFO buffer,
tain addresses because the design includes filter
of operating-system and trigger circuits. With
multitasking. Thus, TRANSACTION inclusive address filter-
FILTER
a serial-port printout FROM JTAG ing, the circuit monitors
doesn’t accurately de- TRANSACTION- TRANSACTION- only several discontinu-
CAPTURING CAPTURING
scribe the actions on LOGIC WITH ous spans of addresses
FIFO BUFFER
the microcontroller/ FILTER AND TO JTAG instead of the whole ad-
TRIGGER
FPGA interface. TRANSACTION
dress space. Exclusive-ad-
Instead, you can ap- TRIGGER dress filters can filter out
proach the problem FROM JTAG several smaller address
from the FPGA side spans from the inclusive-
using a JTAG (Joint address spans, enabling
up_addr up_data up_cs up_wr up_rd
Test Action Group) finer control of the filter
FROM MICROCONTROLLER
interface as a commu- settings (Listing 2, which
nication port. This ap- is also available in the on-
proach uses the inter- Figure 1 The JTAG’s vendor-supplied, customizable communi- line version of this Design
nal logic of the FPGA cation circuit has two interfaces. Idea at www.edn.com/
to capture the read/ 091215dia).

50 EDN | DECEMBER 15, 2009


Industry’s first true 16-bit,
simultaneous-sampling,
8-channel SAR ADC!
High-impedance input slashes system cost
for precision industrial designs

MAX11046
CH0 CLAMP T/H 16-BIT ADC DB15

BIDIRECTIONAL DRIVERS
DATA REGISTERS
DB4
Eight inputs to DB3
measure voltage
and current signals
CH7 CLAMP T/H 16-BIT ADC DB0
on three phases
plus neutral

CONFIGURATION WR
REGISTERS RD
INTERFACE CS
AND CONVST
CONTROL EOC

Get the highest precision Save up to $1/channel


• Industry-leading SNR and THD • High-impedance input avoids
• True 16-bit performance exceeds costly precision op amp
EN 50160 and IEC 62053 requirements • Bipolar input eliminates level
for class 0.1 power-grid equipment shifter
• Simultaneous sampling eases • +5V single-supply operation
phase-adjust firmware requirements • 20mA surge protection
and speeds time to market

Channels Input
Speed SNR SINAD SFDR THD INL DNL
Range
(ksps) (dB, typ) (dB, typ) (dB, typ) (dB, typ) (LSB, typ) (LSB, typ)
8 6 4 (V)
MAX11046 MAX11045 MAX11044 ±5
250 92.5 92 -114 -103 ±0.6 ±0.6
MAX11049* MAX11048* MAX11047* 0 to +5

*Future product—contact factory for availability.

www.maxim-ic.com/MAX11046-info

DIRECT ™

www.maxim-ic.com/shop www.em.avnet.com/maxim TM

For free samples or technical support, visit our website.


Innovation Delivered is a trademark and Maxim is a registered trademark of Maxim Integrated Products, Inc. © 2009 Maxim Integrated Products, Inc. All rights reserved.
designideas

With transaction triggering, the cir- JTAG interface to a general commu- ing 4). The JTAG-based debugging
cuit starts when you read from or write nication port attached to the FPGA. method provides dynamic visibility
to a certain address. You can add cer- FPGA manufacturers, including Actel and controllability into the micro-
tain data values to the triggering con- (www.actel.com), Altera (www.altera. controller-to-FPGA interface and the
dition (Listing 3, which is available in com), Lattice Semiconductor (www. FPGA’s internal logic without the
the online version of this Design Idea latticesemi.com), and Xilinx (www. need to recompile and download
at www.edn.com/091215dia). You can xilinx.com), respectively, call this FPGA code.EDN
dynamically reconfigure the settings of circuit UJTAG (user JTAG), Virtual
address filters and transaction triggers JTAG, ORCAstra, and BScan (refer- R E FE R E NCE S
through the JTAG’s vendor-supplied, ences 1 through 4). 1 “How to Use UJTAG,” Applica-

customizable communication circuit The GUI for this circuit uses Tcl/Tk tion Note AC227, Actel Corp, 2005,
without recompilation of the FPGA (tool-command-language tool kit). www.actel.com/documents/Flash_
design (Figure 1). The circuit has FPGA manufacturers provide ven- UJTAG_AN.pdf.
two interfaces, one of which is written dor-specific APIs (application-pro- 2 “Virtual JTAG (sld_virtual_jtag)

in HDL to form a customized JTAG gramming interfaces) in Tcl for the Megafunction User Guide,” Altera,
chain. It communicates with the user PC side of the JTAG-communication December 2008, www.altera.com/
logic (listings 1, 2, and 3). The cir- circuit. The APIs include basic func- literature/ug/ug_virtualjtag.pdf.
cuit is accessible through specific pro- tions, such as JTAG-chain initializa- 3 “ORCAstra FPGA Control Center,”

gramming interfaces on the PC and tion, selection, and data reading and Lattice Semiconductor, www.lattices-
communicates with the user program writing. With the data-read function, emi.com/products/designsoftware/
or GUI (Listing 4, which is available you can check the capturing status orcastra.cfm.
in the online version of this Design and get the transaction data from 4 Wallace, Derek, “Using the JTAG

Idea at www.edn.com/091215dia). the FIFO buffer. With the data-writ- Interface as a General-Purpose Com-
The FPGA-based circuit facilitates ing function, you can send the filter munication Port,” Xilinx, 2009, www.
writing and reading functions from PC and trigger configuration data to the xilinx.com/publications/xcellonline/
to FPGA logic, and it promotes the capturing circuit in the FPGA (List- xcell_53/xc_jtag53.htm.

Introducing GLiDERS
The easiest, most effective way to
load, lock and replace coin cell batteries
15 styles – for 10mm to 24mm coin cells

Glide tray The GLiDER


Load coin has landed
cell to tray into retainer

Here’s
S

It’s
how › that
easily
it works easy!

Contact Retainer
Side Side Utilizing a special plastic
tray that secures the coin
cell, and glides easily into
the nickel-plated phosphor
bronze retainer, the battery
is protected from polarity reversal and is assured
uninterrupted contact. Retainers come with PC pins
or can be surface mounted, and are easy to solder.
And, they provide shock and vibration resistance.
Key Features: t'PSNNUPNNDPJODFMMTt&BTZUPMPBE 
MPDL BOESFQMBDFt3FWFSTFQPMBSJUZQSPUFDUFEt1$QJOTBOE
TVSGBDFNPVOUJOHt&BTZUPTPMEFSt4IPDLBOEWJCSBUJPOSFTJTUBOU

For Gliders details: write, call, fax


or visit our website

www.batteryholders.com

52 EDN | DECEMBER 15, 2009


Digital control of your
analog power

Gain full PMBusTM control over four


inexpensive power supplies with one IC

Up to four
analog supplies Digital control
and monitoring

MAX16064 PMBus
Simple
GUI interface for:
-Programming
-Monitoring
-Sequencing
-Margining

• Convert low-cost analog power supplies into • Simplifies control of multiple supplies in
a highly-accurate (±0.3%), digital power- servers, networking, and telecom equipment
management solution • Data logging and fault diagnostics ease
• Closed-loop operation allows on-the-fly failure detection and debugging
voltage adjustment with high accuracy • High integration in a 6mm x 6mm, 36-pin
TQFN package saves space

PMBus is a trademark of SMIF, Inc.

www.maxim-ic.com/MAX16064-info

DIRECT ™

www.maxim-ic.com/shop www.em.avnet.com/maxim TM

For free samples or technical support, visit our website.


Innovation Delivered is a trademark and Maxim is a registered trademark of Maxim Integrated Products, Inc. © 2009 Maxim Integrated Products, Inc. All rights reserved.
productroundup
POWER SOURCES
to 100%. The converter operates with-
in a 21 to 33V-dc output. Features in-
clude a 36 to 75V-dc input range, a ⫺40
to ⫹100⬚C temperature range, and the
ability to withstand a 100V input tran-
sient for 100 msec. The HBA48T12280
costs $49 (1000).
Power-One, www.power-one.com

100W power supply has


high power density
Meeting industrial- and medical-
 safety standards, the single-out-
put 100W ECS100 ac/dc power supply
provides a 10.4W/in.3 power density,
fitting into a 1U space. The units pro-
vide 80W when convection-cooled and
require 10 cfm of forced airflow, provid-
24V module weighs 25g ing a 100W full output. Claiming 88%
 The 24V input PKM2810EPI dc/dc module claims 92.5% efficiency at half-
load and 89.5% efficiency at full load. Aiming at telecom systems requiring
typical efficiency, the power supply has
a ⫺20 to ⫹70⬚C operating temperature
24V batteries, the device accepts 18 to 36V input voltages. The 25g device also suits with derating from 50⬚C. Available in
use in process-control, automation, robotic, and transportation applications. The a 2⫻4⫻1.2-in. package with a metal-
module costs $23. cover option, the 100W ECS100 ac/dc
Ericsson, www.ericsson.com power supply costs $45.24.
XP Power, www.xppower.com

DC/DC converter uses voltages range from 5 to 48V, and out-


galvanic isolation to re- put voltages are 5, 9, 12, or 15V. The DIN rail-mount power
device operates over a ⫺40 to ⫹85⬚C supplies use three-phase
duce switching noise temperature range and provides typical
ac inputs
 The MER1 dc/dc converter pro- efficiency of 89%. Galvanic isolation of
vides local power on control-sys-
tem boards and other applications that
1 kV dc reduces switching noise. Avail-
able in a 19.65⫻6.15⫻10.15-mm pack-  The DPP120, DPP240, and
DPP480 ac/dc DIN rail-mount
cannot tolerate wide output-voltage age, the converter costs $4.20. power supplies operate off a three-phase
variations. UL 60950 certification is Murata Power Solutions, ac-line input from 340 to 575V ac. The
pending for the performance-isolated, www.murata-ps.com DPP120 series has 12 and 24V-dc output
1W, single-output device. Five input voltages at 120W, and the 240W DPP240
series and 480W DPP480 series provide
Half-brick dc/dc 24 and 48V-dc output voltages. A bi-
converter targets use phase-operation feature allows continuous
operation with the output power derated
in RF amplifiers to 80% under dropped-phase conditions.
 Suiting cellular-infrastructure ap-
plications, such as RF amplifiers,
The devices provide power-factor correc-
tion in accordance with EN61000-3-2.
the 350W HBA48T12280 half-brick dc/ Additional features include an adjustable
dc converter claims a 90% or higher ef- output voltage, allowing voltage drops in
ficiency for loading conditions from 40 cables, and a ⫾1% load regulation when

54 EDN | DECEMBER 15, 2009


POWER SOURCES
the supplies are used individually and DPP480-series ac/dc DIN rail-mount productmart
⫾5% when two supplies are connect- power supplies cost $64 (1000).
ed in parallel for higher-power appli- TDK-Lambda, www.us.tdk-lambda. This advertising is for new
cations. The DPP120-, DPP240-, and com/lp and current products.

INTEGRATED CIRCUITS
Transceiver meets 12 package, the SX8650 platform costs
76 and 78 cents (3000), respectively.
FlexRay Version 2.1 Semtech Corp, www.semtech.com
Revision B specifications
 Complying with the latest
FlexRay Version 2.1 Revision B LIN-bus motor- and relay-
standard, the AS8221 FlexRay trans- control IC suits smart-
ceiver acts as the interface between
digital logic and copper-cable trans- actuator applications
mission. Features include 10-Mbps
transmission rates, a short asymmet-  The MLX81150 automotive-
LIN-bus motor- and relay-con-
ric delay, and an interface allowing trol IC integrates a physical-layer LIN
optional monitoring of circuits. In a transceiver, a voltage regulator, and a
fault condition, the circuit-monitor- 16-bit microcontroller with 32-kbyte
ing feature can uncouple the control flash memory. Aiming at smart-actu-
unit from the communication net- ator applications, the product enables
work. Aiming at automotive appli- high-voltage I/Os, a timer, an ADC
cations, the product operates over a with differential amplifier for current
⫺40 to ⫹125⬚C extended-tempera- monitoring, a PWM unit, and an EE-
ture range. Available in an SSOP-20 PROM. The mixed-signal integration ditional predriver IC. Using a 4/16-bit
package, the AS8221 FlexRay trans- of the 0.18-mm process allows for the dual-task implementation allows the
ceiver costs $4.20 (1000). inclusion of a relay driver, suiting 4-bit task to handle process commu-
austriamicrosystems, dc-motor applications, such as win- nication and the 16-bit task to focus
www.austriamicrosystems.com dow lifters, seatbelt retractors, EGR on the motor-control algorithm. The
(exhaust-gas-recirculation) flaps, or LIN-software driver meets the com-
throttle valves. The device enables munications tasks of LIN 1.3, LIN2.x,
Touchscreen controller direct connection to external pow- and J2602 protocols. The MLX81150
provides small-footprint er MOS transistors in half- or full- costs $1.97 (50,000).
bridge configuration without an ad- Melexis, www.melexis.com
options
 The low-power SX8650 resis-
tive-touchscreen controller pro- A DV E R T I S E R I N D E X
vides enhanced ⫾15-kV electrostat-
ic-discharge protection. The control- Company Page Company Page
ler supports the 400-kHz, fast-mode Actel Corp 44 Mentor Graphics 10
I2C serial-bus-data protocol and has a Agilent Technologies 28 Microsoft Corp C-3
1.65 to 3.7V operating voltage. Fea- Analog Devices Inc 15 Mill Max Manufacturing Corp 9
tures include a 12-bit ADC for coor- Audio Precision 26 Mouser Electronics 4
dinates, touch-pressure measurement, Avnet Electronics Marketing 8 National Instruments 2

a 50k-sample/sec-throughput output Coilcraft 7 Pico Electronics 24, 35


Digi-Key Corp 1, 43 Silicon Labs C-2
rate, and a 23-␮A current consump-
edn.com 21 Sunstone Circuits Inc 36
tion at 8k samples/sec. Targeting use
EMA Design Automation 25, 34 Trilogy Design 55
in portable and battery-powered, four-
Express PCB 52 Vicor Corp 19
wire resistive-touchscreen devices, the International Rectifier Corp 5 43
platform also suits mobile phones, digi- Keil Software 27 Xilinx Inc 17
tal still cameras, MP3 players, person- Linear Technology Corp C-4
EDN provides this index as an additional service.
al navigation devices, and handheld Maxim Integrated Products 51, 53
The publisher assumes no liability for errors or
gaming devices. In a 3⫻3-mm DFN-12 Memory Protection Devices 52 omissions.
package or a 1.46⫻1.96-mm WLCSP-

DECEMBER 15, 2009 | EDN 55


TALES FROM THE CUBE PAUL BREED • NETBURNER INC

almost nothing left in the power sec-


Burn-in, burn-in: dc inferno tion that had not melted. I sheepishly
returned home with no idea of what
had gone wrong. We took our engi-
neering unit and ran it at temperatures
as high as 70⬚C; it, too, failed at 36
hours. There was nothing in the unit
that did not reach thermal equilibrium
in less than two hours, so how could
the unit tell the difference between 24
hours and 36?
It took us two weeks to hunt down
the culprit: The output-power stage in
our system operated in a patented reso-
nant circuit that used current feedback
to keep the output transformer bal-
anced and to fold back to protect from
overloading. This current used a digital
optocoupler to send pulses back from
the power side to the control side. It
was one of the parts we had upgraded;
it offered 125⬚C operation and 3500V-
ac-rms isolation. We were using it at
only 300V dc.
We had assumed that if it was good
for 3500V ac, it should be good for
300V dc. With an ac application, no
charge migrates across the isolation
barrier. Some charge might migrate
back and forth, but it changes direc-
he company I worked for had just finished a rush

T
tion with each ac cycle. When you
project to deliver a batch of ruggedized power con- put a large dc bias across the device,
verters to an integrator that was combining them the leakage migrates in only one di-
with ruggedized computers and shipping them rection. This leakage increases with
temperature. Over time at elevated
out for military use in the first Persian Gulf War, temperature, charge built up on the re-
Operation Desert Storm. These power converters ceiver side of the device until there was
would take any standard voltage from 100 to 300V at a fre- enough charge to affect its operation. It
quency of 40 to 400 Hz and a voltage of 28V dc and deliver stopped receiving pulses, and the con-
clean, regulated, 117V, 60-Hz ac with 10 minutes of UPS trol circuit lost its feedback, driving the
output transformer into saturation and
(uninterruptible-power-supply)-like and tested 10% of our production run emitting smoke.
battery backup at 1500W. We had to ensure that we complied. Everything It turns out that optocouplers for
a commercial product that met this passed, and we shipped all 200 power long-term dc use have a screen inside
specification, but it was lacking in the converters to the integrator. I then that shunts all of the drifting charge to
ruggedness and environmental specifi- took a well-deserved week off. ground. In short, alternating current
cations that this customer required. We The customer took all 200 units, at- and direct current are not interchange-
underwent an arduous effort to upgrade tached them to systems, and started a able. It would have been nice if the
the mechanicals and thermal design 48-hour burn-in at 70⬚C. At 36 hours, optocoupler’s data sheet had specified
to meet this customer’s requirement. 100% of the units failed catastrophi- “not for dc use.”EDN
DANIEL VASCONCELLOS

We accomplished this task after about cally. My employer quickly recalled


three months of 80-hour weeks. me from my week off and put me on Paul Breed is an engineer at Net-
The most difficult test to pass was a plane to find out what happened. I Burner Inc (San Diego, CA).
the 24-hour test at full load and 85⬚C. found that the primary power bridge in
+ www.edn.com/tales
We passed that test on the first attempt every unit had blown out. There was

56 EDN | DECEMBER 15, 2009


er
ution of ov
nd distrib
Y H W R k of sales a
“We KD s of equipment glob
keep trac
ally…
piece
18,000

DQ Gright parts, to the right place,


get the
at the right time

DQ G G
D Q
r
automate more processes a n d cheape
sie r OI
ize ea improved R
custom or
ns f
solutio

DQG caredulls cetotiremcteifspyenertroonrs service


tions
r o v e c ommunica

DQG
im p
dealers
with our

vy load for our staff


Microsoft Dynamics CRM lifted a heaeffi
DQG by managing our relation ship s mor e ciently.”

Microsoft Dynamics® CRM integrates information from different sources and puts it in one place,
at one time, so everyone in your company sees the information needed to make better decisions
faster. It’s simple for your sales and support people to use, and it’s ready to fit your company right
away. So you can spend less time on service calls and more time building stronger relationships.

To learn more about the efficiencies Microsoft Dynamics CRM can create for your business,
go to microsoftdynamics.com/manufacturing
Multiple Output
μModule Regulators
CIN1

CIN2 VSYST
EM 4A@1
.x V

®
CIN3 VCOR
E 4A@0
.9V

VI/O 1
.5A@
1.xV

Replaces More Than 30 Components


Our new step-down DC/DC μModule regulator family regulates multiple output voltages and operates from common or
independent input supplies. Housed in compact LGA packages with integrated inductors, control circuitry, bypass capacitors
and power MOSFETs, these μModule regulators reduce bill-of-materials, insertion costs, board space and design time.
Simplify your design for powering the latest generation FPGAs, ASICs, DSPs and microcontrollers.

Multiple Output Step-Down DC/DC μModule Regulator Family Info & Free Samples

Low Voltage: 5.5VIN www.linear.com/micromodule


Output Current LGA (Land Grid Array) 1-800-4-LINEAR
Triple Configurations VIN Range VOUT Range Package Size (mm)
4A VIN1: 2.375V to 5.5V VOUT1: 0.8V to 5V
8A
LTM®4615 4A VIN2: 2.375V to 5.5V VOUT2: 0.8V to 5V
1.5A 1.5A VIN3: 1.14V to 3.5V VOUT3: 0.4V to 2.6V
Dual

4A 8A VIN1: 2.375V to 5.5V VOUT1: 0.8V to 5V


LTM4614 Also see
4A VIN2: 2.375V to 5.5V VOUT2: 0.8V to 5V
LTM4608A

8A VIN1: 2.7V to 5.5V VOUT1: 0.6V to 5V 15 x 15 x 2.8


LTM4616 16A
8A VIN2: 2.7V to 5.5V VOUT2: 0.6V to 5V

High Voltage: 26.5VIN

4A 8A VIN1: 4.5V to 26.5V VOUT1: 0.8V to 5V


LTM4619 Also see , LTC, LT, LTM and μModule are registered trademarks of
4A VIN2: 4.5V to 26.5V VOUT2: 0.8V to 5V Linear Technology Corporation. All other trademarks are the
LTM4601A property of their respective owners.

You might also like