You are on page 1of 246

Advanced Calibration User Guide

Version A-2008.09, September 2008

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

Copyright Notice and Proprietary Information


Copyright 2008 Synopsys, Inc. All rights reserved. This software and documentation contain confidential and proprietary information that is the property of Synopsys, Inc. The software and documentation are furnished under a license agreement and may be used or copied only in accordance with the terms of the license agreement. No part of the software and documentation may be reproduced, transmitted, or translated, in any form or by any means, electronic, mechanical, manual, optical, or otherwise, without prior written permission of Synopsys, Inc., or as expressly provided by the license agreement.

Right to Copy Documentation


The license agreement with Synopsys permits licensee to make copies of the documentation for its internal use only. Each copy shall include all copyrights, trademarks, service marks, and proprietary rights notices, if any. Licensee must assign sequential numbers to all copies. These copies shall contain the following legend on the cover page: This document is duplicated with the permission of Synopsys, Inc., for the exclusive use of __________________________________________ and its employees. This is copy number __________.

Destination Control Statement


All technical data contained in this publication is subject to the export control laws of the United States of America. Disclosure to nationals of other countries contrary to United States law is prohibited. It is the readers responsibility to determine the applicable regulations and to comply with them.

Disclaimer
SYNOPSYS, INC., AND ITS LICENSORS MAKE NO WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, WITH REGARD TO THIS MATERIAL, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE.

Registered Trademarks ()
Synopsys, AMPS, Astro, Cadabra, CATS, Design Compiler, DesignWare, Formality, HSPICE, iN-Phase, Leda, MAST, ModelTools, NanoSim, OpenVera, PathMill, Physical Compiler, PrimeTime, SiVL, SNUG, SolvNet, TetraMAX, VCS, Vera, and YIELDirector are registered trademarks of Synopsys, Inc.

Trademarks ()
AFGen, Apollo, Astro-Rail, Astro-Xtalk, Aurora, AvanWaves, Columbia, Columbia-CE, Cosmos, CosmosLE, CosmosScope, CRITIC, DC Expert, DC Professional, DC Ultra, Design Analyzer, DesignPower, Design Vision, DesignerHDL, Direct Silicon Access, Discovery, Eclypse, Encore, EPIC, Galaxy, HANEX, HDL Compiler, Hercules, Hierarchical Optimization Technology, HSIM, HSIMplus, in-Sync, iN-Tandem, i-Virtual Stepper, Jupiter, Jupiter-DP, JupiterXT, JupiterXT-ASIC, Liberty, Libra-Passport, Library Compiler, Magellan, Mars, Mars-Rail, Mars-Xtalk, Milkyway, ModelSource, Module Compiler, Planet, Planet-PL, Polaris, Power Compiler, Raphael, Saturn, Scirocco, Scirocco-i, StarRCXT, Star-SimXT, System Compiler, Taurus, TSUPREM-4, VCS Express, VCSi, VHDL Compiler, VirSim, and VMC are trademarks of Synopsys, Inc.

Service Marks (sm)


MAP-in, SVP Caf, and TAP-in are service marks of Synopsys, Inc. SystemC is a trademark of the Open SystemC Initiative and is used under license. ARM and AMBA are registered trademarks of ARM Limited. Saber is a registered trademark of SabreMark Limited Partnership and is used under license. All other product or company names may be trademarks of their respective owners.

ii

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

Contents
About this manual xiii

Audience . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . xiv Related publications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . xv Typographic conventions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . xv Customer support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . xvi Accessing SolvNet. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . xvi Contacting the Synopsys Technical Support Center . . . . . . . . . . . . . . . . . . . . . . . . . xvi Contacting your local TCAD Support Team directly . . . . . . . . . . . . . . . . . . . . . . . . . . . xvii

Part I Advanced Calibration in Sentaurus Process


Chapter 1 Using Advanced Calibration file of Sentaurus Process

1
3

Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3 Location of Advanced Calibration file . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3 Using Advanced Calibration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4 Earlier versions of Advanced Calibration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4 Advanced Calibration file for kinetic Monte Carlo simulations. . . . . . . . . . . . . . . . . . . . . 5 Additional calibration by users . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5 Sentaurus Workbench splits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6 TDR format . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6 DFISE format. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7
Chapter 2 Advanced Calibration file of Sentaurus Process 9

Part 1: Basic model switches . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9 Diffusion models in silicon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10 Dopant cluster models in silicon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11 Defect cluster models in silicon. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13 Poisson equation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13 Damage accumulation during implantation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13 Boundary conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14 Summary of model switches . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15 Part 2: Constant parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15 Basic point-defect parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16 Bulk parameters for free interstitials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16 Bulk parameters for free vacancies . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17 Bulk recombination of point defects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17

Advanced Calibration User Guide A-2008.09

iii

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

Contents

Boundary conditions for point defects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17 Transient-enhanced diffusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18 Boron diffusion and activation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19 Boron diffusion coefficient and BI pairing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19 Effect of fluorine . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19 Boron clustering . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19 Boron dose loss. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20 Fluorine . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21 Arsenic diffusion and activation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21 Arsenic diffusivity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21 Arsenic clusters. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22 Arsenic dose loss . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22 Phosphorus diffusion and activation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22 Phosphorus diffusivity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22 Phosphorus clusters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23 Phosphorus dose loss . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24 Indium parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24 Antimony parameters. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25 Parameters for silicon implantation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25 Carbon diffusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25 Carbon diffusivity. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25 Carbon clustering . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26 Intrinsic carrier concentration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26 Smoothing of amorphouscrystalline interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26 Implant table selection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27 Effect of germanium and stress . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27 Part 3: Initial conditions after ion implant . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28 User-defined defect initialization. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29 impPostProcess_AdvCal . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30 Scaling factors for point defects and damage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30 Values for initial dopant activation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31 Sum of as-implanted point defects and crystal damage . . . . . . . . . . . . . . . . . . . . . 32 Updating total dopant concentration. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32 Subroutines for setting ifactor and dfactor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32 ifactor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32 vfactor. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33 dfactor. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33 Part 4: Comprehensive and slow models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34 Interstitial clusters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35 Boroninterstitial clusters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36 ChargedCluster model for arsenic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37

iv

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

Contents

Phosphorus parameters in AdvancedModels . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38 Indium parameters in AdvancedModels . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38 References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38


Chapter 3 Guidelines for additional calibration 43

Accuracy and limitations of Advanced Calibration of Sentaurus Process . . . . . . . . . . . . 43 Point defects. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44 Bulk parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44 Surface boundary conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44 Oxidation-enhanced diffusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45 Clusters of interstitials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45 Vacancy clusters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46 Boron diffusion and clustering . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46 Diffusion and pairing in silicon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46 Hopping length (for ChargedReact model) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 47 Effect of fluorine . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 48 Boron clustering and activation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 48 Boron dose loss. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 51 Arsenic diffusion and activation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52 Phosphorus diffusion and activation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53 Co-diffusion of arsenic and phosphorus . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54 Indium diffusion and activation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54 Non-amorphizing condition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54 Amorphizing ion implantation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54 Antimony diffusion and activation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55 Carboninterstitial clusters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55 Diffusion in strained silicon and SiGe. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 56 As-implanted dopant profiles. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 56 Coimplantation model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 57 Diffusion in polysilicon and out-diffusion from polysilicon . . . . . . . . . . . . . . . . . . . . 57 Dopant penetration through gate oxide . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 57 Performing additional calibration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 58 Calibration procedure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 58 Loading a user calibration file . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 59 Recommendations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 60 Miscellaneous . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 60 Lateral diffusion along interface. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 60 Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61 Accelerating simulations for power technologies . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62 Diffusion time steps . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62 ChargedFermi model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 63

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

Contents

Reducing the number of equations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64 Switching off Poisson equation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64 Switching off transient dopant clustering equation . . . . . . . . . . . . . . . . . . . . . . . . 64 Switching off transient interstitial clustering model equation . . . . . . . . . . . . . . . . 65 Summary: Speeding up simulation of power devices . . . . . . . . . . . . . . . . . . . . . . . . . 65 References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66

Part II Advanced Calibration in Sentaurus Process Kinetic Monte Carlo


Chapter 4 Using Advanced Calibration file of Sentaurus Process KMC

69
71

Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 71 Location of Advanced Calibration file . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 71 Using Advanced Calibration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72 Additional calibration by users . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72
Chapter 5 Contents of Advanced Calibration of Sentaurus Process KMC 75

Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 75 Part 1: Model parameters for damage and point defects. . . . . . . . . . . . . . . . . . . . . . . . . . 76 Amorphization and recrystallization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76 Diffusion, generation, and recombination . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76 Charge states . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 78 Extended defects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 79 Part 2: Model parameters for impurities . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 80 Diffusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 80 Boron . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 81 Arsenic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 82 Phosphorus . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83 Indium. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83 Carbon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 84 Fluorine. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 84 Clusters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 85 Boron . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 85 Arsenic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 86 Phosphorus . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 86 Indium. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 86 Carbon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 87 Fluorine. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 87 Segregation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 87 Boron . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 87 Arsenic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 88

vi

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

Contents

Phosphorus . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 88 Indium. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 88 Carbon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 88 Fluorine. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 88 Recrystallization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 89 Boron . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 89 Arsenic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 89 Phosphorus . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 89 Indium. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 90 Carbon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 90 Fluorine. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 90 References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 90
Chapter 6 Guidelines for additional calibration 95

Accuracy and limitations of Advanced Calibration of Sentaurus Process KMC . . . . . . . 95 Damage and point defects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 96 Amorphization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 96 Recrystallization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97 Diffusion, generation, and recombination . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97 Extended defects. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97 Impurities . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 98 Diffusion. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 98 Activation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 100 Dose loss. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 101 Recrystallization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 102 Stress and SiGe effects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 103 References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 103

Part III Advanced Calibration in TSUPREM-4


Chapter 7 Using Advanced Calibration file of TSUPREM-4

105
107

Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 107 Parameter file . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 107 Merging a process flow and parameter file with Merger . . . . . . . . . . . . . . . . . . . . . . . . 108 Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 108 TSUPREM-4 Rules file . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 109 Merging a Merger file and TSUPREM-4 Rules file . . . . . . . . . . . . . . . . . . . . . . . . . 111 Monte Carlo implantation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 112

Advanced Calibration User Guide A-2008.09

vii

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

Contents

Chapter 8 Contents of Advanced Calibration of TSUPREM-4

113

Models of Advanced Calibration of TSUPREM-4 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 113 Contents of AdvCal_1d_tables_2008.09.smr . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 114 Gridblock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 114 Startblock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 114 Basic model selection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 115 +N-model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 115 Intrinsic carrier concentration. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 116 Point-defect models and parameters. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 116 Dopant models and parameters. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 117 Pattern-actions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 122 Deposition pattern-action . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 122 Pattern-actions for as-implanted profiles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 122 Pattern-actions for initial conditions after implant . . . . . . . . . . . . . . . . . . . . . . . . 123 Complex models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 123 Complex interstitial-clustering model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 124 BIC model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 125 Arsenicvacancy clustering model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 126 Modifying initial conditions after implant . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 126 References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 127
Chapter 9 Guidelines for additional calibration 129

Accuracy and limitations of Advanced Calibration of TSUPREM-4. . . . . . . . . . . . . . . 129 Fine-tuning parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 130 Point-defect parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 131 Interstitial clusters. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 131 Dopant parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 131 Diffusion. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 131 Activation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 132 Segregation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 134 Indium dose loss . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 134 As-implanted dopant profiles. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 134 Polysilicon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 135 Dopant penetration through gate oxide . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 135

viii

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

Contents

Part IV Advanced Calibration in Dios


Chapter 10 Using Advanced Calibration files of Dios

137
139

Parameter files. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 139 Merging a process flow and parameter file with Merger . . . . . . . . . . . . . . . . . . . . . . . . 140 Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 140 Dios Rules files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 141 Merging a Merger file and Dios Rules file with Merger . . . . . . . . . . . . . . . . . . . . . . 142 Inserting parameters directly. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 143 Guidelines . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 143 One-dimensional example: Direct use of Advanced Calibration parameters . . . . . . 144 Implantation lookup tables. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 145 Crystal-TRIM or implantation tables? . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 146 References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 147
Chapter 11 Contents of Advanced Calibration files of Dios 149

Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 149 Equation and model system of Dios Advanced Calibration . . . . . . . . . . . . . . . . . . . . . . 150 Contents of AdvCal_1d_tables_2005.10.dmr . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 151 Startblock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 151 Basic model selection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 151 Oxidation-enhanced diffusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 151 Parameters for B diffusion and segregation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 152 Vacancy boundary conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 153 Parameters for As diffusion and segregation . . . . . . . . . . . . . . . . . . . . . . . . . . . . 154 Phosphorus diffusion and dose loss . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 155 Indium diffusion and dose loss. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 156 Antimony diffusion and segregation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 156 General implantation defaults. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 156 Initializing process history . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 157 Pattern-actions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 158 Pattern-actions for B implantation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 158 Pattern-actions for BF2 implantation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 159 Pattern-actions for arsenic implantation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 160 Pattern-actions for indium implantation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 161 Pattern-actions for antimony implantation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 163 Pattern-actions for Si and Ge implantation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 163 Pattern-actions for diffusion steps . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 165 Pattern-actions for selecting implantation lookup table and ion channeling . . . . 165 Parameters for Monte Carlo implantation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 166
Advanced Calibration User Guide A-2008.09 ix

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

Contents

Basic choice of models and parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 166 Parameters governing as-implanted profile . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 167 Interatomic potential. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 167 Electronic energy loss. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 168 Damage accumulation (dacc) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 170 Amorphized regions and damage saturation . . . . . . . . . . . . . . . . . . . . . . . . . . . . 170 Parameters for NMOS and PMOS simulations. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 172 Common needs for NMOS and PMOS simulations . . . . . . . . . . . . . . . . . . . . . . . . . 173 Boron dose loss in NMOS simulations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 173 Arsenic clustering in PMOS simulations. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 174 References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 174
Chapter 12 Dios calibration method and limitations 177

Experimental data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 177 Source of data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 177 Conditions covered by Advanced Calibration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 178 Calibration of dopant diffusion and activation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 179 Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 179 Fundamental point-defect parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 180 Pair diffusivities . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 181 Effect of charges and doping level . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 182 Accuracy of boron diffusivity. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 183 Pairing constants . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 183 TED due to point defects from implantation damage . . . . . . . . . . . . . . . . . . . . . . . . 184 Interstitials . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 184 Vacancies . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 186 Oxidation-enhanced diffusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 186 Dopant activation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 187 acinit, aminit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 187 Solid solubility (cl0, clw) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 188 clurate0, cluratew . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 189 SRP profiles and sheet resistance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 189 Accuracy for dopant activation and deactivation . . . . . . . . . . . . . . . . . . . . . . . . . 190 Dose loss model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 190 Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 190 Dopant diffusivity and mesh in oxide . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 192 Dopant penetration through a thin oxide . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 192 Dose loss to materials other than oxide . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 193 Accuracy of dopant segregation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 193 Low surface concentration of boron . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 193 Boron dose loss after Si implantation for preamorphization . . . . . . . . . . . . . . . . 194

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

Contents

Dose loss for anneals with high thermal budget. . . . . . . . . . . . . . . . . . . . . . . . . . 194 Consistency of parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 194 acinit. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 194 Interstitial solid solubility (Dios: si(i(cl0))). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 195 Boron segregation coefficient (Dios: siox(b(sg0))) . . . . . . . . . . . . . . . . . . . . . . . . . . 196 References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 196
Appendix A Merger 197

Using Merger . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 197 Merger Editor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 197 Starting Merger Editor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 199 Navigating the Merger Editor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 200 Opening a project. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 200 Creating a new Merger process file . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 201 Opening a Merger process file. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 201 Editing a process flow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 201 Creating a new Rules file. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 201 Opening a Rules file . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 202 Editing rules . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 202 Creating a new output file . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 202 Opening an output file . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 202 Editing the output . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 202 Editing rules with Merger Editor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 203 Editing the title . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 203 Editing the gridblock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 203 Editing the startblock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 204 Editing a pattern-action . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 204 Adding a new pattern-action. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 205 Deleting a pattern-action . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 205 Undoing an editing step . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 206 Saving a Rules file . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 206 Merging . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 206 Merging a single Merger file . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 206 Merging all Merger files. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 207 Options. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 207 Displaying argument parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 207 Preferences . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 208 Merger Markup module . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 208 Sentaurus Workbench project . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 208 Merger file . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 209 Merger file variables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 209

Advanced Calibration User Guide A-2008.09

xi

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

Contents

Rules file . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 210 Title. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 210 Gridblock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 211 Startblock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 211 Pattern-actions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 211 Output file . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 212 Merging . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 213 Reference guide. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 215 Merger Editor menu options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 215 Merger Editor keyboard navigation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 216 Merger Rules syntax reference . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 216 Pattern syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 216 Action syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 217 Merger Rules file syntax. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 218 Sentaurus Workbench integration of Merger. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 220 TSUPREM-4 prologue . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 220 TSUPREM-4 setup . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 221 Dios prologue . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 221 Dios setup . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 223
Glossary: Merger 225

xii

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

About this manual


Synopsys Services and Consulting is working continually on improving the simulation models and optimizing the model parameters for the latest technology nodes. This effort is based on long-standing experience of model calibration for customers and a comprehensive, growing database of state-of-the-art secondary ion mass spectroscopy (SIMS) profiles. The variety of partners and data ensures that systematic and random errors in experimental work are minimized in this model representation. Advanced Calibration provides users with a set of parameters that have been calibrated to deep submicron CMOS technology, including ultrashallow junction (USJ) formation, surface dose loss, and channel and halo dopant implantation and diffusion. Sentaurus Process offers the Tcl-based scripting language Alagator for the implementation of diffusion and reaction models. This allows users to implement models or to model extensions. This possibility is also used in the Advanced Calibration of Sentaurus Process: The Advanced Calibration file of Sentaurus Process contains model selections, parameter specifications, and some model extensions. This file can be sourced at the beginning of a Sentaurus Process simulation. In analogy, the Advanced Calibration file of Sentaurus Process Kinetic Monte Carlo contains model selections and parameter specifications. This file can be sourced at the beginning of a Sentaurus Process simulation in atomistic mode as well. The Advanced Calibration of TSUPREM-4 and Dios can be included by using either the specific interpreter control commands of TSUPREM-4 and Dios or the software package Merger, which provides a reliable interface for process flow markup. The tool merges a raw process flow with calibration parameters or additional process steps, following well-defined rules, to a TSUPREM-4 or Dios input file, respectively. Current and future efforts of Services and Consulting are focused on the integration of the Advanced Calibration in the process simulators Sentaurus Process, Sentaurus Process Kinetic Monte Carlo, TSUPREM-4, and Dios, and on further improvements of its accuracy. This documentation is a user and reference manual for the Advanced Calibration of the process simulators Sentaurus Process, Sentaurus Process Kinetic Monte Carlo, TSUPREM-4, and Dios. Part I: Advanced Calibration in Sentaurus Process

Chapter 1 explains the use of the Advanced Calibration file of Sentaurus Process. Chapter 2 describes in detail the contents of the Advanced Calibration file of Sentaurus Process. Chapter 3 explains the accuracy and limitations of the Advanced Calibration of Sentaurus Process and provides guidelines for additional calibration.

Advanced Calibration User Guide A-2008.09

xiii

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

About this manual Audience

Part II: Advanced Calibration in Sentaurus Process Kinetic Monte Carlo

Chapter 4 describes the use of the Advanced Calibration file of Sentaurus Process Kinetic Monte Carlo. Chapter 5 describes in detail the contents of the Advanced Calibration file of Sentaurus Process Kinetic Monte Carlo. Chapter 6 explains the accuracy and limitations of the Advanced Calibration of Sentaurus Process Kinetic Monte Carlo and provides guidelines for additional calibration.

Part III: Advanced Calibration in TSUPREM-4

Chapter 7 describes the use of the Advanced Calibration file of TSUPREM-4 with the Merger application. Chapter 8 explains the models, parameters, and USEIT model implementations used in the Advanced Calibration file of TSUPREM-4. Chapter 9 provides guidelines for additional calibration.

Part IV: Advanced Calibration in Dios


Chapter 10 explains how the Dios Advanced Calibration files are used. Chapter 11 describes in detail the contents of the Advanced Calibration files of Dios. Chapter 12 summarizes the calibration strategy used for the Dios calibration, which would interest experienced TCAD users who want to perform their own calibration or customization of process simulation parameters.

Appendix A describes the functionality of Merger and outlines how to use the application.

Audience
In this manual, the contents, use, and syntax of the Advanced Calibration files for Sentaurus Process, Sentaurus Process Kinetic Monte Carlo, TSUPREM-4, and Dios are explained. It is directed at users who are familiar with the use of Sentaurus Process or Dios and want to obtain a higher accuracy in process simulation. For detailed information about the Sentaurus Process, Sentaurus Process Kinetic Monte Carlo, TSUPREM-4, and Dios process simulators, refer to the Sentaurus Process User Guide, the Taurus TSUPREM-4 User Guide, and the Dios User Guide.

xiv

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

About this manual Related publications

Related publications
For additional information about Advanced Calibration, see:

The TCAD Sentaurus release notes, available on SolvNet (see Accessing SolvNet on page xvi). Documentation on the Web, which is available through SolvNet at https://solvnet.synopsys.com/DocsOnWeb.

Typographic conventions
Convention Explanation

Blue text Bold text Courier font Italicized text Key+Key Menu > Command NOTE

Identifies a cross-reference (only on the screen). Identifies a selectable icon, button, menu, or tab. It also indicates the name of a field, window, dialog box, or panel. Identifies text that is displayed on the screen or that the user must type. It identifies the names of files, directories, paths, parameters, keywords, and variables. Used for emphasis, the titles of books and journals, and non-English words. It also identifies components of an equation or a formula, a placeholder, or an identifier. Indicates keyboard actions, for example, Ctrl+I (press the I key while pressing the Control key). Indicates a menu command, for example, File > New (from the File menu, select New). Identifies important information.

Advanced Calibration User Guide A-2008.09

xv

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

About this manual Customer support

Customer support
Customer support is available through SolvNet online customer support and through contacting the Synopsys Technical Support Center.

Accessing SolvNet
SolvNet includes an electronic knowledge base of technical articles and answers to frequently asked questions about Synopsys tools. SolvNet also gives you access to a wide range of Synopsys online services, which include downloading software, viewing Documentation on the Web, and entering a call to the Support Center. To access SolvNet: 1. Go to the SolvNet Web page at http://solvnet.synopsys.com. 2. If prompted, enter your user name and password. (If you do not have a Synopsys user name and password, follow the instructions to register with SolvNet.) If you need help using SolvNet, click Help on the SolvNet menu bar.

Contacting the Synopsys Technical Support Center


If you have problems, questions, or suggestions, you can contact the Synopsys Technical Support Center in the following ways:

Open a call to your local support center from the Web by going to http://solvnet.synopsys.com/EnterACall (Synopsys user name and password required). Send an e-mail message to your local support center: E-mail support_center@synopsys.com from within North America. Find other local support center e-mail addresses at http://www.synopsys.com/support/support_ctr. Call (800) 245-8005 from within the continental United States. Call (650) 584-4200 from Canada. Find other local support center telephone numbers at http://www.synopsys.com/support/support_ctr.

Telephone your local support center:

xvi

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

About this manual Contacting your local TCAD Support Team directly

Contacting your local TCAD Support Team directly


Send an e-mail message to:

support-tcad-us@synopsys.com from within North America and South America. support-tcad-eu@synopsys.com from within Europe. support-tcad-ap@synopsys.com from within Asia Pacific (China, Taiwan, Singapore, Malaysia, India, Australia). support-tcad-kr@synopsys.com from Korea. support-tcad-jp@synopsys.com from Japan.

Advanced Calibration User Guide A-2008.09

xvii

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

About this manual Contacting your local TCAD Support Team directly

xviii

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

Part I Advanced Calibration in Sentaurus Process

This part of the Advanced Calibration manual contains the following chapters: Chapter 1 Using Advanced Calibration file of Sentaurus Process on page 3 Chapter 2 Advanced Calibration file of Sentaurus Process on page 9 Chapter 3 Guidelines for additional calibration on page 43

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

CHAPTER 1

Using Advanced Calibration file of Sentaurus Process


This chapter gives a brief introduction to the use of Advanced Calibration in a process simulation with Sentaurus Process.

Overview
Advanced Calibration is a selection of models and parameters, which is recommended by Synopsys to be used for accurate process simulation. In Sentaurus Process, this selection of models and parameters is contained in a text file, which can be opened with any standard text editor. By sourcing the Advanced Calibration file at the beginning of a process simulation, the standard calibration of Synopsys is selected. If needed, you can change or extend the Advanced Calibration. This can be performed by sourcing an additional calibration file, which contains the required parameter changes, or by editing the Advanced Calibration file with a text editor.

Location of Advanced Calibration file


The Advanced Calibration file is the ultimate product of Synopsys Services and Consulting. For each release of Synopsys TCAD, there is a new Advanced Calibration file that includes the best and latest set of models and parameters. To ensure backward compatibility, previous Advanced Calibration files are still available. The files for the Advanced Calibration of Sentaurus Process in this release are located at:
$STROOT/tcad/$STRELEASE/lib/floops/TclLib/AdvCal

The default file is named AdvCal_2008.09.fps. It represents the first version of Advanced Calibration A-2008.09. Older versions of the Advanced Calibration file can be found in the same directory. For example, the file AdvCal_2007.03.fps contains the Advanced Calibration file for Version Z-2007.03 and is available for backward compatibility.

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

1: Using Advanced Calibration file of Sentaurus Process Using Advanced Calibration

Using Advanced Calibration


To use the Advanced Calibration of Sentaurus Process, at the beginning of the input file, insert the line:
AdvancedCalibration 2008.09

Alternatively, this file can also be sourced by using:


source $FLXSHOME/TclLib/AdvCal/AdvCal_2008.09.fps

Earlier versions of Advanced Calibration


You can source earlier versions of the Advanced Calibration file by inserting, for example, the line:
AdvancedCalibration 2006.06

This

will

be

internally

converted

to

source $FLXSHOME/TclLib/AdvCal/

AdvCal_2006.06.fps.

The following earlier versions of the Advanced Calibration file can be loaded with Sentaurus Process, Version A-2008.09:

AdvCal_2007.12.fps AdvCal_2007.03.fps AdvCal_2006.06.fps AdvCal_2005.10.fps

They can be loaded with the respective commands:


AdvancedCalibration 2007.12 AdvancedCalibration 2007.03 AdvancedCalibration 2006.06 AdvancedCalibration 2005.10

This possibility is available to provide backward compatibility. You can run simulations with the latest version of Sentaurus Process, but the simulations can still be based on an old calibration. For new TCAD projects, it is recommended to load the latest version of Advanced Calibration.

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

1: Using Advanced Calibration file of Sentaurus Process Advanced Calibration file for kinetic Monte Carlo simulations

The original versions of the earlier Advanced Calibration files cannot be used in the latest version of Sentaurus Process, due to changes in the source code and the model library of Sentaurus Process, which affect the functionality of the old files. Therefore, Synopsys has adapted the earlier Advanced Calibration files to cope with those changes. These modifications have been undertaken in such way that the choice of physical models and parameters is still the one from the corresponding release. The AdvancedCalibration command will always load the modified versions.

Advanced Calibration file for kinetic Monte Carlo simulations


An Advanced Calibration file is also available for simulations with the kinetic Monte Carlo mode of Sentaurus Process. The use and contents of this file are described in Chapter 4 on page 71 and Chapter 5 on page 75.

Additional calibration by users


Advanced Calibration is based on the assumption that all parameters that are not changed in the parameter files are the default parameters of Sentaurus Process. To use the Advanced Calibration file AdvCal_2008.09.fps, it must be sourced before the real process description. After sourcing AdvCal_2008.09.fps, you can change the model switches or parameter values of the physical models. This should ideally be performed by experienced users with a good understanding of the diffusion models of Sentaurus Process. For the process simulation of silicon technology, Advanced Calibration is usually the best starting point. You can further increase the accuracy for a certain technology by additional finetuning of a few physical parameters. The best way to perform this is to put all additional calibration in a user calibration file, for example, my_calibration.fps. This file includes all project-specific changes to the physical models or parameters with respect to Advanced Calibration. In the process simulation file, at the beginning of the process simulation, insert the lines:
AdvancedCalibration 2008.09 source ./my_calibration.fps

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

1: Using Advanced Calibration file of Sentaurus Process Sentaurus Workbench splits

This approach allows you to:


Separate completely the calibration and the process description. Use the Advanced Calibration file as a starting point. Summarize all project-specific calibration in a short and clear text file.

Detailed information about how to perform additional calibration is given in Chapter 3 on page 43.

Sentaurus Workbench splits


Sentaurus Process can be used within Sentaurus Workbench projects. If the process simulation is performed in a single tool instance, the use of Advanced Calibration is the same as without Sentaurus Workbench. Care needs to be taken if split commands of Sentaurus Workbench are used inside the input file of Sentaurus Process. At each split command, Sentaurus Workbench will split the input file of Sentaurus Process into two parts for different tool instances. At the end of the first part, the structure with all data fields is saved. For the subsequent part, the process simulation starts by loading the previously saved structure. Similarly, care needs to be taken if you save and continue a process simulation outside a Sentaurus Workbench project.

TDR format
Sentaurus Process does not save and load the complete status of the process simulation. In particular, the definitions of Alagator terms are only saved in TDR format if the keyword store is used in the term definition command lines, and Tcl procedures are only saved if they have been defined by the command fproc (rather than proc). Furthermore, entries in the parameter database are only saved if the TDR format is used for saving and loading, but not for the alternative DFISE format. The Advanced Calibration file contains the definitions of terms and procedures. In the latest file, AdvCal_2008.09.fps, the definitions of terms and procedures are performed in such a way that they are saved to the TDR format and are reloaded. No additional attention is needed. In earlier versions of the Advanced Calibration files, the definitions of terms and procedures are not saved. Therefore, when using earlier versions of Advanced Calibration files, you must ensure that the files are loaded at the beginning of each part of a split process simulation. If Sentaurus Workbench splits are used, loading the Advanced Calibration (and the user

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

1: Using Advanced Calibration file of Sentaurus Process Sentaurus Workbench splits

calibration) must be performed in the header section of the input file of Sentaurus Process. This ensures that the definitions of the calibration terms and procedures are loaded at the beginning of all parts of the process flow. For more information about the TDR format, refer to the Sentaurus Data Explorer User Guide. In Sentaurus Workbench, when using earlier versions of Advanced Calibration, the input file of the process simulation must be organized as in this example:
#header AdvancedCalibration 2007.12 source ./my_calibration.fps #endheader # ... First part of the simulation ... #split A # ... Next part of the simulation ...

DFISE format
If the alternative DFISE format is used for saving and loading the structure (for Sentaurus Workbench splits, this is the case if the environment variable TDRMODE is set to false), entries in the parameter database (pdb) are not saved and loaded. The use of the default Advanced Calibration is not affected, and Sentaurus Workbench splits can be used in the same way as for saving and loading with the TDR format. However, the programming of the additional user calibration must be performed with greater care. You must avoid defining a pdb parameter in a callback procedure (such as UserImpPostProcess) if this parameter is needed by Sentaurus Process in later process steps. In rare cases, the programming of pdb parameters in callback procedures is beneficial for a technology calibration. For example, you may want to define the parameter AcInit, which sets the initial dopant activation in the first diffusion after an implant, as a function of implant energy and dose in an implant callback procedure. In this case, you need to use one of these methods:

Use the TDR format to save and load structures in Sentaurus Workbench splits. Avoid Sentaurus Workbench splits between process steps where a pdb parameter is set and process steps where this parameter is used (in the above example with AcInit: between an implant and the first successive anneal).

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

1: Using Advanced Calibration file of Sentaurus Process Sentaurus Workbench splits

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

CHAPTER 2

Advanced Calibration file of Sentaurus Process


This chapter explains the contents of the Advanced Calibration file of Sentaurus Process and documents the origin of the parameter values.

Most of the model equations and model parameters are taken from reliable publications. In addition, a rigorous calibration has been performed by Synopsys, based on a SIMS database. A large number of parameters come from the book Intrinsic Point Defects, Impurities, and Their Diffusion in Silicon by Peter Pichler [1], which refers to more than 3000 scientific papers and gives a comprehensive state-of-the-art overview of the experimental data available for the calibration of fundamental parameters for diffusion in silicon. For many relevant parameters, Pichler compares the results from many authors, which can be used to estimate the error bars of the parameter values. The Advanced Calibration file AdvCal_2008.09.fps is divided into four parts. The parts contain numbered sections and are executed in sequence:

Part 1: Basic model switches Part 2: Constant parameters Part 3: Initial conditions after ion implantation Part 4: Comprehensive and slow models

Part 1: Basic model switches


In Sentaurus Process, Advanced Calibration covers several alternatives for diffusion and activation models. Some models are very simple and fast, such as the ChargedFermi model for dopant diffusion or a full activation of dopants. Other models are more sophisticated (for example, the ChargedReact model for dopant diffusion and the kinetic models for dopant activation) but require more equations to be solved in the diffusion solver and, therefore, require more CPU time. These different models coexist in Advanced Calibration so that, in simple limiting situations (for example, in thermal equilibrium for low dopant concentration), different models give the same results. In more complex situations, for example, during transient-enhanced diffusion (TED), the more complex models will give better results.

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

2: Advanced Calibration file of Sentaurus Process Part 1: Basic model switches

The best choice of fundamental models depends on the problem to be solved. Part 1 of the Advanced Calibration represents a choice that is recommended by Synopsys for standard deep submicron CMOS simulation. In CMOS process simulation, the modeling of TED and dopant activation is important, and it is necessary to use some of the more complex models that describe the underlying physics accurately. It is often useful to reduce the number of equations to be solved in order to save CPU time. On the other hand, it may be sometimes necessary to select models that are more sophisticated than the default choice for CMOS simulation, even at the cost of increasing the CPU time. In this chapter, the possible changes with respect to the default model switches are explained. The most elegant way to change a basic model switch to add a corresponding line at the beginning of a project-specific or user-specific calibration file, which is sourced after loading the Advanced Calibration file. In this way, you can track the differences to the default suggestions of Synopsys. CPU time is an important issue for the process simulation of power device fabrication, which often includes a large number of thermal anneals. A summary of the methods to speed up the simulation of power device processes is given in Accelerating simulations for power technologies on page 62. Part 4 of the Advanced Calibration file contains the procedure AdvancedModels, which offers an option to switch to a consistently calibrated set of state-of-the-art models for dopant and defect clustering with a single command line. This option is recommended for fundamental research and can also be used in very advanced CMOS technology. It is described in Part 4: Comprehensive and slow models on page 34.

Diffusion models in silicon


See section 1.1 of AdvCal_2008.09.fps. The default choice is the pair diffusion model ChargedPair. The dopants diffuse only through dopant-defect pairs, where defects can be either interstitials or vacancies. All charge states of defects and dopant-defect pairs are taken into account, and the concentration of pairs is assumed to be in local equilibrium with unpaired dopants and defects. A faster alternative is the model ChargedFermi, which can be activated by the line:
pdbSet Si Dopant DiffModel ChargedFermi

Here, the concentration of interstitials and vacancies is assumed to be always in thermal equilibrium. No equations need to be solved for interstitials or vacancies. TED and oxidationenhanced diffusion (OED) cannot be simulated with the ChargedFermi model.

10

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

2: Advanced Calibration file of Sentaurus Process Part 1: Basic model switches

A more sophisticated alternative is the ChargedReact model, a so-called five-stream model, which is selected by:
pdbSet Si Dopant DiffModel ChargedReact

Here, the diffusion of dopants is simulated through dopant-defect pairs. In contrast to the ChargedPair model, the simplifying assumption of local equilibrium between pairs and unpaired dopants is omitted. Instead, the kinetics of pair formation and dissolution is taken into account. This model needs more CPU time than the ChargedPair model, because additional equations need to be solved for each dopant. It is possible to select the ChargedReact model individually for some dopants. For example, it may be reasonable to select it only for boron but not for other dopants. This can be performed by adding the line:
pdbSet Si Boron DiffModel ChargedReact

It is possible to use the ChargedReact model for some dopants and the ChargedPair model for all other dopants. In contrast, it is not recommended to mix the ChargedFermi model with either of the ChargedReact or ChargedPair model, because the treatment of point defects would become inconsistent.

Dopant cluster models in silicon


See section 1.2 of AdvCal_2008.09.fps. These models govern the dopant activation during thermal annealing. The simplest and fastest model is None, which means that there are no dopant clusters. This model is recommended for dopants for which clustering has no influence. This is typically the case when the maximum concentration of a dopant is far below the solid solubility. For example, in an NMOS simulation 12 2 with a very low indium dose for the channel implantation (for example, 10 cm ), it is reasonable to set the indium activation model to None, to speed up the simulation. For the dopant impurities boron, indium, arsenic, phosphorus, and antimony, the activation model Transient is used as the default. In this model, dopants can be bound in clusters, which consist only of dopants of one species. The equilibrium distribution of dopants into clusters and substitutional impurities is governed by the solid Solubility; the rate at which the equilibrium is reached is governed by the parameter CluRate. Both Solubility and CluRate are Arrhenius-type constants with individual parameters for each dopant. Special models exist for boron, arsenic, and carbon clustering.

Advanced Calibration User Guide A-2008.09

11

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

2: Advanced Calibration file of Sentaurus Process Part 1: Basic model switches

Boron can form so-called boroninterstitial clusters (BICs) together with silicon interstitials. BICs exist in various sizes, as BmIn molecules inside silicon, which grow or evaporate by the incorporation or emission of silicon interstitials or boron-interstitial pairs. The BIC model can be selected by using:
pdbSet Si Boron ActiveModel ChargedCluster

The BIC model is not used by default because the solution of individual equations for all BICs is numerically expensive. Furthermore, the BIC model should only be used in combination with the Full model for interstitial clusters, which uses more equations than the 1Moment model for interstitial clusters, which is the Advanced Calibration default. The recommended way to use the BIC model is to execute the procedure AdvancedModels defined in part 4 of the Advanced Calibration file (see Part 4: Comprehensive and slow models on page 34). The selection Boron ActiveModel Transient gives satisfactory results in many situations. Arsenic can form arsenicvacancy (AsV) clusters together with vacancies. An AsV clustering model with a single cluster species can be selected by using:
pdbSet Si Arsenic ActiveModel Cluster

In this model, AsV clusters include four arsenic atoms and one vacancy. As in ActiveModel Transient, only a single reaction is considered for the formation and dissolution of clusters. The AsV clustering model [2] was the default in the Advanced Calibration file for Version X-2005.10, together with a different set of parameters for As clustering and initial conditions after As implantation. The procedure AdvancedModels contains a more comprehensive AsV clustering model, which describes six possible As and AsV clusters. Carbon can form carbon-interstitial clusters, with a similar reaction chain as for BICs. The formation of carbon-interstitial clusters is activated by:
pdbSet Si Carbon ActiveModel Transient NOTE ActiveModel Transient has a different meaning for carbon than for

all other impurities. The following command switches off the kinetics for GeB pairing in order to save CPU time:
solution name=GeB nosolve

Solving a transient equation for the formation and dissolution of Ge-B pairs is not considered necessary. Instead, in cases where the chemical effect of Ge on B diffusion needs to be taken into account, you can select a calibrated modification of B diffusivity in the presence of germanium by using:
SiGe_and_Stress_Effect 1 0

12

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

2: Advanced Calibration file of Sentaurus Process Part 1: Basic model switches

immediately after sourcing the Advanced Calibration file. This is explained in Effect of germanium and stress on page 27.

Defect cluster models in silicon


See section 1.3 of AdvCal_2008.09.fps. Interstitial clustering is described by the 1Moment cluster model. In this model, the capturing and release of interstitials from {311} defects is described according to a publication by Rafferty et al. [3]. This model uses only a single equation to describe the time evolution of interstitial clusters and is considered a good compromise between accuracy and computation speed. A faster but less accurate alternative can be selected by using:
pdbSet Si Int ClusterModel Equilibrium

In this model, the interstitial clusters are assumed to be in local equilibrium with free interstitials. Vacancy clusters are not taken into account in the Advanced Calibration.

Poisson equation
See section 1.4 of AdvCal_2008.09.fps. In Advanced Calibration, the Poisson equation for the electrical potential is solved. Alternatively, you can switch off the Poisson equation with the command:
pdbSetBoolean Si Potential Poisson 0

In this case, local charge neutrality is assumed and the number of partial differential equations is reduced by one. In most situations, local charge neutrality gives approximately the same results as the Poisson equation. At p-n junctions, the assumption of charge neutrality gives a sharper peak of the electric field than the Poisson equation, which results in slightly sharper kinks of dopant profiles at p-n junctions.

Damage accumulation during implantation


See section 1.5 of AdvCal_2008.09.fps. The coimplantation model [4] for damage accumulation is switched on. This model provides a description of ion channeling for successive ion implantations.

Advanced Calibration User Guide A-2008.09

13

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

2: Advanced Calibration file of Sentaurus Process Part 1: Basic model switches

Boundary conditions
See section 1.6 of AdvCal_2008.09.fps. In the pair diffusion model, the segregation of dopants at silicon surfaces involves the capture or creation of dopantdefect pairs at the silicon side of the interface. In the pair segregation model used in Advanced Calibration, when a dopantdefect pair diffuses to an interface between silicon and another material, the dopant may enter the other material (or, for three-phase segregation, the interface layer), whereas the point defect remains on the silicon side of the interface. The selection:
pdbSet Ox_Si Boundary UseUnpairedTotalInt 1 pdbSet Ni_Si Boundary UseUnpairedTotalInt 1 pdbSet Gas_Si Boundary UseUnpairedTotalInt 1

means that the point defect released may have any charge state (and not only a neutral charge state). Similarly, for the opposite segregation reaction, when a dopantdefect pair is formed at the silicon side of the interface, a point defect with any charge state may be consumed at the silicon side of the interface. As a consequence of this selection, the time at which segregation equilibrium is reached in highly doped regions, where most point defects are charged, is decreased. The segregation equilibrium itself is not affected. Although the name of the Boolean parameter is UseUnpairedTotalInt, the selection is applied to both interstitials and vacancies. The selection:
pdbSetSwitch Ox_Si I Surf.Recomb.Vel Normalized

and the corresponding lines for vacancies and other interfaces allow the generation and recombination of point defects at silicon surfaces in all charge states. For B, As, and P, the three-phase segregation model is the default in Advanced Calibration. For In and Sb, the simpler segregation model is the default.

14

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

2: Advanced Calibration file of Sentaurus Process Part 2: Constant parameters

Summary of model switches


Table 1 gives an overview of the default model switches and all the alternatives supported by Advanced Calibration. For all supported model switches, the corresponding calibrated parameters are included in the Advanced Calibration file (AdvCal_2008.09.fps) and are ready to be applied automatically when alternative models are selected. The procedure AdvancedModels, which switches on several more complex models at the same time, is explained in Part 4: Comprehensive and slow models on page 34.
Table 1
Model

Model switches in Advanced Calibration


Default Supported alternatives

pdbSet pdbSet pdbSet pdbSet pdbSet pdbSet pdbSet pdbSet

Si Si Si Si Si Si Si Si

Dopant Boron Indium Arsenic

DiffModel ActiveModel ActiveModel ActiveModel ActiveModel

ChargedPair Transient Transient Transient Transient Transient None Transient nosolve 1Moment None 1 1

ChargedFermi, ChargedReact1 None None None None None

Phosphorus Antimony Germanium Carbon

ActiveModel ActiveModel

ActiveModel

None solve Equilibrium

solution name=GeB nosolve pdbSet pdbSet pdbSet pdbSet pdbSet Si Si Si Int Vac ClusterModel ClusterModel Poisson UseCoImplant

Potential

0 0

ImplantData

Ox_Si Boundary

UseUnpariedTotalInt 1 1

1. If the basic choice is ChargedPair, it is possible to select ChargedReact for individual dopants.

Part 2: Constant parameters


This part of the Advanced Calibration file contains the parameters for the diffusion and reaction equations, which are set at the beginning of the process simulation and remain valid for all process steps until the end of the simulation. The parameters are set for all alternatives listed in Table 1 on page 15. This allows you to select any of the alternatives models with all corresponding parameters by using a single command line, which can be ideally placed in a user calibration file, which is sourced immediately after sourcing AdvCal_2008.09.fps.
Advanced Calibration User Guide A-2008.09 15

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

2: Advanced Calibration file of Sentaurus Process Part 2: Constant parameters

Many parameters are taken from either the literature of carefully designed experiments or the publication by Pichler [1], which gives an outstanding, comprehensive overview on the publications of impurity diffusion and activation in silicon. Other parameters have been calibrated based on the SIMS database of Synopsys. Model parameters, which depend on particular process steps, are included in the third part of the Advanced Calibration file and are described in Part 3: Initial conditions after ion implant on page 28. Examples of these are the number of point defects generated by ion implantation, which may depend on the implantation conditions.

Basic point-defect parameters


See section 2.1 of AdvCal_2008.09.fps. The bulk parameters for silicon interstitials and vacancies (sections 2.1.12.1.3 of
AdvCal_2008.09.fps) are the most fundamental parameters in the pair diffusion model.

They have been carefully selected from the literature. Any change will affect not only the diffusion of point defects, but also the diffusion of all dopant species that diffuse in dopantdefect pairs. Changing the point-defect parameters with every new technology calibration would make it difficult to compare the results of different calibration projects. Therefore, it is strongly recommended that these parameters are not changed in any way. In principle, this is also true for the surface boundary conditions (BCs) for point defects (section 2.1.4 of AdvCal_2008.09.fps). It is advisable not to change them because the calibration of all models for TED and the diffusion of all dopants would be affected. However, the BCs depend on the capping material and the local concentration of impurities. For polysilicon and oxynitride, the BCs may depend on the details of the process flow. Therefore, in practice, the surface recombination lengths of point defects can be considered to be calibration parameters for the fine-tuning of process simulation. Oxidation and nitridation cause the injection of interstitials and vacancies, respectively, at the exposed surface. A calibration of interstitial injection has been performed for dry oxidation. For nitridation and wet oxidation, the surface boundary conditions for point defects are less reliable than for inert atmosphere and can be considered to be calibration parameters for the fine-tuning of diffusion processes.

Bulk parameters for free interstitials


See section 2.1.1 of AdvCal_2008.09.fps. The diffusivity of interstitials Di is taken from Bracht et al. [5]. The equilibrium concentration Cstar is chosen such that the product Di*Cstar has the value

16

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

2: Advanced Calibration file of Sentaurus Process Part 2: Constant parameters

1.59 10 exp ( 4.702 eV/kT ) cm s . This is a reasonable compromise between conflicting suggestions in recent literature [1][6][7] and is in acceptable agreement with various clean data on silicon isotope diffusion and dopant diffusion in silicon that has been published [5][7][8]. The same value for Di*Cstar was also used in [9].
25 1 1

The charge distribution for free interstitials and vacancies was taken from method.advanced of the process simulator TSUPREM-4 and is based on various publications [10][11][12]. During calibration, a small change with respect to the TSUPREM-4 parameters has been introduced for the relative abundance of negatively charged vacancies.

Bulk parameters for free vacancies


See section 2.1.2 of AdvCal_2008.09.fps. The diffusivity of vacancies Dv is taken from [5]. The equilibrium concentration Cstar is chosen such that Dv*Cstar corresponds to the value from [5] at 1014.25 C . The activation energy for Dv*Cstar (4.14 eV) is taken from [6].

Bulk recombination of point defects


See section 2.1.3 of AdvCal_2008.09.fps. It is assumed that the bulk recombination is diffusion limited. Furthermore, the recombination of interstitials and vacancies, which are both positively or both negatively charged, is assumed to be suppressed by electrostatic repulsion.

Boundary conditions for point defects


See section 2.1.4 of AdvCal_2008.09.fps. Natural boundaries for both vacancies and interstitials are assumed. The surface recombination length is 1 nm for SiSiO2 boundaries and 10 nm for SiSiN boundaries. During oxidation, there is an additional flux of interstitials into silicon. The rate of interstitial injection by oxidation is proportional to the parameter theta and depends on the velocity v of the moving SiSiO2 interface and the electron concentration at the silicon side of the interface by the factor:
v
( 1 + Gpow )

mm + m + 1 + p + pp --------------------------------------------------------------------------------------------------------------------------------------------------------2 1 2 mm ( n n i ) + m ( n n i ) + 1 + p ( n n i ) + pp ( n n i )

(1)

where theta, Gpow, mm, m, p, and pp are given in section 2.1.4.1 of AdvCal_2008.09.fps.

Advanced Calibration User Guide A-2008.09

17

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

2: Advanced Calibration file of Sentaurus Process Part 2: Constant parameters

For dry oxidation, the values of theta and Gpow were calibrated with experimental data from [13]1 and [14] for low-doped silicon. The values of mm, m, p, and pp have been calibrated with data from USJ formation in dry, oxidizing atmosphere. They can be modified for the purpose of fine-tuning oxidation-enhanced diffusion for high surface doping. For wet atmosphere (partial pressure of H 2 O > 0 ), a smaller value of theta has been calibrated from corresponding SIMS data.

Transient-enhanced diffusion
See section 2.2 of AdvCal_2008.09.fps. The model of Rafferty et al. [3] is used to simulate the evaporation of interstitials from {311} defects. The reaction rates for the capture and evaporation of interstitials have been calibrated with transmission electron microscope (TEM) data on the dissolution of {311} defects published by Stolk et al. [15] and Saleh et al. [16]. As an initial condition, it is assumed that all interstitials generated by ion implantation are bound in {311} clusters (InitPercent = 1.0). The selected model gives accurate results for the dissolution of {311} defects. However, note that the model is too simple to describe the initial phase of ultrahigh interstitial supersaturation after ion implantation, which was reported by Cowern et al. [8] and is ascribed to the formation and dissolution of small interstitial clusters. In addition, the model underestimates the stability of interstitial clusters in situations where dislocation loops form and where most of the excess interstitials are bound to dislocation loops rather than {311} defects. This happens, for example, after high-dose amorphizing implantation of silicon ions into silicon. In situations where TED is not governed by {311} defects, but rather by small clusters or dislocation loops, the model is less accurate. A more comprehensive model for interstitial clusters has been calibrated by Zographos et al. [17]. This is switched on if you execute the procedure AdvancedModels, defined in part 4 of the Advanced Calibration file.

1. The reference [13] includes data for oxidation-enhanced diffusion (OED) of P and B. A higher diffusion enhancement was reported for P than for B, which was ascribed to a 20% vacancy component of boron diffusion. Recent experiments indicate that the vacancy component for B diffusion should be much less than 20% (for an overview, see [1]). Therefore, instead of ascribing the lower OED of boron to diffusion of BV pairs, the calibration of theta with data from [13] was performed under the assumption that the observed difference between the OED of P and B was mainly due to experimental inaccuracies. Giving equal weight to the P and B data, Synopsys obtained a 10% reduction of theta with respect to using only the P data for the extraction of theta.

18

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

2: Advanced Calibration file of Sentaurus Process Part 2: Constant parameters

Boron diffusion and activation


See section 2.3 of AdvCal_2008.09.fps.

Boron diffusion coefficient and BI pairing


The macroscopic values for the boron diffusivity are taken from the literature [18]. Following TSUPREM-4, the pairing constants are chosen such that the diffusivity of boroninterstitial pairs equals approximately the diffusivity of unpaired interstitials. The hopping length of B is taken from Giles et al. [19] and is based on B marker layer diffusion data in the temperature range of 500 C 800 C . It is only relevant if the ChargedReact model is switched on for boron. In this case, the hopping length has an influence on the length of the tail of the profile.

Effect of fluorine
It is known that boron diffusion can be reduced by the presence of fluorine. The main reason for this is that FV clusters, which form after ion implantation, catch excess interstitials, which are also present in silicon after implantation [20]. A complete physics-based model for the interactions between B, I, and F must be very complex, because F atoms are redistributed during solid phase epitaxial regrowth of amorphized layers. Instead, in the Advanced Calibration, a simpler approach is used. It is assumed that F atoms are immobile after ion implantation and that the presence of F atoms reduces directly the diffusivity of B atoms by a factor (BoronDiffFactor), which depends 20 on the F concentration. This factor is close to 1 for F concentrations smaller than 1 10 and becomes important only for very high F concentrations. It has been calibrated by comparisons of ultrashallow junction boron SIMS profiles, which were made by boron implantation and annealing, and BF2 implantation and annealing, respectively.

Boron clustering
The transient cluster model is used. Four boron atoms form a cluster. Interstitials are not built into B clusters. The solid solubility value is a fit to the collection of literature data compiled by Pichler [1]. Two Arrhenius functions are combined: one covers the range T < 1000 C and the other, T > 1000 C . The active boron reaches the solid solubility if the total B concentration reaches TotSolubility, which is defined in Advanced Calibration as three times the B solid solubility. The rate at which the equilibrium between active and clustered B is reached is given by the parameter CluRate. CluRate has been calibrated by Synopsys using experimental data from the Synopsys SIMS database. With the obtained value, a significant amount of boron SIMS data can be reproduced, including ultrashallow junction profiles. However, in some

Advanced Calibration User Guide A-2008.09

19

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

2: Advanced Calibration file of Sentaurus Process Part 2: Constant parameters

situations, it is recommended to tune CluRate to improve the accuracy of fitting SIMS data or sheet resistance data in the process window of interest. In particular, in the presence of F, it may be necessary to reduce CluRate. For the initial activation of boron after implantation, the basic assumption is that it is given by a small value in crystalline silicon (AcInit) and by a higher value (AmInit) in recrystallized areas. In the Synopsys calibration, the initial activation of boron in recrystallized regions is smaller than the measured values reported, for example, by Colombeau et al. [21]. This is necessary because, with the chosen clustering model for boron, using initial activation levels 20 higher than 1 10 results in an overestimated transient-enhanced diffusion of boron for a number of SIMS data in the Synopsys database, where ultralow energy implantation was followed by low-temperature annealing. If the BIC model is used, good results for B activation and deactivation can be obtained with realistic assumptions for AcInit and AmInit. This is taken into account in the procedure AdvancedModels in part 4 of the Advanced Calibration file.

Boron dose loss


For B, As, and P, the three-phase segregation model is used for dose loss modeling. In Sentaurus Process, by default, these dopants do not share trap sites at the interface with other dopants. For the ChargedPair model and the ChargedReact diffusion model, the flux of dopants from silicon into the interface layer is proportional to the concentration of dopantdefect pairs on the silicon side of the interface, and the out-diffusion from the interface to silicon is proportional to the concentration of point defects on the silicon side of the interface. The number CMax of trap sites at the interface and the trapping and emission rates of the threephase segregation model have been calibrated by Synopsys, based on a collection of boron SIMS profiles. The diffusivity of trapped B atoms along the SiSiO2 interface is set to zero. It can be set to a value higher than zero for increasing the lateral diffusion in 2D or 3D simulations [22]. In oxide, the boron diffusivity is increased for very high B concentrations (> 1 10 cm ) and for high F concentrations. This diffusion enhancement has been calibrated by Synopsys, 21 3 based on SIMS profiles. For very high B concentration in oxide (> 1 10 cm ), outdiffusion into the gas contributes to the dose loss. The out-diffusion rate has been calibrated by Synopsys, using SIMS data.
21 3

Spacer oxides that are formed by a TEOS process and capped by a SiN layer may contain a high concentration of hydrogen, which increases the boron diffusivity in oxide. This case is not taken into account in the Advanced Calibration file.

20

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

2: Advanced Calibration file of Sentaurus Process Part 2: Constant parameters

Fluorine
See section 2.4 of AdvCal_2008.09.fps. As explained in the previous chapter, the Advanced Calibration does not offer a complete physics-based model for the effects of fluorine in silicon. Instead, F is treated in a very simple way. It is assumed that fluorine is completely immobile after ion implantation. Three empirical effects of fluorine on B diffusion are implemented in section 2.3 of
AdvCal_2008.09.fps:

Fluorine reduces directly the B diffusivity in Si (using BoronDiffFactor). Fluorine increases the B diffusivity in oxide and, therefore, increases the dose loss. Fluorine increases the B out-diffusion from oxide to the gas and, therefore, increases the B dose loss.

The effect of fluorine on boron diffusion and dose loss has been calibrated with SIMS data from BF2 implantation and annealing. For all data, B and F have approximately the same spatial distribution after ion implantation. The calibration is valid only for this particular situation. Experimental data where fluorine was implanted separately was not taken into account in the calibration. When F is implanted separately from B, Advanced Calibration Version A-2008.09 does not accurately predict the influence of F on dopant diffusion.

Arsenic diffusion and activation


See section 2.5 of AdvCal_2008.09.fps.

Arsenic diffusivity
The values for the diffusivity of arsenic have been calibrated by Synopsys based on SIMS data. 20 For regions with high As concentration (> 2.0 10 ), the diffusivity of As is increased sharply, following the measurements by Larsen et al. [23] and using a formula that is based on the percolation theory and lattice Monte Carlo simulations [24]. It is assumed that a high concentration of P increases the diffusivity of AsV pairs in a similar way as a high concentration of As using percolation. Following TSUPREM-4, the pairing constants are chosen such that the diffusivity of arsenic-defect pairs equals approximately the diffusivity of unpaired defects.

Advanced Calibration User Guide A-2008.09

21

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

2: Advanced Calibration file of Sentaurus Process Part 2: Constant parameters

Arsenic clusters
It is assumed that three arsenic atoms form an As3 cluster. The values of the solid solubility and the clustering rate have been calibrated by Synopsys.

Arsenic dose loss


Arsenic dose loss is modeled by the three-phase segregation model, following the experimental work of Kasnavi et al. [25] and the model of Oh and Ward [22]. In the Advanced Calibration, arsenic atoms do not share interface trap sites with other dopants such as P. The parameters for As dose loss have been calibrated by Synopsys based on SIMS data. The parameter CMax, 2 which gives the maximum concentration of As atoms that can be stored per cm of the interface, is used to fine-tune the As dose loss. In the ChargedPair model and the ChargedReact model, the segregation rate is proportional to the concentration of AsI and AsV pairs.

Phosphorus diffusion and activation


See section 2.6 of AdvCal_2008.09.fps.

Phosphorus diffusivity
At high temperatures, phosphorus diffuses predominantly through interstitials. The diffusivity of PI pairs has a similar value as the fit to literature data in [1], with a slightly increased contribution of P+I0 pairs and a slightly reduced contribution of P+I pairs. The diffusivity of PV pairs is smaller and only relevant at very high P concentrations. At low temperatures, the value for phosphorus diffusivity suggested in [1] is too small in comparison to diffusivities extracted from very long furnace anneals at low phosphorus concentrations [26]. A possible explanation is that PV pairs contribute significantly to the total diffusivity of P at low temperatures. In AdvCal_2008.09.fps, a very small activation energy of only 1.8 eV is ascribed to the diffusion of P using PV pairs. The diffusivity of P+V and P+V0 pairs has been calibrated with SIMS data for P diffusion at low temperatures. In intrinsic silicon, at temperatures less than 780 C , PV pairs contribute more than 50% to the diffusivity of P. It should be mentioned that the activation energy of 1.8 eV is much less than what has been calculated by first-principles simulation [27]. The research on phosphorus diffusivity is ongoing. Following TSUPREM-4, the pairing constants are chosen such that the diffusivity of phosphorus-defect pairs equals approximately the diffusivity of unpaired defects.

22

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

2: Advanced Calibration file of Sentaurus Process Part 2: Constant parameters

Phosphorus clusters
The model parameters for the formation and dissolution of P clusters have been calibrated by Synopsys, based on SIMS data at high concentrations. It is assumed that three P atoms can form a P3 cluster. It should be mentioned that the clustering model underestimates the stability of P complexes 22 3 for extremely high P concentrations (> 10 cm ), which can be obtained after very high dose 15 2 implantation (for example, 5 10 cm ) with low energy (for example, 2 keV). AsP clusters The physics of P diffusion is very complex if P and As are implanted and annealed together, in 14 2 particular, for high As implant doses (> 10 cm ). Synopsys has not yet completed a calibration for the whole range of possible process conditions for the co-diffusion of As and P. Depending on the process window of interest (window of As dose, As energy, P dose, P energy, annealing conditions), the following approaches can help to improve the accuracy with respect to Advanced Calibration default parameters:

Modify the P diffusivity as a function of As concentration using the term PhosphorusDiffFactor, PhosphorusVacDiffFactor, or PhosphorusIntDiffFactor. This approach was used in Version X-2005.10 of Advanced Calibration. The following command was applied in the 2005.10 calibration:
term name=PhosphorusDiffFactor add Silicon \ eqn="(1.0/(1.0+Arsenic*[Arr 1.0e-32 -3.0\]))"

Modify the dependence of PI pair diffusion on the Fermi level. In particular, it may be helpful to introduce negatively charged P+I pairs with a high abundance in As-doped regions. For this purpose, you must redefine the diffusivity and the pairing constants of PI pairs. Take into account the formation and dissolution of mixed AsP clusters. It appears natural to assume that P atoms can be built into As clusters. The AsP clusters act as a temporary trap for P atoms and help to keep P inside highly As-doped regions. simple model

for AsP clusters is implemented in section 2.6.2.2 of AdvCal_2008.09.fps. It is not switched on by default, because the calibration is not fully reliable. After sourcing the Advanced Calibration file, you can switch on the model by the command:
Use_As3P_clusters Use_As3P_clusters is a procedure defined in section 2.6.2.2 of AdvCal_2008.09.fps, which instructs Sentaurus Process to solve for the mixed cluster As3P. The parameters As3P_k1 and As3P_k2, defined in section 2.6.2.2, govern the formation and dissolution rate

of such clusters.

Advanced Calibration User Guide A-2008.09

23

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

2: Advanced Calibration file of Sentaurus Process Part 2: Constant parameters

Phosphorus dose loss


Phosphorus dose loss is described by the three-phase segregation model. Phosphorus atoms can be incorporated into the siliconSiO2 interface. In addition, it is assumed that two P atoms located at the siliconSiO2 interface can form P2 pairs. The concentration of pairs increases quadratically with the concentration of unpaired P atoms trapped at the interface. The calibration of P and P2 trapping at the interface is performed 17 3 in such a way that, for low P concentrations at the silicon side of the interface (< 10 cm ), 20 3 unpaired P atoms govern the P dose loss. For high P concentrations (> 10 cm ), most of the phosphorus trapped at the interface is bound in P2 pairs. This dose loss model was developed due to the need to calibrate, with a consistent set of parameters, the P dose loss for low and high interface concentrations, using the Synopsys SIMS database. The model is supported by the results of first-principles calculations on the mechanism of P segregation at the siliconSiO2 interface [28]. The interface trap density, emission, and trapping rates, and the pair formation and dissolution rates have been calibrated by Synopsys based on phosphorus SIMS data ranging from ultrashallow junction formation to long-time oxidation. The last lines of section 2.6.3 of AdvCal_2008.09.fps contain the Alagator implementation of the P pair formation at siliconSiO2 interfaces.

Indium parameters
See section 2.7 of AdvCal_2008.09.fps. The diffusivity values have been obtained by Synopsys from calibration of SIMS data. The pairing constants are chosen such that the diffusivity of InI pairs is approximately equal to the diffusivity of free interstitials. The indium solid solubility and the clustering rate have been calibrated by Synopsys. The dose loss of indium during annealing is diffusion limited. Almost all indium atoms, which diffuse to the SiSiO2 interface, are built into the oxide. This is reflected by a very low segregation coefficient. Furthermore, it is assumed that indium evaporates at the oxidegas surface. The indium diffusion and dose loss is well calibrated for typical indium channel or halo 13 2 implants below the amorphization dose. For high indium doses (typically > 5 10 cm ) and for the annealing of preamorphized wafers, the modeling of indium is not accurate for the following reason: During solid phase epitaxial regrowth (SPER), indium atoms are pushed towards the surface, due to a segregation effect between the crystalline and amorphous phases

24

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

2: Advanced Calibration file of Sentaurus Process Part 2: Constant parameters

of silicon [29][30]. This segregation increases the overall dose loss of indium dramatically. However, the SPER is not modeled explicitly in Sentaurus Process.

Antimony parameters
See section 2.8 of AdvCal_2008.09.fps. The diffusivity of antimony in silicon has been calibrated by Synopsys based on SIMS data. Antimony diffuses predominantly through SbV pairs. In highly doped regions (antimony 20 concentration > 3.5 10 ), the diffusivity is enhanced as observed by Larsen et al. [23]. The pairing constants are chosen such that the Sb-defect pair diffusivity has the same value as the diffusivity of the unpaired defect. The cluster parameters and the segregation and transfer coefficients at the SiSiO2 interface have been calibrated by Synopsys based on SIMS data and sheet resistance data. No ultrashallow junction Sb profiles have been used for the calibration, therefore, the model parameters are not expected to be predictive for Sb ultrashallow junction formation. The diffusivity of Sb in oxide is taken from Aoyama et al. [31].

Parameters for silicon implantation


See section 2.9 of AdvCal_2008.09.fps. The parameters Dacc and Dcrit, which govern the damage accumulation and amorphization during Monte Carlo ion implantation with Crystal-TRIM, have been changed to improve the reproduction of the depth of amorphous layers after Si implantation.

Carbon diffusion
See section 2.10 of AdvCal_2008.09.fps.

Carbon diffusivity
The macroscopic diffusivity of carbon in silicon is taken from the literature [1]. The diffusivity of CI pairs has been calibrated by Synopsys. The FrankTurnbull mechanism is switched off. Carbon interstitials are only formed by the kick-out mechanism.

Advanced Calibration User Guide A-2008.09

25

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

2: Advanced Calibration file of Sentaurus Process Part 2: Constant parameters

Carbon clustering
Three types of carboninterstitial cluster are taken into account: C2I, C3I2, and C3I3. The following reactions for the formation and dissolution of clusters are considered:

CI + C <> C2I C2I + CI <> C3I2 C3I2 <> C3I3 + V

The clustering rates, together with the diffusivity of CI pairs, have been calibrated by Synopsys using SIMS data from marker layer experiments [32][33] and from data on ultrashallow junction formation following Ge+C+B [34] and Ge+C+BF2 cocktail implants. The hopping length of CI pairs is calibrated in the Sentaurus Process defaults. During the formation of CI clusters, the concentration of free interstitials is reduced and vacancies are created. As a consequence, B diffusion is retarded and Sb diffusion is enhanced. The increase of the solid solubility of boron in regions of high carbon concentration [34] is not taken into account in the Advanced Calibration. The CI clustering model allows you to obtain accurate results also for the analysis of phosphorus ultrashallow junction formation by Si+C+P cocktail implants and subsequent spike annealing [35][36].

Intrinsic carrier concentration


See section 2.11 of AdvCal_2008.09.fps. The intrinsic carrier concentration ni is taken from Morin and Maita [37]. As an alternative, a simplified formula (ni_MM_simple) has been prepared. This simplified formula gives approximately the same results and is frequently used in other silicon process simulators.

Smoothing of amorphouscrystalline interface


See section 2.12 of AdvCal_2008.09.fps. After ion implantation some silicon regions can be amorphized. The solid phase epitaxial regrowth is not simulated explicitly in Sentaurus Process, but the initial conditions for point defects and dopant activation are set differently in amorphized or crystalline regions. At the amorphouscrystalline interface, there is a sharp step in the initial conditions for the diffusion solver. Unless the mesh is very fine at the amorphouscrystalline interface, this may

26

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

2: Advanced Calibration file of Sentaurus Process Part 2: Constant parameters

lead to a numeric error in the result. To reduce this error, Sentaurus Process can smooth the initial point-defect concentration at the amorphouscrystalline interface with the parameter AmorpGamma. Synopsys has investigated typical situations and has found that the value AmorpGamma=0.95 often gives the smallest numeric error.

Implant table selection


See section 2.13 of AdvCal_2008.09.fps. For analytic implant of BF2 and indium, improved tables have been generated. Their use is switched on by the lines:
pdbSet ImplantData BF2 TableVersion 2008.09 pdbSet ImplantData In TableVersion 2008.09

Effect of germanium and stress


See section 2.14 of AdvCal_2008.09.fps. Strained and relaxed SiGe, strained-silicon layers, and process-induced stress are being widely investigated for state-of-the-art silicon process technology. Section 2.14 of AdvCal_2008.09.fps is dedicated to the effects of Ge concentration and stress on dopants and point defects. The calibration of these effects is contained in the procedure SiGe_and_Stress_Effect. If the stress effect is switched on, the equilibrium point defect concentration in silicon is changed by the factor exp(Pressure*Volume/kT), where Volume is specified in AdvCal_2008.09.fps. Furthermore, stress and Ge concentration are assumed to have a direct influence on dopant diffusivity. This is simulated by diffusion enhancement factors, for example, in the case of boron by the terms BoronIntDiffFactor and BoronVacDiffFactor, which are defined in silicon. During assembly of the diffusion equations, Sentaurus Process checks each dopant and material if such diffusion factors exist. The diffusivity through dopant-interstitial and dopant-vacancy pairs is then multiplied by the corresponding diffusion enhancement factors. A separation between interstitial and vacancy effects is necessary because, with increasing Ge content of SiGe, the fractions of diffusion mediated by dopant-interstitial and dopant-vacancy pairs change. The chemical effect of Ge on the diffusivity of B, P, and Ge has been calibrated by Synopsys using data from Zangenberg [38]. The chemical effect of Ge on As and Sb diffusivity has been calibrated by Synopsys using marker layer data from [39] and [40], respectively. For the effect of Ge concentration on B diffusion, the formula includes the presence of GeB pairs in the way suggested by Kuo et al. [41]. The stress effect on dopant diffusivity is superimposed on the

Advanced Calibration User Guide A-2008.09

27

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

2: Advanced Calibration file of Sentaurus Process Part 3: Initial conditions after ion implant

chemical effect of Ge in a multiplicative way. Synopsys has calibrated the effect of stress on B and Ge diffusivity using data from [38], and on P and Sb diffusivity using data from [42] and [43], respectively. Stress is only taken into account through the hydrostatic pressure. Possible anisotropic effects are not considered. The procedure SiGe_and_Stress_Effect has two Boolean arguments. The first argument specifies whether the chemical effect of Ge on dopant diffusivity is switched on; the second argument specifies whether the effect of mechanical stress on diffusivities and point-defect equilibrium concentration should be taken into account. By default, the Ge and stress effects are not switched on. This saves CPU time in situations where the effects can be neglected.
NOTE

The calibration of stress and Ge effects is based on published marker layer experiments and has not yet been tested rigorously against SIMS data and electrical data from real-device fabrication processes. It is not known how accurate the models are for ion implantation and subsequent annealing.

After sourcing AdvCal_2008.09.fps, you can switch on the desired effects by any of these command lines:
SiGe_and_Stress_Effect 1 0 SiGe_and_Stress_Effect 0 1 SiGe_and_Stress_Effect 1 1

Part 3: Initial conditions after ion implant


In this part of the Advanced Calibration file, the initial conditions for diffusion data fields after ion implantation are set immediately after implantation or immediately before the diffusion. In particular, the concentration of point defects or point-defect clusters present after ion implantation is specified dependent on the implant species, energy, and dose. Furthermore, a framework is provided for a user-defined specification of initial electrical activation of asimplanted dopants. In general, the initial conditions are important for annealing steps with small thermal budget. This is typically the case in deep submicron technology. In the following, the contents of part 3 of the Advanced Calibration file is documented.

28

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

2: Advanced Calibration file of Sentaurus Process Part 3: Initial conditions after ion implant

User-defined defect initialization


See sections 3.1, 3.4, and 3.5 of AdvCal_2008.09.fps. The following command is selected:
pdbSet ImplantData defect.model user.defined

Using this command, Sentaurus Process expects the updating of data fields after ion implantation to be performed by the Alagator procedure UserPointDefectModel. This procedure is defined in section 3.5 of AdvCal_2008.09.fps. With defect.model assigned to user.defined, this procedure is called once after each ion implantation.1 UserPointDefectModel performs two tasks:

It calls the procedure impPostProcess_AdvCal, implemented in section 3.3 of AdvCal_2008.09.fps. This procedure updates the data fields and parameters for initialization of defect and dopant data fields after ion implantation and before diffusion, dependent on the implant conditions. The same physical parameters are applied to analytic and Monte Carlo implantations. For Monte Carlo implantation, two additional procedures are performed by UserPointDefectModel. First, the procedure ctrimDamageModels_AdvCal is called in the case of Crystal-TRIM implantation, which is implemented in section 3.4 of AdvCal_2008.09.fps. This is needed for the storing of the Monte Carlo amorphization data field. Second, the procedure CoImpPostProcess is called if the Coimplant model is switched on. (For analytic implants, CoImpPostProcess is already in the regular implantation postprocessing procedures of Sentaurus Process and does not need to be called again in UserPointDefectModel.)

All data-field processing for dopants and point defects is performed in the Alagator procedure named impPostProcess_AdvCal.

1. For Monte Carlo implantation of the molecular species BF2, the procedure UserPointDefectModel is actually called once for each element (boron and fluorine). This special case is taken into account in the implementation of UserPointDefectModel.

Advanced Calibration User Guide A-2008.09

29

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

2: Advanced Calibration file of Sentaurus Process Part 3: Initial conditions after ion implant

impPostProcess_AdvCal
See section 3.3 of AdvCal_2008.09.fps.

Scaling factors for point defects and damage


See section 3.3.1 of AdvCal_2008.09.fps. Point defects The amount of point defects generated by ion implantation is calculated with the Advanced Calibration +x model. The point defects are located at the same position as the as-implanted ions. The interstitial concentration originating from ion implantation is the as-implanted dopant profile multiplied by ifactor. The vacancy concentration is the as-implanted dopant profile multiplied by vfactor. Unless specified directly in the implant statement, ifactor and vfactor are calculated in the following way. If a procedure ifactor_$Species (for example, ifactor_Boron) is defined for the current implant species, ifactor is calculated in this procedure as a function of implantation energy and dose. Otherwise, ifactor has the value 1. Similarly, if a procedure vfactor_$Species is defined for the current implant species, vfactor is calculated in this procedure as a function of energy and dose. Otherwise, vfactor has the value 0. In section 3.2 of AdvCal_2008.09.fps, procedures are defined for the calculation of ifactor after implantation of As, BF2, C, In, or Si ions. vfactor equals 0 for all implantation species. The concept behind this type of implementation is that it is very convenient for users to finetune ifactor or vfactor for any species as a function of implantation energy and dose, according to their needs. For this purpose, it is sufficient to (re-)define a very short procedure ifactor_$Species or vfactor_$Species. This can be performed ideally after sourcing the Advanced Calibration file. Damage The crystal damage is proportional to the concentration of displaced atoms in silicon, including Frenkel pairs. It is scaled by dfactor. The damage field is used by Sentaurus Process to determine whether a region is amorphous or crystalline at the beginning of the annealing. By default, the damage model (AmModel) is Damage. In this case, the damage field is calculated during ion implantation, using the damage accumulation model of Crystal-TRIM or Taurus Monte Carlo for Monte Carlo ion implantation or analytic damage profiles suggested by Hobler and Selberherr [44] for analytic ion implantation. The damage field is scaled by

30

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

2: Advanced Calibration file of Sentaurus Process Part 3: Initial conditions after ion implant

dfactor. For analytic implantation, dfactor may depend on implantation energy and dose if a procedure dfactor_$Species is defined for the implantation species. For Monte Carlo implantation, a constant value MC.DFactor has been calibrated for various implant species. The calibration of MC.DFactor has been performed for the implant code Taurus Monte Carlo

and is expected to be less accurate for Crystal-TRIM. It is possible to use a +x damage model by defining a procedure AmModel_$Species, which gives the return value +1. In this case, the crystal damage is the product of the as-implanted dopant profile and dfactor. The +x damage model can be helpful to describe situations where buried amorphous layers are formed after medium-dose implantation of heavy ions (for 13 2 example, indium implantation with 100 keV, 6 10 cm ). With the +x damage model, it is necessary to define very high values for dfactor to adjust the measured and simulated amorphization.

Values for initial dopant activation


See section 3.3.2 of AdvCal_2008.09.fps.
AcInit and AmInit determine the initial activation of dopants after implantation. For regions with an as-implanted dopant concentration higher than AcInit (AmInit), the surplus dopants are assumed to be in clusters at the beginning of a diffusion process. Both AcInit and AmInit are applied in subroutines of the callback procedure diffPreProcess, which is called before each diffusion simulation. AcInit is used for regions that are not amorphized by ion implantation, and AmInit is used for regions that are amorphized by ion implantation and recrystallize at the beginning of the diffusion process.

By default, AcInit and AmInit are defined for all dopants, in part 2 of the calibration file, as constant parameters. However, you can define AcInit and AmInit in a similar way to ifactor. If a procedure acinit_$Species (for example, acinit_Arsenic) is defined, AcInit is calculated in this procedure as a function of implantation energy and dose. The same applies to AmInit.
NOTE

If the values of AcInit and AmInit are defined in implantation callback procedures, you must be careful: The values would be discarded when saving and loading the structure in DFISE format. (DFISE does not save the values of pdb parameters.) In addition, the values would be overwritten upon sourcing AdvCal_2008.09.fps, where these parameters are also defined in part 2.

Advanced Calibration User Guide A-2008.09

31

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

2: Advanced Calibration file of Sentaurus Process Part 3: Initial conditions after ion implant

Sum of as-implanted point defects and crystal damage


See sections 3.3.3 of AdvCal_2008.09.fps. The data fields Int_LastImp and Vac_LastImp, which are generated during implantation, are added to the fields Int_Implant and Vac_Implant, respectively, which are used in the callback procedure diffPreProcess for generating the initial conditions for diffusion. This enables a correct treatment of several subsequent implants of the same dopant. Similarly, the crystal damage from individual implant steps is added to the field Damage, which is used during diffusion preprocessing to determine amorphous regions. In Advanced Calibration, AmModel is Damage for all species by default. Therefore, the damage field Damage_LastImp is added to Damage. Damage_LastImp has been calculated during ion implantation using either the damage accumulation model of Crystal-TRIM for Monte Carlo ion implantation or analytic damage formulas suggested by Hobler and Selberherr [44] for analytic ion implantation.

Updating total dopant concentration


See section 3.3.4 of AdvCal_2008.09.fps. To allow you to write 1D dopant profiles after ion implantation in a convenient way, the total concentration of the implanted dopants is updated. This does not affect the results of the simulation. However, to write out 1D dopant profiles after ion implantation, which follow an anneal, a dummy diffusion step of no time should be inserted immediately after the ion implantation step to update the total dopant concentration field correctly.

Subroutines for setting ifactor and dfactor


See section 3.2 of AdvCal_2008.09.fps. In the Advanced Calibration, ifactor, vfactor, dfactor, AcInit, and AmInit can depend on the species, energy, and dose of the ion implantation. All values and formulas in section 3.2 have been calibrated by Synopsys, using mainly SIMS data. The guidelines used for the calibration are explained here.

ifactor
Giles [45] observed that using an ifactor of 1.0 gives good results for many situations. An ifactor of 1.0 means that, after the fast recombination of excess interstitials and vacancies generated by ion implantation, one interstitial atom survives per implanted ion. In AdvCal_2008.09.fps, an ifactor of 1.0 is used for the implantation of most species.
32 Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

2: Advanced Calibration file of Sentaurus Process Part 3: Initial conditions after ion implant

For high dose (> 1 10 cm ) arsenic implantation, a higher value of ifactor is used. In this case, ifactor is set in the procedure ifactor_Arsenic as a steady function of implantation energy and dose, and can have a value up to 3.0 for high-energy and high-dose implantation. The higher value of ifactor will reflect a consequence of amorphization of the silicon surface layer by high-dose arsenic implantation. During solid phase epitaxial regrowth (SPER) of the amorphized surface region, all point defects in that region are eliminated.
14 2

Since the interstitials generated by collisions during ion implantation are (on average) located slightly deeper than the vacancies, a larger fraction of interstitials will be located in the deeper region inside silicon, which is not amorphized, and will survive the damage annealing by SPER. After recombination of all of the vacancies and interstitials that survived SPER, there remains an average number of interstitials per implanted ion higher than 1.0 in the nonamorphized region. This consideration can be investigated quantitatively by using the full cascade mode of Monte Carlo ion implantation simulation.
ifactor is set to the value 0.5 for BF2 implantation. This is meant to anticipate the capability

of F to eliminate interstitials, which is not taken into account in the diffusion models used by Advanced Calibration.

vfactor
vfactor is set to 0.0 for all implanted ions.

dfactor
The damage factor is set to 0.5 for boron implantation. It is increased for BF2, P, and Si implantation in order to compensate for the default underestimation of amorphization caused by silicon implantation. For analytic As and Ge implantation, dfactor depends on the implant energy and dose. The formulas have been calibrated with Monte Carlo ion implantation, which was calibrated earlier with experimental data.

Advanced Calibration User Guide A-2008.09

33

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

2: Advanced Calibration file of Sentaurus Process Part 4: Comprehensive and slow models

Part 4: Comprehensive and slow models


The last part of AdvCal_2008.09.fps contains the procedure AdvancedModels. This procedure switches on a consistent calibration of some advanced models, which are not used by default. To use this procedure, apply the command AdvancedModels immediately after loading the Advanced Calibration file. This switches on the following models:

The Full model for interstitial clusters. In this model, the kinetics of formation and dissolution of small interstitial clusters, {311} defects, and dislocation loops is described by seven equations [17]. The ChargedCluster model for boroninterstitial clusters (BICs) and arsenicvacancy clusters. Boron-clustering kinetics is described by the formation and dissolution of six types of BIC: B2, B2I, B2I2, B3I, B3I2, and B3I3. Arsenicvacancy clustering is described by six clusters: As2, As2V, As3, As3V, As4, and As4V.

In addition, other model parameters are adjusted for B, As, P, and In diffusion. These additional adjustments have been calibrated with SIMS data. They are needed because the simulation of transient-enhanced diffusion of these dopants is affected by switching on the Full model for interstitial clusters instead of the 1Moment model, which is the default in Advanced Calibration. The disadvantage of switching on the advanced models is that the total number of equations to be solved for dopant and defect clustering increases sharply, which leads to a typical increase of CPU time for annealing by a factor of three, in comparison to simulations with the Advanced Calibration standard models. In general, it is not recommended to use only part of the advanced models. In particular, for B USJ formation, you should not use the ChargedCluster model without switching on the Full model for interstitials, and vice versa. However, in devices where the maximum concentration of B or As is small, you may carefully consider selecting a simple clustering model for this dopant to save CPU time. The option AdvancedModels is recommended for fundamental research on process simulation models and for applications where the standard models are not suited to predict all trends correctly. These may include, for example, the modeling of dopant activation and defect annealing by advanced millisecond annealing with a laser or flash lamp. In the following sections, the contents of the procedure AdvancedModels is described.

34

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

2: Advanced Calibration file of Sentaurus Process Part 4: Comprehensive and slow models

Interstitial clusters
See section 4.1 of AdvCal_2008.09.fps. The advanced interstitial clustering model, first published in [17], is switched on by:
pdbSet Si Int ClusterModel Full pdbSet Si Int MultiClusterModel Full { 2Moment Loop }

In this model, seven equations are solved to describe the kinetics of self-interstitial clusters:

Three data fields (I2, I3, I4) describe small interstitial clusters (SMICs). Two data fields (D311, density of {311} defects, and C311, density of interstitials bound in {311} defects) describe the presence of {311} defects. Two data fields (DLoop, density of dislocation loops, and CLoop, density of interstitials bound in dislocation loops) describe dislocation loops.

For a complete description of the model and a comparison to experimental data, refer to the literature [17]. The differences of this model to the default 1Moment model are illustrated in Figure 1 and Figure 2 on page 36.
107 106 Interstitial Supersaturation 105 104 103 102 101 40 keV silicon implant, dose 2x1013 cm3, anneal at 600C 100 101 102 103 104 100 Time [s] Experiment Simulation: AdvancedModels Simulation: 1Moment model

105

Figure 1

Time evolution of interstitial supersaturation after low-dose silicon implantation, during annealing at 600oC. Experimental data points are extracted from [8]. For short-time anneals (< 1000 s), a high supersaturation is maintained by dissolution of SMICs. This is reproduced correctly with the Full model, but not with the 1Moment model. For longer anneal times, the interstitial supersaturation is maintained by {311} defects. In this situation, the 1Moment model gives similar results to the Full model.

Advanced Calibration User Guide A-2008.09

35

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

2: Advanced Calibration file of Sentaurus Process Part 4: Comprehensive and slow models

1014 Clustered Interstitials [cm2]

1013

TEM: Total interstitials in clusters Simulation: Total interstitials in clusters, Full model Simulation: Interstitials in clusters, 1Moment model TEM: Interstitials in loops Simulation: Interstitials in loops, Full model TEM: Interstitials in {311} defects Simulation: Interstitials in {311}, Full model

1012 100 keV silicon implant, dose 2x10 102

14

, anneal at 800C

103 Time [s]

Figure 2

Time evolution of concentration of interstitials in {311} defects and dislocation loops after amorphizing silicon implantation, during annealing at 800oC. Experimental data points (TEM) are extracted from [46]. The Full model offers a good description of interstitials bound to {311} defects and dislocation loops. In contrast, the 1Moment model underestimates the stability of interstitial clusters in situations with dislocation loops.

The high supersaturation of interstitials in the initial phase of low-temperature annealing is important also for USJ formation with spike annealing, since all temperature ramps start at low temperature. Therefore, if the Full model is used for interstitial clusters, a suitable calibration of transient-enhanced diffusion of dopants that diffuse together with interstitials (B, P, In) requires either a dopantinterstitial clustering model or reduced diffusivities of dopantinterstitial pairs at low temperatures.

Boroninterstitial clusters
See section 4.2 of AdvCal_2008.09.fps. Boroninterstitial clusters (BICs) should be used in combination with the Full model for interstitial clusters. The BIC model is selected by:
pdbSet Si B ActiveModel ChargedCluster

All clusters are assumed to be electrically neutral. The most important model parameters are the formation energies of the various clusters. These have been optimized by comparison to a collection of SIMS data for various process conditions. The BIC model allows you to use realistic initial conditions (AmInit, AcInit, ifactor, dfactor) for B after ion implantation, in all situations. These are set in section 4.2.2 of AdvCal_2008.09.fps. The scaling factors for the damage (dfactor) and for the number of

36

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

2: Advanced Calibration file of Sentaurus Process Part 4: Comprehensive and slow models

interstitials (ifactor) produced by implantation of BF2 have been extracted from calibrated reference simulations using the full cascade Monte Carlo engine. The ChargedReact (fivestream) diffusion model for B is switched on together with the BIC model. The BIC model describes the activation of B more accurately than the Transient cluster model. This is most evident for thermal anneals with a low thermal budget, such as lowtemperature rapid thermal annealing (RTA) or millisecond annealing. An example is shown in Figure 3, where the AdvancedModels provides superior accuracy for RTA at 900 C (left), but no substantial improvement is obtained for the spike anneal (right).
1020 SIMS Simulation: BIC model Simulation: Transient cluster
Boron Concentration [cm3] 1021 1020 1019 1018 1017 1016 0 20 40 Depth [nm] 60 80 SIMS Simulation: BIC model Simulation: Transient cluster

Boron Concentration [cm3]

1019

1018

10

17

20 keV B implant, dose 5x1014, 30 s anneal at 900C

1016 0 100 200 Depth [nm] 300

Figure 3

Comparison of simulated B profiles with BIC model (red lines) and the simple cluster model to SIMS data: (left) B profiles after RTA at 900oC (SIMS data from [47]). The BIC model is significantly more accurate. (Right) B profiles after a spike anneal at 1050oC (SIMS data from VSEA1). All simulations are performed with AdvCal_2007.12.fps, either with or without the option AdvancedModels.

ChargedCluster model for arsenic


See section 4.3 of AdvCal_2008.09.fps. The kinetics of As cluster formation and dissolution is described with a family of six clusters: As2, As2V, As3, As3V, As4, and As4V. In this model, as for the BIC model, the most important calibration parameters are the formation energies (ClusterFormE) of the various cluster types. The most stable cluster at high As concentrations is As4V.

1. Varian Semiconductor Equipment Associates, Inc.

Advanced Calibration User Guide A-2008.09

37

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

2: Advanced Calibration file of Sentaurus Process References

The ChargedCluster model for As clusters has been calibrated for use in combination with the Full model for interstitial clusters. The initial conditions after implantation and the dose loss parameter CMax have been modified with respect to the default calibration of As diffusion. Similar to B, the diffusion model ChargedReact is switched on together with the ChargedCluster model.

Phosphorus parameters in AdvancedModels


See section 4.4 of AdvCal_2008.09.fps. To compensate for the effect of high interstitial supersaturation at the early stage of annealing after implantation simulated with the Full model for interstitial clusters (see Figure 1 on page 35), the P diffusivity at low temperature is reduced in the procedure AdvancedModels. This is mainly achieved by using an increased Arrhenius energy for the diffusivity of PI pairs. In addition, the solid solubility and the cluster formation rate, as well as the dose loss parameter P2_k2, have been slightly adjusted, based on calibration with SIMS data. Finally, the procedure for the formation of AsP clusters has been modified to be compatible with the ChargedCluster model, which is switched on for As clusters in AdvancedModels.

Indium parameters in AdvancedModels


See section 4.5 of AdvCal_2008.09.fps. The diffusivity of InI pairs is reduced for low temperatures for the same reason and by the same method as described for phosphorus in Phosphorus parameters in AdvancedModels. The following lines help to improve the convergence of diffusion simulation with In:
pdbSetDouble Si In Abs.Error 1e5 pdbSetDouble Si In Rel.Error 1e-2

References
[1] [2] P. Pichler, Intrinsic Point Defects, Impurities, and Their Diffusion in Silicon, Computational Microelectronics, Vienna: Springer, 2004. S. Chakravarthi et al., Modeling of Diffusion and Activation of Low Energy Arsenic Implants in Silicon, in MRS 2002 Spring Meeting Proceedings, Symposium C, vol. 717, San Francisco, CA, USA, pp. C3.7.1C3.7.6, April 2002.

38

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

2: Advanced Calibration file of Sentaurus Process References

[3] [4]

C. S. Rafferty et al., Simulation of cluster evaporation and transient enhanced diffusion in silicon, Applied Physics Letters, vol. 68, no. 17, pp. 23952397, 1996. S. Strauss et al., Analytic model for ion channeling in successive implantations in crystalline silicon, Materials Science and Engineering B, vol. 124125, pp. 376378, December 2005. H. Bracht, N. A. Stolwijk, and H. Mehrer, Equilibrium Concentrations of Intrinsic Point Defects in Silicon Determined by Zinc Diffusion, in Proceedings of the Seventh International Symposium on Silicon Materials Science and Technology (Semiconductor Silicon), vol. 94-10, San Francisco, CA, USA, pp. 593602B, May 1994. H. Bracht, E. E. Haller, and R. Clark-Phelps, Silicon Self-Diffusion in Isotope Heterostructures, Physical Review Letters, vol. 81, no. 2, pp. 393396, 1998. A. Ural, P. B. Griffin, and J. D. Plummer, Self-Diffusion in Silicon: Similarity between the Properties of Native Point Defects, Physical Review Letters, vol. 83, no. 17, pp. 34543457, 1999. N. E. B. Cowern et al., Energetics of Self-Interstitial Clusters in Si, Physical Review Letters, vol. 82, no. 22, pp. 44604463, 1999. B. Colombeau and N. E. B. Cowern, Modelling of the chemical-pump effect and C clustering, Semiconductor Science and Technology, vol. 19, no. 12, pp. 13391342, 2004.

[5]

[6] [7]

[8] [9]

[10] P. M. Fahey, P. B. Griffin, and J. D. Plummer, Point defects and dopant diffusion in silicon, Reviews of Modern Physics, vol. 61, no. 2, pp. 289388, 1989. [11] M. D. Giles, Defect-Coupled Diffusion at High Concentrations, IEEE Transactions on Computer-Aided Design, vol. 8, no. 5, pp. 460467, 1989. [12] I. Bork and H. Matsumoto, On the Determination of Boron Diffusivities and Boron Interstitial Pair Binding Energies in Silicon, in International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), Tokyo, Japan, pp. 9192, September 1996. [13] P. A. Packan and J. D. Plummer, Temperature and time dependence of B and P diffusion in Si during surface oxidation, Journal of Applied Physics, vol. 68, no. 8, pp. 43274329, 1990. [14] P. B. Griffin and J. D. Plummer, Process Physics Determining 2-D Impurity Profiles in VLSI Devices, in IEDM Technical Digest, Los Angeles, CA, USA, pp. 522525, December 1986. [15] P. A. Stolk et al., Physical mechanisms of transient enhanced dopant diffusion in ionimplanted silicon, Journal of Applied Physics, vol. 81, no. 9, pp. 60316050, 1997. [16] H. Saleh et al., Energy dependence of transient enhanced diffusion and defect kinetics, Applied Physics Letters, vol. 77, no. 1, pp. 112114, 2000.

Advanced Calibration User Guide A-2008.09

39

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

2: Advanced Calibration file of Sentaurus Process References

[17] N. Zographos, C. Zechner, and I. Avci, Efficient TCAD Model for the Evolution of Interstitial Clusters, {311} Defects, and Dislocation Loops in Silicon, in MRS Symposium Proceedings, Semiconductor Defect EngineeringMaterials, Synthetic Structures and Devices II, vol. 994, San Francisco, CA, USA, p. 0994-F10-01, April 2007. [18] F. Wittel, Development and Characterization of Process Simulation Models for Diffusion and Co-Diffusion of Dopants in Silicon, Ph.D. thesis, Boston University, Boston, MA, USA, 1996. [19] L. F. Giles et al., Transient enhanced diffusion of B at low temperatures under extrinsic conditions, Solid-State Electronics, vol. 49, no. 4, pp. 618627, 2005. [20] G. Impellizzeri et al., Role of fluorine in suppressing boron transient enhanced diffusion in preamorphized Si, Applied Physics Letters, vol. 84, no. 11, pp. 18621864, 2004. [21] B. Colombeau et al., Current Understanding and Modeling of B Diffusion and Activation Anomalies in Preamorphized Ultra-Shallow Junctions, in MRS Symposium Proceedings, Silicon Front-End Junction FormationPhysics and Technology, vol. 810, San Francisco, CA, USA, pp. 91102, April 2004. [22] Y.-S. Oh and D. E. Ward, A Calibrated Model for Trapping of Implanted Dopants at Material Interface During Thermal Annealing, in IEDM Technical Digest, San Francisco, CA, USA, pp. 509512, December 1998. [23] A. N. Larsen et al., Heavy doping effects in the diffusion of group IV and V impurities in silicon, Journal of Applied Physics, vol. 73, no. 2, pp. 691698, 1993. [24] S. T. Dunham and C. D. Wu, Atomistic models of vacancy-mediated diffusion in silicon, Journal of Applied Physics, vol. 78, no. 4, pp. 23622366, 1995. [25] R. Kasnavi et al., Characterization of arsenic dose loss at the Si/SiO2 interface, Journal of Applied Physics, vol. 87, no. 5, pp. 22552260, 2000. [26] K. Suzuki, Model for Transient Enhanced Diffusion of Ion-Implanted Boron, Arsenic, and Phosphorous over Wide Range of Process Conditions, FUJITSU Scientific & Technical Journal, vol. 39, no. 1, pp. 138149, 2003. [27] X.-Y. Liu et al., First-principles study of phosphorus diffusion in silicon: Interstitialand vacancy-mediated diffusion mechanisms, Applied Physics Letters, vol. 82, no. 12, pp. 18391841, 2003. [28] J. Dabrowski et al., Mechanism of dopant segregation to SiO2/Si(001) interfaces, Physical Review B, vol. 65, p. 245305, May 2002. [29] V. C. Venezia et al., Dopant redistribution effects in preamorphized silicon during low temperature annealing, in IEDM Technical Digest, Washington, DC, USA, pp. 489492, December 2003.

40

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

2: Advanced Calibration file of Sentaurus Process References

[30] C. Zechner, D. Matveev, and A. Erlebach, Phase-field model for the dopant redistribution during solid phase epitaxial regrowth of amorphized silicon, Materials Science and Engineering B, vol. 114115, pp. 162165, 2004. [31] T. Aoyama, H. Tashiro, and K. Suzuki, Diffusion of Boron, Phosphorus, Arsenic, and Antimony in Thermally Grown Silicon Dioxide, Journal of the Electrochemical Society, vol. 146, no. 5, pp. 18791883, 1999. [32] H. Rcker et al., Suppressed diffusion of boron and carbon in carbon-rich silicon, Applied Physics Letters, vol. 73, no. 12, pp. 16821684, 1998. [33] P. Lavant et al., Engineering the diffusion behavior of dopants (B, Sb) in silicon by incorporation of carbon, Nuclear Instruments and Methods in Physics Research B, vol. 186, no. 14, pp. 292297, 2002. [34] V. Moroz et al., Optimizing boron junctions through point defect and stress engineering using carbon and germanium co-implants, Applied Physics Letters, vol. 87, p. 051908, August 2005. [35] B. J. Pawlak et al., Suppression of phosphorus diffusion by carbon co-implantation, Applied Physics Letters, vol. 89, p. 062102, August 2006. [36] C. Zechner et al., Modeling Ultra Shallow Junctions Formed by Phosphorus-Carbon and Boron-Carbon Co-implantation, in MRS Symposium Proceedings, Semiconductor Defect EngineeringMaterials, Synthetic Structures and Devices II, vol. 994, San Francisco, CA, USA, p. 0994-F11-17, April 2007. [37] F. J. Morin and J. P. Maita, Electrical Properties of Silicon Containing Arsenic and Boron, Physical Review, vol. 96, no. 1, pp. 2835, 1954. [38] N. Zangenberg, Defect and Diffusion Studies in Si and SiGe, Ph.D. thesis, University of Aarhus, Denmark, 2003. [39] P. Laitinen, Self- and Impurity Diffusion in Intrinsic Relaxed Silicon - Germanium, Ph.D. thesis, University of Jyvskyl, Finland, 2004. [40] A. N. Larsen and P. Kringhoj, Diffusion of Sb in relaxed Si1xGex, Applied Physics Letters, vol. 68, no. 19, pp. 26842686, 1996. [41] P. Kuo et al., Boron Diffusion in Si and Si1xGex, in MRS Symposium Proceedings, Strained Layer Epitaxy - Materials, Processing, and Device Applications, vol. 379, pp. 373378, 1995. [42] J. S. Christensen, Dopant diffusion in Si and SiGe, Ph.D. thesis, KTH, Royal Institute of Technology, Stockholm, Sweden, 2004. [43] A. F. W. Willoughby, J. M. Bonar, and M. S. A. Karunaratne, IST Project 2000-30129, Report on the Effects of Defect Injection on Sb in SiGe, Technical Report D605, FRENDTECH, Southampton, UK, September 2003.

Advanced Calibration User Guide A-2008.09

41

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

2: Advanced Calibration file of Sentaurus Process References

[44] G. Hobler and S. Selberherr, Two-Dimensional Modeling of Ion Implantation Induced Point Defects, IEEE Transactions on Computer-Aided Design, vol. 7, no. 2, pp. 174180, 1988. [45] M. D. Giles, Transient Phosphorus Diffusion Below the Amorphization Threshold, Journal of the Electrochemical Society, vol. 138, no. 4, pp. 11601165, 1991. [46] J. Li and K. S. Jones, {311} defects in silicon: The source of the loops, Applied Physics Letters, vol. 73, no. 25, pp. 37483750, 1998. [47] S. Solmi, F. Baruffaldi, and R. Canteri, Diffusion of boron in silicon during postimplantation annealing, Journal of Applied Physics, vol. 69, no. 4, pp. 21352142, 1991.

42

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

CHAPTER 3

Guidelines for additional calibration


This chapter provides guidelines for additional calibration.

The Advanced Calibration file is a good starting point for accurate process simulation with Sentaurus Process. However, the Advanced Calibration cannot fully replace an additional calibration by the user for 2D applications. With a customized process calibration, the accuracy can always be further increased for any technology of interest. A customized calibration of process and device simulation models needs to be performed by the user or can be requested from Synopsys in the context of a customer service project. To further improve the Advanced Calibration, Synopsys appreciates feedback from customers regarding the accuracy obtained with the parameter files for different process conditions, and suggestions for improved models or parameter values.

Accuracy and limitations of Advanced Calibration of Sentaurus Process


The Advanced Calibration is based on scientific literature on process simulation models and on a continual calibration effort based on the Synopsys collection of SIMS profiles from stateof-the-art device manufacturing technology. A good agreement is obtained for a large portion of the SIMS data. However, in many cases, there is a significant mismatch between simulation results obtained with Advanced Calibration and the experimental data for several reasons:

Many models are simplifications of real physics. Only a few parameters of diffusion and reaction physics in silicon can be determined by direct measurements. Therefore, the calibration is difficult. A very large range of possible experiment data needs to be reproduced with a single, consistent set of models. For example, in standard CMOS technology, dopant 16 3 22 3 concentrations range from 10 cm to 10 cm ; temperatures range from 500 C to 1350 C . In extreme conditions, the models used are often overburdened. For example, the dopant 21 3 clustering models, which work well at dopant concentrations up to 10 cm , are less reliable at higher dopant concentrations, which may occur after high-dose ion implantation at very low energies.

Advanced Calibration User Guide A-2008.09

43

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

3: Guidelines for additional calibration Accuracy and limitations of Advanced Calibration of Sentaurus Process

The experimental data is not perfectly accurate. Errors arise from insufficient equipment calibration (implanter dose, furnace temperature), from SIMS measurements, and from missing details in the process description such as thickness of the oxide layer on top of silicon. As a result, occasionally, small discrepancies are observed between data obtained by different groups for the same nominal process conditions. For some physical phenomena, no adequate calibration is available, either due to the lack of a physical model in Sentaurus Process or to the lack of data for performing a reliable calibration.

In this section, the accuracy of the Advanced Calibration is discussed in detail. In particular, it will be explained for which process conditions the accuracy is limited and which parameters can be tuned by users to increase the accuracy in a process window of interest. Unless mentioned otherwise, the discussion focuses on the default model switches of Advanced Calibration. For the option AdvancedModels (part 4 of AdvCal_2008.09.fps), see Part 4: Comprehensive and slow models on page 34.

Point defects
Bulk parameters
The parameter values for the equilibrium concentration, diffusivity, and charge-state distribution of point defects have been chosen by Synopsys, as a careful compromise between various suggestions in recent publications [1][2][3][4][5][6][7]. The bulk recombination is based on the assumption that there is no energy barrier for IV recombination, and that interstitials and vacancies with the same charge state do not recombine. Changing any of these parameters may affect the diffusion and activation behavior of several dopants. Therefore, for the purpose of improving the accuracy of diffusion of one dopant, it is not recommended to change point-defect parameters, due to the possible undesired effects on other dopants.

Surface boundary conditions


At the SiSiO2 interface, fast recombination is assumed for neutral interstitials and vacancies. The recombination length is assumed to be 1 nm. For interfaces to other materials (for example, nitride), the point-defect surface boundary conditions have not yet been calibrated, due to a lack of suitable data.

44

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

3: Guidelines for additional calibration Accuracy and limitations of Advanced Calibration of Sentaurus Process

Oxidation-enhanced diffusion
Oxidation-enhanced diffusion (OED) is simulated by interstitial injection at the moving SiSiO2 interface. The injection rate depends on the local oxide growth rate at the interface. The calibration for the intrinsic condition is based on literature data for dry oxidation. For additional fine-tuning of OED, it is recommended to adjust the parameter theta. For finetuning of OED in highly doped regions, it is recommended to adjust the parameters m, mm for n-type doping and the parameters p, pp for p-type doping.

Clusters of interstitials
The one-moment model suggested by Rafferty et al. [8] is used in the Advanced Calibration. It gives a reasonably accurate description of interstitial supersaturation during anneals, in which the transient-enhanced diffusion (TED) is dominated by the release and capture of interstitials by {311} defects. This is the case for annealing at medium or high temperatures (T > 800 C ) after non-amorphizing ion implantation. The model is not suitable for investigating the initial stage of TED for low-temperature annealing (< 800 C ). For processes where the initial phase of TED is crucial, the Rafferty model is not the most accurate choice. Instead, consider using the procedure AdvancedModels to benefit from complete modeling of small interstitial clusters, {311} defects, and dislocation loops. After amorphizing implantation, dislocation loops may form at the amorphouscrystalline interface. Loops are known to be much more stable interstitial clusters than {311} defects. As an effect, the rate of interstitial release is smaller. The formation and dissolution of dislocation loops is not included in the 1Moment model for TED. However, you can imitate the presence of dislocation loops by reducing the evaporation rate Ikr in the Rafferty model, after amorphizing implants:
pdbSetDouble Silicon ICluster Ikr {[Arr value1 value2]}

With the default value of Ikr in AdvCal_2008.09.fps, the stability of the interstitial clusters is adjusted to the stability of {311} defects and is significantly lower than the expected stability of dislocation loops. Figure 4 on page 46 shows the TEM data on the amount of interstitials in {311} clusters after 13 2 a 50 keV, 5 10 cm silicon implant and annealing at different temperatures. The experimental data (symbols) from the literature [9] is compared to the simulation results with AdvCal_2007.12.fps (solid lines).

Advanced Calibration User Guide A-2008.09

45

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

3: Guidelines for additional calibration Accuracy and limitations of Advanced Calibration of Sentaurus Process

1014

Interstitials in {311} Defects

1013

670C 1012 738C 815C

1011 100 101 102 103 104 105

Time [s]

Figure 4

TEM data points on the amount of interstitials in {311} clusters after 50 keV, 5 x 1013 cm2 silicon implant and annealing at different temperatures [9] compared to simulation results (lines)

Vacancy clusters
In the Advanced Calibration, vacancy clusters are not taken into account. This is justified for most processes, but not for the so-called defect-engineering [10], where vacancy-rich silicon regions are created near the surface by high-energy ion implantation, in order to form highly activated and steep boron profiles.

Boron diffusion and clustering


Diffusion and pairing in silicon
The diffusivity of boron has been measured by many groups, with similar but not fully identical results [1]. Omitting the most extreme published values, the spread between the lowest and highest diffusivities for B is approximately a factor of two for high temperatures ( 900 C 1100 C ). You should not change the B diffusivity by more than 50% in your own calibrations. The pairing coefficient between B and interstitials is less accurately known. However, it does not have a big effect on the simulation results.

46

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

3: Guidelines for additional calibration Accuracy and limitations of Advanced Calibration of Sentaurus Process

Hopping length (for ChargedReact model)


The hopping length for BI pairs has been extracted by Giles et al. [11] and is considered very reliable. It is only relevant if the five-stream model is switched on by:
pdbSet Silicon Boron DiffModel ChargedReact

By default, the B diffusion model is ChargedPair. This is a simplified case of the ChargedReact model and allows significantly faster simulations due to better convergence of the equations and, therefore, larger time steps. The hopping length lambdaK decreases with increasing temperature. For boron, at 600 C , it is 11 nm; at 1000 C , it is 1.4 nm. The ChargedReact model gives significantly different results from the ChargedPair model for the annealing of very steep B profiles at low temperatures. This is illustrated in Figure 5 where a boron marker layer is annealed at 700 C . Figure 5 shows that the ChargedPair model gives a Gaussian shape to the profile and the ChargedReact model gives exponential-like tails, as observed in experiment. The slope of the exponential tail is a measure for the hopping length of BI pairs at 700 C .
1018 Initial marker layer ChargedReact (five-stream) ChargedPair (three-stream)

Boron [cm-3]

1017

1016

1015 0 50 100

Depth [nm]

Figure 5

Boron marker layer at depth 100 nm, before and after Si implant and anneal at 700oC

Furthermore, for spike annealing of shallow B implantations, there is sometimes a small difference in the diffusion tail, which is formed at the very beginning of the ramp-up, where the temperature is still low and the interstitial supersaturation is very high. In most situations, it is well justified to use the faster ChargedPair model.

Advanced Calibration User Guide A-2008.09

47

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

3: Guidelines for additional calibration Accuracy and limitations of Advanced Calibration of Sentaurus Process

Effect of fluorine
In the Advanced Calibration file, the boron diffusivity is reduced in regions with a high fluorine concentration. Fluorine is assumed to be immobile. The B diffusivity is performed by the function:
term name=BoronDiffFactor add Si \ eqn="(1.6e20+0.025*Fluorine)/(1.6e20+Fluorine)"

This model is not the best physical model to simulate the influence of fluorine. It has been shown [12] that F does not form clusters with B at low concentrations and, therefore, does not reduce the diffusivity of B directly. Furthermore, F atoms are not immobile. Instead, fluorinevacancy complexes, which are present in silicon after solid phase epitaxial regrowth (SPER), were found to be able to capture silicon self-interstitials. After capturing interstitials, F diffuses very fast towards the surface or deep into the silicon bulk. In addition, it was observed [12][13] that F is redistributed towards the surface during SPER of amorphized regions, due to a strong segregation effect at the amorphouscrystalline interface. Furthermore, the speed of SPER is reduced by the presence of F, which may cause an increased dopant redistribution during the regrowth. Unfortunately, a complete model that describes the physical behavior of F correctly has not yet been calibrated in Sentaurus Process. Nevertheless, ignoring the effect of F completely is not prudent, because it is obvious from SIMS data that F reduces the diffusion of B and increases the dose loss of B. In this situation, the above formula for an effective reduction of B diffusivity by F is useful. The formula has been calibrated by a comparison of SIMS data for boron diffusion after boron and BF2 implantation. The formula is not expected to be predictive for the simulation of ultrashallow junction formation after separate implantation of B and F atoms at different implantation energies. The diffusivity of B in oxide is increased in the presence of F. This effect has also been calibrated by Synopsys based on B SIMS data measured after BF2 implantation and annealing. As a result, the B dose loss is increased, as observed in the SIMS data.

Boron clustering and activation


As the default, the relatively simple Transient model is chosen for the clustering and electrical activation of boron. Four substitutional B atoms may form a B cluster. Only the substitutional B atoms will be assumed to be electrically active for the device simulation. After ion implantation, only a limited concentration of B atoms is assumed to be substitutional. 18 19 The concentration is 3.0 10 in crystalline silicon and 1.1 10 in amorphized silicon. Both values have been calibrated using annealed boron SIMS profiles. It should be mentioned

48

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

3: Guidelines for additional calibration Accuracy and limitations of Advanced Calibration of Sentaurus Process

that Colombeau et al. [14] reported higher values for the initial activation in preamorphized silicon. The highly activated B after preamorphization and SPER was found to be deactivated by the formation of boroninterstitial clusters BmIn (BICs) and reactivated during the dissolution of these BICs. However, with the B clustering model chosen in the Advanced Calibration, an initial activation well below solid solubility is necessary to reproduce SIMS data of boron ultrashallow junctions. The predictive power of the Transient boron clustering model is limited. The deactivation and subsequent activation of boron USJ formed after preamorphization and low-energy implant cannot be simulated with the present model. For the fitting of SIMS data, a satisfactory agreement has been obtained with a high percentage of B profiles from the Synopsys database, but a good fit to all SIMS data cannot be achieved with the clustering model used. The parameters in the Advanced Calibration file are an optimized compromise for the complete collection of SIMS data of Synopsys. To optimize the accuracy in a process window, an additional user calibration may be necessary. The solid solubility is fit to data collected by Pichler [1] and does not need to be changed to improve the accuracy. It is recommended to tune the clustering rate by using:
pdbSetDouble Si B CluRate {[Arr 1.65e13 5.6]}

for a user-specific calibration of B clustering and activation. The default clustering rate allows you to reproduce boron SIMS profiles for B or BF2 implantation followed by a single rapid thermal anneal (RTA) or spike anneal. The effect of tuning the clustering rate is illustrated in Figure 6.
1021 {[Arr 4.00e13 5.6]} {[Arr 1.65e13 5.6]} (default) {[Arr 5.00e12 5.6]} {[Arr 1.00e12 5.6]}

1020

Boron [cm-3]

1019

1018

1017 0 20 40 60 80

Depth [nm]

Figure 6

Effect of boron clustering rate CluRate on final shape of a boron profile after 1 keV 1015 cm2 boron implantation and spike annealing at 1050oC
20 3

If boron clusters are located in regions of high carbon concentration (> 10 cm ), it may be necessary to reduce the clustering rate greatly. The profile tail at boron concentrations less than 18 3 10 cm is formed at the beginning of annealing, where most of the boron is still clustered. Changing CluRate is a powerful method to fine-tune the junction depth and sheet resistance.

Advanced Calibration User Guide A-2008.09

49

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

3: Guidelines for additional calibration Accuracy and limitations of Advanced Calibration of Sentaurus Process

PMOS extension formation In PMOS device fabrication, the B or BF2 implant is sometimes followed by the following temperature cycle:

RTA Spacer deposition (typically, 20120 minutes at 600 C 800 C ) Spike anneal

In this case, the Advanced Calibration parameters often overestimate the release of boron atoms from boron clusters, which are located close to the surface, into silicon. It seems that, in the wafer processing, the B clusters become more stable during the low-temperature spacer deposition step. However, since the model has only one type of B cluster, this type of stabilization cannot be described. To obtain a good fit of the final B profile, it is necessary to reduce the parameter CluRate by a factor of 530.
NOTE

For the process simulation of PMOS extension formation, it may be necessary to reduce the parameter CluRate by a factor of 530.

As shown in Figure 6 on page 49, CluRate mainly influences the high-concentration regions of the B profiles. The diffusion tail in the low-concentration regions can be adjusted by finetuning the amount of interstitials created by ion implantation. The most convenient way to make such an adjustment is to redefine the procedure ifactor_Boron after sourcing the Advanced Calibration file. This is described in more detail in Performing additional calibration on page 58. The diffusion tail of a boron profile formed by a spike anneal can also be adjusted by varying the stability of interstitial clusters. The higher the dissolution rate Ikr for interstitial clusters, the earlier are the interstitials released during the ramp-up of the spike anneal. Consequently, interstitials from ion implantation are available for B diffusion at a lower temperature, where they are more effective for enhancement of B diffusion. Figure 7 on page 51 illustrates the effect of increasing the interstitial dissolution rate. The diffusion in the tail region is widely driven by B atoms, which are substitutional at the beginning of the anneal. These diffuse more if Ikr is increased. Another effect of increasing Ikr is that most of the interstitials have already recombined before a substantial part of the B clusters that are near the surface are dissolved. As a consequence, less boron can diffuse out of the B clusters, as can be seen in the dashed line of Figure 7. By changing the rate for interstitial cluster dissolution and B cluster dissolution, both by a factor of ten (symbols in Figure 7), you can modify the B tail almost independently from the highly doped region.

50

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

3: Guidelines for additional calibration Accuracy and limitations of Advanced Calibration of Sentaurus Process

In Figure 7, the solid line shows the simulation result with AdvCal_2007.12.fps, the dashed line shows the result with Ikr increased by a factor of 10, and the symbols show the simulation result with both Ikr and boron CluRate increased by a factor of 10.
1021 High Ikr, high B-CluRate High Ikr Default

1020

Boron [cm-3]

1019

1018

1017 0 20 40 60 80

Depth [nm]

Figure 7

Effect of interstitial cluster dissolution rate Ikr on the final shape of a boron profile after 1 keV 1015 cm2 B implantation and spike annealing at 1050oC

Preamorphization implant If boron ultrashallow junctions are formed using a preamorphization implant (Ge or Si), the accuracy can be reduced by an inaccurate calculation of the initial amount of interstitials in the structure. In this situation, you can consider adjusting the ifactor for Ge or Si implantation, for example, by defining a procedure ifactor_Germanium. BIC model By executing the procedure AdvancedModels (see Part 4: Comprehensive and slow models on page 34), you can switch on the ChargedCluster BIC model for boron. Its disadvantage six equations need to be solved instead of a single equation can be compensated by an increased accuracy of results. In particular, for the simulation of B diffusion and (de)activation during low-temperature annealing, and of B (de)activation during millisecond annealing, the ChargedCluster model is much better suited than the Transient cluster model. For the modeling of boron USJ formation by spike annealing, with high or extremely high 21 3 ( > 10 cm ) B surface concentration, the ChargedCluster model provides similar accuracy to the Transient cluster model.

Boron dose loss


The parameters for the three-phase segregation model have been calibrated by Synopsys using SIMS data from B implantation and annealing experiments. In most situations, the dose loss of B into thermal oxide is accurately described. After BF2 implantation, the dose loss of B is

Advanced Calibration User Guide A-2008.09

51

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

3: Guidelines for additional calibration Accuracy and limitations of Advanced Calibration of Sentaurus Process

increased. This is taken into account by increasing the diffusivity of B in the oxide as a function of F concentration. In device manufacturing, thermal oxide is not the only dielectric material used. In oxynitride, which is frequently used in gate dielectrics, the boron diffusivity is typically reduced, depending on nitrogen concentration. Deposited oxides may contain hydrogen atoms, which can drastically increase the diffusivity of B in oxide. This may be important in devices with oxide spacers that are capped by a silicon-nitride layer, which may act as a barrier for hydrogen out-diffusion.

Arsenic diffusion and activation


The diffusion of arsenic is well calibrated for a wide range of process conditions, including the formation of ultrashallow junctions. Only a few accuracy problems are known to Synopsys:

The model for arsenic diffusion and clustering is not very accurate for extremely high arsenic surface concentrations, which may occur after high-dose implantation with very 15 2 low implantation energy (for example, 2 10 cm at an energy of 0.5 keV and less). The kinetics of deactivation during back-end processing at low temperatures has not been calibrated. In some situations, it is useful to perform additional fine-tuning of arsenic dose loss. In particular, the parameter CMax, which specifies the concentration of arsenic atoms that can be built into the interface layer, can be considered for the fine-tuning of As ultrashallow junctions (see Figure 8).

1021 1020

Arsenic [cm-3]

1019 1018 1017 1016 0 10 20


{[Arr 1.0e16 0.45]} {[Arr 2.5e16 0.45]} (def.) {[Arr 1.0e17 0.45]} As-implanted

Depth [nm]

Figure 8

Effect of CMax on simulation result for arsenic USJ formation; graphs were calculated for 1.5 keV 1015 cm2 As implantation, followed by a spike anneal at 1050oC

52

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

3: Guidelines for additional calibration Accuracy and limitations of Advanced Calibration of Sentaurus Process

For very shallow arsenic profiles, the steepness of the slope can be overestimated with the ChargedPair diffusion model. A flatter slope can be obtained with the ChargedReact model. Within the ChargedReact model, you can even adjust the steepness by tuning the arsenicdefect hopping length lambda. Figure 9 shows a comparison for an As ultrashallow junction, calculated with the ChargedPair model and the ChargedReact model with default parameters. Note that SIMS data tends to underestimate the slope of very steep profiles because, during the SIMS measurement itself, the profile is flattened due to the knock-on effect.
1021 1020
ChargedReact (five-stream) ChargedPair (three-stream) As-implanted

Arsenic [cm-3]

1019 1018 1017 1016 1015 0 10 20 30

Depth [nm]

Figure 9

Comparison of simulation results with the ChargedPair and ChargedReact models for As USJ formation; graphs were calculated for 1.5 keV 1015 cm2 As implantation, followed by a spike anneal at 1050oC

Phosphorus diffusion and activation


The diffusion of phosphorus covers a wide range of process conditions, including USJ formation, phosphorus well anneals, and P in-diffusion from polysilicon. Phosphorus activation is not a major problem for process simulation, due to the high solid solubility. It should be mentioned though that for very high-dose and very low-energy ion 15 2 implantation (for example, 5 10 cm , 2 keV), where phosphorus concentrations close to 22 3 10 cm are reached, the simulation underestimates the stability of P clusters. At such high concentrations, Si cannot be expected to show a typical behavior. As in the case of arsenic, a useful parameter for the fine-tuning of P segregation is CMax.

Advanced Calibration User Guide A-2008.09

53

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

3: Guidelines for additional calibration Accuracy and limitations of Advanced Calibration of Sentaurus Process

Co-diffusion of arsenic and phosphorus


The physics of the co-diffusion of As and P in high concentration is very complex for several reasons:

The concentration of point defects is affected by the diffusion of AsI, AsV, PI, and PV pairs. The recombination of point defects in the presence of As and P is not precisely known. Arsenic and phosphorus can form mixed clusters. The Fermi-level dependence of P diffusivity has a huge influence, but it is not known with high precision from the literature. AsV pairs can show a percolation-type diffusion at high concentrations of arsenic. Vacancies can hop from one As atom to neighboring As atoms, which effectively increases the AsV diffusion at very high concentrations. It is possible that this percolation is modified by the presence of P.

Synopsys has not yet completed a calibration for the whole range of possible process conditions for the co-diffusion of As and P. Depending on the process window of interest, three different methods of parameter tuning will result in a good overall agreement. They are described in AsP clusters on page 23.

Indium diffusion and activation


Non-amorphizing condition
The calibration of indium diffusion and dose loss is very accurate for non-amorphizing conditions and usually does not require additional fine-tuning.

Amorphizing ion implantation


If amorphous layers are created by ion implantation, the behavior of indium is more complicated and cannot be modeled correctly with the chosen set of models in the Advanced Calibration. Several things may happen:

Indium is swept out of silicon during solid phase epitaxial regrowth (SPER) of the amorphous layer [15]. Indium may segregate to end-of-range defects [16]. If a high concentration of indium is left in silicon after SPER, clusters may form due to the low solid solubility of indium.

54

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

3: Guidelines for additional calibration Accuracy and limitations of Advanced Calibration of Sentaurus Process

The first effect is responsible for a pronounced increase of indium dose loss with increasing indium dose [17] for NMOS channel doping, when the indium dose is above the amorphization threshold. Unfortunately, no adequate models are available to give a physics-based description of this effect. A practical possibility for mimicking the sweep-out effect is to cut the indium concentration manually in amorphous regions, immediately after the ion implantation, using the select command of Sentaurus Process.

Antimony diffusion and activation


Antimony diffuses mainly with vacancies and, therefore, does not show transient enhanced diffusion with excess interstitials created by ion implantation. In addition, the diffusivity of Sb is low. The calibration of Sb diffusion and activation is based on Sb data for ion implantation and subsequent annealing, with implantation energies of 50 keV and higher, and annealing temperatures ranging from 700 C to 1100 C . For these conditions, the calibration is very reliable. Antimony ultrashallow junction (USJ) formation has not been taken into account in the Advanced Calibration. For users who are interested in Sb USJ formation, an additional calibration of the Sb parameters is required. In particular, it will probably be necessary to define more sophisticated initial conditions for point defects and Sb activation after low-energy ion implantation, using the procedure ifactor_Antimony, and the parameters AcInit and AmInit.

Carboninterstitial clusters
The model for CI clusters has been used to reproduce experimental data for USJ formation based on Ge+C+B or C+P cocktail implants and subsequent spike anneals. It has not been calibrated yet for other process conditions where C implantation may be used, such as lowtemperature annealing or As+C coimplants. For additional calibration of the CI cluster model, you may consider modifying the initial conditions for C after implantation. By default, all C is at substitutional sites at the beginning of thermal annealing. This assumption can be changed by the parameters AcInit, AmInit, FractionCryst, and FractionAmor. For example:
pdbSetDouble pdbSetDouble pdbSetDouble pdbSetDouble pdbSetDouble Si Si Si Si Si Carbon C3I2 Carbon Carbon C3I2 AcInit FractionCryst AmInit FractionAmor FractionAmor 1e16 1.0 1e20 0.9 0.1

Advanced Calibration User Guide A-2008.09

55

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

3: Guidelines for additional calibration Accuracy and limitations of Advanced Calibration of Sentaurus Process

With such parameters, C would be initialized as follows: In crystalline regions, only a 16 3 maximum of 1 10 cm (AcInit) C atoms is substitutional initially. If the total concentration of C is higher, the rest is put into C3I2 clusters initially. In amorphized regions, 20 3 the first 1 10 cm C atoms are put into substitutional sites. The additional C atoms are distributed to substitutional sites (90%) and to C3I2 clusters (10%). It should be mentioned that the interstitials that are contained in the CI clusters represent an independent additional contribution to the total number of interstitials present after implantation.

Diffusion in strained silicon and SiGe


The calibration of the effects of Ge concentration and stress on dopant diffusivity have been performed by using published data, which was all based on marker layer experiments. In this type of experiment, the diffusion of dopants is investigated by annealing experiments and subsequent SIMS measurements in wafers, which contain (biaxially) strained or relaxed Si and SiGe layers that are formed by molecular beam epitaxy (MBE). Often, the dopant atoms are built into the wafers during MBE. The calibration has not been tested sufficiently against data from device manufacturing processes, which involve ion implantation and process-induced stress. It is expected that additional effects need to be taken into account in real processes such as the effect of stress gradients on particle drift, the effect of pressure on the formation energies of clusters, the redistribution of Ge atoms during solid phase epitaxial regrowth of amorphized layers, and anisotropic diffusivities. The models provided for diffusion in strained silicon and SiGe are considered as a starting point for further investigations and are not expected to be highly predictive for deep submicron fabrication processes.

As-implanted dopant profiles


In general, the dopant distribution after ion implantation is calculated with high accuracy. For 1D structures, the same accuracy is obtained for Monte Carlo ion implantation and for analytic tables. This is because the tables have been generated by extraction of dual Pearson parameters from Crystal-TRIM simulations [18]. If several implantations are performed subsequently without an intermediate diffusion step, the ion channeling is reduced due to the increasing implantation damage. In Monte Carlo ion implantation, this is taken into account automatically; in analytic ion implantation, the CoImplant model is used for calculating the reduction of ion channeling. This is described in the Sentaurus Process User Guide.

56

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

3: Guidelines for additional calibration Accuracy and limitations of Advanced Calibration of Sentaurus Process

In 2D or 3D applications, the simulation results for Monte Carlo implantation and analytic implantation are often slightly different, due to differences in the lateral distribution of dopants in structured geometries. Typically, the Monte Carlo simulation gives a more accurate lateral distribution of dopants. For CMOS technology, the difference in as-implanted profiles between Monte Carlo and analytic implants is most important for the tilted halo implants. The advantage of an analytic implantation is that it is much faster than a Monte Carlo implantation and that the result does not show any statistical noise. The latter is very important if you want to investigate the effect of small variations of implantation conditions on the device performance. You can decide to use Monte Carlo implantation or analytic implantation outside of the calibration file by using one of the keywords crystaltrim and taurusmc, or not.

Coimplantation model
The coimplantation model is switched on by default. This model will automatically reduce the ion channeling in successive ion implantations. However, the location of the crystal damage is not taken into account by the coimplantation model. Occasionally, in 2D and 3D process simulations, successive implantations are performed into different regions of the wafer, because implantation masks are removed and other masks are deposited between the implant steps. In this case, the earlier implantation does not reduce the ion channeling of the latter implantation, and it is recommended to switch off the coimplantation model for these ion implantations.

Diffusion in polysilicon and out-diffusion from polysilicon


The dopant diffusion in polysilicon and the out-diffusion of dopants from polysilicon have not been calibrated. In CMOS simulations, it is often sufficient to assume a constant doping profile in polysilicon, which can be adjusted manually with the help of CV measurements. For devices where the out-diffusion from polysilicon into silicon is important (such as in processes for the fabrication of bipolar devices), you need to select the model and parameters for the dopant flux at the interface.

Dopant penetration through gate oxide


The dopant penetration through gate oxide has not been calibrated. This is a difficult task because, instead of pure oxide, oxynitride is commonly used as the gate dielectric material. Dopant diffusion from polysilicon through the gate oxide into the channel region can be

Advanced Calibration User Guide A-2008.09

57

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

3: Guidelines for additional calibration Performing additional calibration

completely suppressed by the user by setting the transfer coefficient at the polysiliconoxide interface to 0.

Performing additional calibration


Calibration procedure
For the process simulation of any new technology or technology node, it is recommended to use the Advanced Calibration as a starting point. In many cases, it will give accurate results without requiring additional parameter fine-tuning. However, in most cases, especially for the development of innovative technology, additional fine-tuning is needed for a customized TCAD calibration. The recommended way to perform the calibration of parameters for 2D (or 3D) process simulation is: 1. Compare SIMS data from your process flow with straightforward results obtained with the Advanced Calibration. Check if the agreement is good and if additional parameter finetuning is needed to improve the agreement. 2. If additional models, or parameter fine-tuning, are needed, put the required additional calibration together in a single user calibration file, which is to be sourced immediately after loading the default Advanced Calibration. For the remainder of this section, this user calibration file will be called user_calib.fps. 3. After a good agreement with SIMS data is obtained, make a setup for 2D process and device simulation. Before continuing with the calibration, ensure that the setup is good in terms of numerics (small CPU time, small numeric noise, and robustness). 4. Perform 2D (or 3D) process and device simulations, and compare the simulated and measured electrical device characteristics. Analyze if there is insufficient accuracy, which is due to process simulation calibration. 5. If needed, perform additional parameter fine-tuning. As in the case of 1D simulations, all fine-tuning in addition to the default Advanced Calibration should be put together in a single file user_calib.fps, which is sourced immediately after the AdvancedCalibration 2008.09 command. 6. As a result of the fine-tuning (calibration), the same file user_calib.fps should give accurate results in all 1D and 2D (or 3D) simulations. It is reasonable to start with 1D simulations and SIMS data, because 1D simulations are much faster and the SIMS data provides direct information on the dopant distribution, whereas electrical device data does not always allow you to separate clearly the many effects that accumulate in a full 2D or 3D process flow.

58

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

3: Guidelines for additional calibration Performing additional calibration

Synopsys offers calibration service projects. In such projects, the optimized and calibrated input files for process and device simulation are created by expert application engineers at Synopsys.

Loading a user calibration file


An input file of a Sentaurus Process simulation with additional user calibration should have the following structure:
# Title AdvancedCalibration 2008.09 source ./user_calib.fps line ... init ... implant ... diffuse ... deposit ... save ... exit ; # loads the Advanced Calibration ; # loads the additional calibration ; # rest of process simulation file ; # without any model parameters

All project-specific calibration is contained in a separate file user_calib.fps, which is sourced after loading the Advanced Calibration defaults. This setup has several advantages:

The simulation input file is easier to read if it is free of physical models and parameters. Changing the process conditions does not require a high level of TCAD expertise. All project-specific calibration is contained in a single file. Usually, this file is very short and contains less than ten changes with respect to the default Advanced Calibration. Ideally, it can be printed on a single page. Therefore, it is very easy to see what has been performed in the user calibration. The calibration work becomes clearer and easier. Furthermore, the user calibration from different technologies or technology nodes can be compared and exchanged conveniently.

In Example on page 61, an example is provided of a user calibration file.

Advanced Calibration User Guide A-2008.09

59

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

3: Guidelines for additional calibration Performing additional calibration

Recommendations
Miscellaneous
This section gives some recommendations on how to avoid common errors in 2D simulations:

In Sentaurus Process, the implanted dose is defined per wafer area. In process recipes, the dose is sometimes defined per beam area. In this case, for tilted implants, the dose needs to be corrected by the cosinus of the tilt angle. A few nanometers of silicon are sometimes removed in cleaning steps. This may be important to take into account after low-energy ion implantation. Always assume that bare Si surfaces are covered by a natural oxide of 12 nm thickness. In practice, this may mean that it is necessary to add deposition steps of thin oxide layers in the process simulation. The dose loss model used in Advanced Calibration works only for SiSiO2 interfaces, not for Sigas interfaces. In CMOS technology, the gate is not always rectangular. If possible, the gate shape should be adjusted to a TEM picture, in order to obtain the correct as-implanted extension and halo dopant profiles.

Lateral diffusion along interface


The three-phase segregation model allows you to specify a dopant diffusivity in the interface layer. This model is used for B, As, and P in the Advanced Calibration. The interface diffusivities are set to 0 by using:
pdbSetDouble Ox_Si B D { 0 0 }

By setting positive values of the interface diffusivity, the lateral diffusion of dopants near the SiSiO2 interface can be increased without affecting the vertical diffusion far from the interface. This can be used, for example, to adjust the short-channel effect in deep submicron MOSFETs. More importantly, setting a positive value for the lateral diffusivity will also change the shape of the p-n junctions close to the interface [19].

60

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

3: Guidelines for additional calibration Performing additional calibration

Example
The following example is a typical user calibration file, which can be, for example, the result of a CMOS calibration project. The file user_calib.fps is sourced after loading the default Advanced Calibration file:
# Calibration file for 90nm CMOS technology. # 5 changes after loading AdvCal_2008.09.fps. # 1) For nMOS extension (tail diffusion) pdbSetDoubleArray Si As Int D { -1 {[Arr 9.3 4.15]} 0 {[Arr 9.0 4.00]}} ; # increased to get more # As tail. # 2) For nMOS extension (energy and dose dependence of TED) proc ifactor_Arsenic { Energy Dose } { if { $Energy < 5.0 } { return [expr 2.0e14/($Dose+5e13) + (5-$Energy)/4.0] } return [expr 2.0e14/($Dose+5e13)] } # 3) For nMOS extension (dose loss) pdbSetDouble Ox_Si Arsenic CMax {[Arr 5.0e17 0.8]} # 4) For pMOS extension (energy and dose dependence of TED) proc ifactor_Boron { Energy Dose } { if { $Energy < 2.5 } { return 0.1 } ; # pMOS extension implant return 1.0 ; # nMOS well implant } # 5) For pMOS extension (reduced diffusion after spacer deposition) pdbSetDouble Si B CluRate {[Arr 5e11 5.42]}

This example calibration file, which is a hypothetical result of a CMOS calibration, can be embedded in a CMOS process simulation input file, as described in Loading a user calibration file on page 59. Its contents are discussed briefly. This file will change five settings of the default calibration file. The first three changes are introduced to improve the accuracy of the As extension profile after annealing, the last two changes are related to the B extension diffusion in the PMOS. A permanent change of diffusion parameters is performed with the pdbSet, pdbSetDouble, or pbdSetDoubleArray command. The initial conditions after ion implantation can also be calibrated in the user calibration file. For example, as demonstrated in the file above, the interstitial plus-factor (ifactor), which scales the amount of interstitials generated per

Advanced Calibration User Guide A-2008.09

61

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

3: Guidelines for additional calibration Accelerating simulations for power technologies

implanted ion, can be adjusted as a function of implantation energy and dose. The default procedures of the Advanced Calibration for setting ifactor are implemented in section 3.2 of AdvCal_2008.09.fps. By redefining the procedures ifactor_Arsenic and ifactor_Boron, you can overwrite the original dependency on implantation energy and dose. Using initial conditions that depend on implantation energy and dose is a very powerful method to calibrate dopant diffusion in the energy and dose window of interest for a given technology. By default, in Monte Carlo implantation, the damage scaling factor MC.Damage is a constant number, independent of dose and energy. To define a dose-dependent and an energy-dependent damage scaling factor MC.DFactor for Monte Carlo implantation, the following lines serve as an example:
pdbUnsetDouble Si As MC.DFactor pdbSetString Si As MC.DFactor {[expr 1.0+$Energy/10.0*$Dose/($Dose+1e14)]}

Accelerating simulations for power technologies


The process simulation of power technologies and smart-power technologies may require too much CPU time because of the large number of thermal anneals. This section summarizes the methods to be considered to accelerate the process simulation. One key method is to use a well-designed mesh for 2D or 3D process simulations, which is fine enough in the critical regions to keep discretization errors small, but has a small total number of mesh points. The mesh refinement criteria are described in the Sentaurus Process User Guide.

Diffusion time steps


In AdvCal_2008.09.fps, the initial time step for the simulation of diffusion is set to 10 s . This small initial time step is recommended for diffusions that follow an implantation. In the fabrication of power technologies, some thermal cycles include many diffusion and oxidation steps without implantations in-between. In this case, it may waste CPU time to start all anneals with a small time step. For each diffuse command, Sentaurus Process will need a number of diffusion steps to reach time steps with significant diffusion. To speed up the simulation, you can add the following line to the user calibration file:
pdbSetDouble Diffuse InitTimeStep 0.01
4

62

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

3: Guidelines for additional calibration Accelerating simulations for power technologies

More sophisticatedly, you can increase the initial time step only for a series of anneals, but not for the first anneal after an ion implantation. To do so, add the following lines to the user calibration file:
proc UserImpPostProcess { Species Name Energy Dose Model IFac VFac CDose } { pdbSetDouble Diffuse InitTimeStep 1e-4 } proc UserDiffPostProcess { } { pdbSetDouble Diffuse InitTimeStep 0.01 } UserImpPostProcess is called after implantation and UserDiffPostProcess is called

after anneals. Furthermore, it may be helpful to increase the parameter delT, which specifies the maximum temperature step during ramp-up and ramp-down. By default, delT is 10 K. delT is specified directly in the diffuse commands. Increasing delT is most efficient for long-time furnace anneals, where only a very small fraction of diffusion occurs during ramp-up and ramp-down. For the first diffusion after ion implantation and for RTA or spike annealing, the ramp-up and ramp-down are important, and it is not recommended to increase delT.

ChargedFermi model
Process simulation is significantly faster if the ChargedFermi model is used instead of the ChargedPair model. In the ChargedFermi model, no equations are solved for the point defects. Instead, point defects are assumed to be always in local equilibrium. This is a very strong simplification of the pair diffusion model, and must be applied with care. It speeds up the simulation, but it may give wrong results for a number of situations:

TED after ion implantation cannot be simulated in the ChargedFermi model. Therefore, ChargedFermi is not suitable to simulate RTA after ion implantation. OED is not simulated. ChargedFermi is only useful for inert atmosphere. High dopant concentrations are known to create non-equilibrium concentrations of point defects in silicon. The reason is that, in high-doped regions, the transport capacity of dopantdefect pairs may exceed the transport capacity of unpaired point defects. This effect is most pronounced for a high concentration of phosphorus (known as the emitterpush effect), boron, or carbon. Therefore, the ChargedFermi model should not be used if 19 3 dopant concentrations are well above 10 cm .

Advanced Calibration User Guide A-2008.09

63

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

3: Guidelines for additional calibration Accelerating simulations for power technologies

Furthermore, for some power technologies, it may be necessary to consider using the ChargedFermi model. In such a case, to ensure that the simplification of equations does not harm the accuracy, you should compare the simulation results with a reference simulation using the ChargedPair model. If in doubt, use the ChargedPair model. Some process technologies start with long thermal cycles with low dopant concentrations and end with the formation of ultrashallow junctions by rapid thermal annealing. In this case, it may be appropriate to start the simulation with the ChargedFermi model and switch to the ChargedPair model immediately before the implants for USJ formation. In addition, in this case, check if the results are affected by using the ChargedFermi model. To switch on the ChargedFermi model, add the following line to the user calibration file:
pdbSetSwitch Silicon Dopant DiffModel ChargedFermi

To switch back to the ChargedPair model during the process simulation, add the line:
pdbSetSwitch Silicon Dopant DiffModel ChargedPair

directly to the input file of the process simulation, at the appropriate place.

Reducing the number of equations


See also Part 1: Basic model switches on page 9.

Switching off Poisson equation


To use local charge neutrality instead of the Poisson equation, add the line:
pdbSetBoolean Silicon Potential Poisson 0

In most situations, this will cause only minute changes in the result. It may speed up the simulation by a small percentage.

Switching off transient dopant clustering equation


For dopants for which the concentration is much smaller than the solid solubility in the whole area of simulation, solving the transient dopant clustering equation is not needed, except for the initial stage of annealing after ion implantation. To switch off transient dopant clustering for dopants, use, for example, in case of boron:
pdbSetSwitch Silicon Boron ActiveModel None

64

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

3: Guidelines for additional calibration Accelerating simulations for power technologies

Switching off transient interstitial clustering model equation


Interstitial clusters are needed to simulate the time and temperature dependence of TED after ion implantation. In processes with high thermal budgets, where TED is negligible in comparison to the total diffusion, you can consider switching off the equation for transient interstitial clustering by using:
pdbSet Si Int ClusterModel Equilibrium

You can also start the process simulation with the equilibrium clustering model and then switch to the transient clustering model at the end of the process simulation.

Summary: Speeding up simulation of power devices


To accelerate the simulation of power devices:

Optimize the mesh. Add delT=50 to all temperature ramps, except those following implantation. Consider adding a selection of the following lines to the user calibration file:
pdbSetSwitch Silicon Dopant DiffModel ChargedFermi pdbSetBoolean Silicon Potential Poisson 0 pdbSetSwitch Silicon Boron ActiveModel None pdbSetSwitch Silicon Arsenic ActiveModel None pdbSetSwitch Silicon Phosphorus ActiveModel None pdbSetSwitch Silicon Indium ActiveModel None pdbSetSwitch Silicon Antimony ActiveModel None pdbSet Silicon Int ClusterModel Equilibrium

For each of the above simplifications, it is mandatory to test the simplification if it causes a significant change to the results. If it does, you should not simplify the set of equations by sacrificing accuracy. Extremely careful testing is needed if the ChargedFermi model is chosen as a simplification of the ChargedPair model.

Sometimes, most of the process consists of long thermal cycles with low doping concentrations, but the end of the process includes the formation of ultrashallow junctions. In this case, you can start with simple models, but switch on the more advanced models immediately before the second part of the simulation. For example, you can source a second calibration file immediately before the implants, which start the formation of USJ. This second file could include a selection of the following lines:
pdbSetSwitch Silicon Dopant DiffModel ChargedPair pdbSetBoolean Silicon Potential Poisson 1 pdbSetSwitch Silicon Boron ActiveModel Transient pdbSetSwitch Silicon Arsenic ActiveModel Transient pdbSetSwitch Silicon Phosphorus ActiveModel Transient pdbSetSwitch Silicon Indium ActiveModel Transient

Advanced Calibration User Guide A-2008.09

65

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

3: Guidelines for additional calibration References

pdbSetSwitch Silicon Antimony ActiveModel Transient pdbSet Silicon Int ClusterModel 1Moment

Each of these lines would cancel one of the aforementioned simplifications, which is valid only for the initial part of the process simulation.

References
[1] [2] P. Pichler, Intrinsic Point Defects, Impurities, and Their Diffusion in Silicon, Computational Microelectronics, Vienna: Springer, 2004. H. Bracht, N. A. Stolwijk, and H. Mehrer, Equilibrium Concentrations of Intrinsic Point Defects in Silicon Determined by Zinc Diffusion, in Proceedings of the Seventh International Symposium on Silicon Materials Science and Technology (Semiconductor Silicon), vol. 94-10, San Francisco, CA, USA, pp. 593602B, May 1994. H. Bracht, E. E. Haller, and R. Clark-Phelps, Silicon Self-Diffusion in Isotope Heterostructures, Physical Review Letters, vol. 81, no. 2, pp. 393396, 1998. A. Ural, P. B. Griffin, and J. D. Plummer, Self-Diffusion in Silicon: Similarity between the Properties of Native Point Defects, Physical Review Letters, vol. 83, no. 17, pp. 34543457, 1999. N. E. B. Cowern et al., Energetics of Self-Interstitial Clusters in Si, Physical Review Letters, vol. 82, no. 22, pp. 44604463, 1999. P. M. Fahey, P. B. Griffin, and J. D. Plummer, Point defects and dopant diffusion in silicon, Reviews of Modern Physics, vol. 61, no. 2, pp. 289388, 1989. I. Bork and H. Matsumoto, On the Determination of Boron Diffusivities and Boron Interstitial Pair Binding Energies in Silicon, in International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), Tokyo, Japan, pp. 9192, September 1996. C. S. Rafferty et al., Simulation of cluster evaporation and transient enhanced diffusion in silicon, Applied Physics Letters, vol. 68, no. 17, pp. 23952397, 1996. P. A. Stolk et al., Physical mechanisms of transient enhanced dopant diffusion in ionimplanted silicon, Journal of Applied Physics, vol. 81, no. 9, pp. 60316050, 1997.

[3] [4]

[5] [6] [7]

[8] [9]

[10] L. Shao et al., Retardation of boron diffusion in silicon by defect engineering, Applied Physics Letters, vol. 78, no. 16, pp. 23212323, 2001. [11] L. F. Giles et al., Transient enhanced diffusion of B at low temperatures under extrinsic conditions, Solid-State Electronics, vol. 49, no. 4, pp. 618627, 2005. [12] G. Impellizzeri et al., Role of fluorine in suppressing boron transient enhanced diffusion in preamorphized Si, Applied Physics Letters, vol. 84, no. 11, pp. 18621864, 2004.

66

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

3: Guidelines for additional calibration References

[13] J. M. Jacques et al., Fluorine-enhanced boron diffusion in amorphous silicon, Applied Physics Letters, vol. 82, no. 20, pp. 34693471, 2003. [14] B. Colombeau et al., Current Understanding and Modeling of B Diffusion and Activation Anomalies in Preamorphized Ultra-Shallow Junctions, in MRS Symposium Proceedings, Silicon Front-End Junction FormationPhysics and Technology, vol. 810, San Francisco, CA, USA, pp. 91102, April 2004. [15] V. C. Venezia et al., Dopant redistribution effects in preamorphized silicon during low temperature annealing, in IEDM Technical Digest, Washington, DC, USA, pp. 489492, December 2003. [16] T. Noda, Modeling of End-of-Range (EOR) Defects for Indium Channel Engineering, in IEDM Technical Digest, Washington, DC, USA, pp. 839842, December 2001. [17] H. Graoui et al., TCAD Modeling and Experimental Investigation of Indium for Advanced CMOS Technology, in 14th International Conference on Ion Implantation Technology (IIT), Taos, NM, USA, pp. 126130, September 2002. [18] C. Zechner et al., New Implantation Tables for B, BF2, P, As, In and Sb, in 14th International Conference on Ion Implantation Technology (IIT), Taos, NM, USA, pp. 567570, September 2002. [19] Y.-S. Oh and D. E. Ward, A Calibrated Model for Trapping of Implanted Dopants at Material Interface During Thermal Annealing, in IEDM Technical Digest, San Francisco, CA, USA, pp. 509512, December 1998.

Advanced Calibration User Guide A-2008.09

67

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

3: Guidelines for additional calibration References

68

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

Part II Advanced Calibration in Sentaurus Process Kinetic Monte Carlo

This part of the Advanced Calibration manual contains the following chapters: Chapter 4 Using Advanced Calibration file of Sentaurus Process KMC on page 71 Chapter 5 Contents of Advanced Calibration of Sentaurus Process KMC on page 75 Chapter 6 Guidelines for additional calibration on page 95

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

CHAPTER 4

Using Advanced Calibration file of Sentaurus Process KMC


This chapter gives an introduction to the use of Advanced Calibration in a process simulation with Sentaurus Process Kinetic Monte Carlo (Sentaurus Process KMC).

Overview
Advanced Calibration is a selection of models and parameters, which is recommended by Synopsys to be used for accurate process simulation. In Sentaurus Process KMC, this selection of models and parameters is contained in a text file, which can be opened with any standard text editor. By sourcing the Advanced Calibration file at the beginning of a process simulation, the standard calibration of Synopsys is selected. If needed, you can change or extend the Advanced Calibration. This can be performed by either sourcing an additional calibration file that contains the required parameter changes or editing the Advanced Calibration file with a text editor.

Location of Advanced Calibration file


The Advanced Calibration file is the ultimate product of Synopsys Services and Consulting. For each release of Synopsys TCAD, there is a new Advanced Calibration file that includes the best and latest set of models and parameters. To ensure backward compatibility, previous Advanced Calibration files are still available. The files for the Advanced Calibration of Sentaurus Process KMC in this release are located at:
$STROOT/tcad/$STRELEASE/lib/floops/TclLib/AdvCal

The default file is named AdvCal_KMC_2008.09.fps. It represents the first version of Advanced Calibration A-2008.09. Older versions of the Advanced Calibration file can be found in the same directory. For example, the file AdvCal_KMC_2007.12.fps contains the Advanced Calibration file for Version A-2007.12 and is available for backward compatibility.

Advanced Calibration User Guide A-2008.09

71

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

4: Using Advanced Calibration file of Sentaurus Process KMC Using Advanced Calibration

Using Advanced Calibration


To use the Advanced Calibration of Sentaurus Process KMC, you must select the atomistic mode by using the command SetAtomistic followed by the command AdvancedCalibration, which is the same one as for the continuum Advanced Calibration. The command AdvancedCalibration checks whether the atomistic mode is set and loads the corresponding Advanced Calibration file. Therefore, at the beginning of the input file, insert the lines:
SetAtomistic AdvancedCalibration 2008.09

Alternatively, this file can be sourced by using:


SetAtomistic source $FLXSHOME/TclLib/AdvCal/AdvCal_KMC_2008.09.fps NOTE

The interoperability between Advanced Calibration for continuum Sentaurus Process and Advanced Calibration for Sentaurus Process KMC, meaning the mapping of solutions in continuum mode to particles in atomistic mode, is controlled by the procedures PDE2KMC and KMC2PDE (see Sentaurus Process User Guide, Atomistic/ nonatomistic translation on page 274).

The recommended minimum simulation size is 500 nm x 40 nm x 40 nm. The depth (x-coordinate) of 500 nm is needed for accurate simulation of the point-defect evolution, and a surface (y-coordinate and z-coordinate) of 40 nm x 40 nm is needed for the accurate simulation of the damage accumulation during full cascade Monte Carlo implantation simulation.

Additional calibration by users


Advanced Calibration is based on the assumption that all parameters that are not changed in the parameter files are the default parameters of Sentaurus Process KMC. To use the Advanced Calibration file AdvCal_KMC_2008.09.fps, it must be sourced before the real process description. After sourcing AdvCal_KMC_2008.09.fps, you can change the model switches or parameter values of the physical models. Ideally, this should be performed by experienced users with a good understanding of the models of Sentaurus Process KMC.

72

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

4: Using Advanced Calibration file of Sentaurus Process KMC Additional calibration by users

For the process simulation of silicon technology, Advanced Calibration is usually the best starting point. You can further increase the accuracy for a certain technology by additional finetuning of a few physical parameters. The best way to perform this is to put all additional calibration in a user calibration file, for example, my_calibration.fps. This file includes all project-specific changes of physical parameters with respect to Advanced Calibration. In the process simulation file, at the beginning of the process simulation, you insert the lines:
SetAtomistic AdvancedCalibration 2008.09 source ./my_calibration.fps

This approach allows you to:


Separate the calibration and the process descriptions completely. Use the Advanced Calibration file as a starting point. Summarize all project-specific calibration in a short and clear text file.

Advanced Calibration User Guide A-2008.09

73

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

4: Using Advanced Calibration file of Sentaurus Process KMC Additional calibration by users

74

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

CHAPTER 5

Contents of Advanced Calibration of Sentaurus Process KMC


This chapter explains the contents of the Advanced Calibration file of Sentaurus Process Kinetic Monte Carlo (Sentaurus Process KMC) and documents the origin of the parameter values.

Overview
The Advanced Calibration of Sentaurus Process in continuum mode (see Chapter 2 on page 9), which has proven good accuracy for a wide range of ultrashallow junction (USJ) experiments, serves as the starting point for the Advanced Calibration of Sentaurus Process KMC. Wherever possible, the parameters are inherited from the continuum approach to the kinetic Monte Carlo approach. Most of the model equations and model parameters of the Advanced Calibration of continuum Sentaurus Process are taken from reliable publications. In addition, a rigorous calibration has been performed by Synopsys, based on a SIMS database. However, the calibration of the continuum models is verified and optimized for a high temperature range ( 700 C 1100 C ) only, whereas the kinetic Monte Carlo parameters should be valid at room temperature as well. Moreover, some parameters and models cannot be translated directly from the atomistic world to the continuum world. Taking into account these two restrictions, the strategy is to translate the continuum Advanced Calibration parameters if feasible, and to prefer or calibrate the default kinetic Monte Carlo parameters where it is favorable. The Advanced Calibration file AdvCal_KMC_2008.09.fps is divided into two parts. The parts contain numbered sections and are executed in sequence:

Part 1: Model parameters for damage and point defects Part 2: Model parameters for impurities

Advanced Calibration User Guide A-2008.09

75

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

5: Contents of Advanced Calibration of Sentaurus Process KMC Part 1: Model parameters for damage and point defects

Part 1: Model parameters for damage and point defects


Amorphization and recrystallization
The amorphization threshold and interstitialvacancy recombination in amorphous pockets have been calibrated by the comparison of literature data with simulated (temperaturedependent and dose ratedependent) amorphous-crystalline transitions and amorphizationlayer thicknesses generated by silicon, germanium, and carbon implantation [1]. The 22 3 amorphization threshold is set to 1.0 10 cm , which is slightly lower than the value used for continuum Advanced Calibration and is in the range of reported values for the critical pointdefect concentration [2]. The recrystallization velocity in Advanced Calibration with an activation energy of 2.68 eV is in agreement with the literature [3] for an undoped amorphoussilicon layer on top of (100)oriented crystalline silicon. In the presence of n-type and p-type doping, the recrystallization velocity is enhanced, again following the literature [3]. The effect of specific impurities on the recrystallization is described in Recrystallization on page 89. During recrystallization, impurities and dopants may be redistributed by the recrystallization front. The selected redistribution model during recrystallization is the so-called Hops model. The amount of redistribution is impurity dependent or doping dependent, and is described in Recrystallization on page 89.

Diffusion, generation, and recombination


The transport capacity for free interstitials:
Di*Cistar = 1.59e25 exp(-4.702eV/kT) cm-1 s-1

is inherited from the Advanced Calibration of continuum Sentaurus Process (see Bulk parameters for free interstitials on page 16). The macroscopic diffusivity of continuum Sentaurus Process corresponds to the microscopic diffusivities of each charge state of Sentaurus Process KMC in the following way:
Di = (D(I0)C(I0)+D(I+)C(I+)+D(I-)C(I-))/(C(I0)+C(I+)+C(I-))

For simplicity, the same diffusivities for each charge state is assumed. Taking the diffusivity from the continuum Advanced Calibration (gained from the high-temperature experiments of Bracht et al. [4]), you have:
Di = 51 * exp(-1.77eV/kT) cm2 s-1

76

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

5: Contents of Advanced Calibration of Sentaurus Process KMC Part 1: Model parameters for damage and point defects

which is reasonable for high temperatures, but too small at room temperature. Therefore, a lower migration energy must be chosen, namely, 0.7 eV. The migration prefactor has been adjusted to obtain the same diffusivity as for the Advanced Calibration of continuum Sentaurus Process at 950 C . The resulting diffusivity is:
Di = 0.002 * exp(-0.7eV/kT) cm2 s-1

which is the better choice for the full temperature range. Derived from the transport capacity and the diffusivity, the equilibrium concentration is:
Cistar = 1.59e25 * exp(-4.702eV/kT) / 0.002 / exp(-0.7eV/kT) cm-3 = 7.95e27 * exp(-4.002eV/kT) cm-3

In Sentaurus Process KMC, the interfaces set the equilibrium concentrations for point defects. According to the literature [5], the following relation is valid:
Cistar = 2/alpha/alpha*6/lambda * D0FS * exp(-EForm/kT)

where the silicon lattice constant is alpha=5.43e-8cm, the jump distance is lambda=3.84e-8cm, and the Sentaurus Process KMC point-defect interface model prefactor is D0FS and the formation energy is EForm. Therefore, the parameters are:
Cistar = 2/alpha/alpha*6/lambda * 75000 * exp(-4.00eV/kT) cm-3

For vacancies, the transport capacity is also inherited from the Advanced Calibration of continuum Sentaurus Process (see Bulk parameters for free vacancies on page 17):
DvCvstar = 4.60e22 * exp(-4.14eV/kT) cm-1 s-1

and the default diffusivity:


Dv = 5e-8 * exp(-0.4eV/kT) cm2 s-1

for neutral vacancies has been chosen. Therefore, the equilibrium concentration is:
Cvstar = 9.20e29 * exp(-3.74eV/kT) cm-3 = 2/alpha/alpha*6/lambda * 8.68e6 * exp(-3.74eV/kT) cm-3

The recombination length of point defects at SiSiO2 interfaces is assumed to be 1 nm for continuum and kinetic Monte Carlo. The bulk recombination of interstitials and vacancies in the Advanced Calibration of continuum Sentaurus Process is diffusion limited. In Sentaurus Process KMC, interstitials and vacancies form amorphous pockets when they are close (within capture radius) during their migration. The interstitial and vacancy recombine, and the amorphous pocket is dissolved if no more interstitials or vacancies are captured by the amorphous pocket beforehand. However, in
Advanced Calibration User Guide A-2008.09 77

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

5: Contents of Advanced Calibration of Sentaurus Process KMC Part 1: Model parameters for damage and point defects

contrast to the continuum Advanced Calibration, the IV recombination is not instantaneous due to the energy barrier. Therefore, the formation of IV pairs is diffusion limited and the recombination of IV pairs in the equation recombination rate is:
v = 4.0e-4 * exp(-0.7eV/kT) cm2/s

The generation of IV pairs has not been implemented in Sentaurus Process KMC due to its small contribution, whereas in continuum Advanced Calibration, the generation of IV pairs is simulated.

Charge states
The charge levels for self-interstitials and vacancies have been studied [6]. Accordingly, the concentration for positively charged interstitials is:
C(I+) = C(I0) * exp(-(Ef - e(I+))/kT)

where the Fermi level is Ef and the energy level is e(I+), which is the energy needed to take an electron from the neutral interstitial measured from the valence band (Sentaurus Process KMC parameter e0(IP)). In continuum Sentaurus Process, the concentration for positively charged interstitials is:
C(I+) = C(I0) * k0(I+) exp(-(Ef - Ei + kE(I+))/kT)

where the Fermi level is Ef, the intrinsic level is Ei, and the charge-state parameter is k(I+)=k0(I+)*exp(-kE(I+)/kT). Therefore, the following relation is valid:
exp(e(I+))/kT) = k0(I+) exp((Ei - kE(I+))/kT) (Eq x)

The intrinsic level depends on the band gap Eg, and the effective state density of the conduction (Nc) and valence (Nv) bands [7]:
Ei(T) = Eg(T)/2 + kT/2 * ln(Nv/Nc)

In summary, the electronic levels in continuum Sentaurus Process refer to the intrinsic level, while the electronic levels in atomistic Sentaurus Process are measured from the valence band edge. This means that due to the temperature dependence of the energy difference of the intrinsic and valence band levels, an exact translation of the charge-state parameters from continuum Sentaurus Process to Sentaurus Process KMC is not possible. Therefore, the electronic levels for interstitials and vacancies are taken as recommended in the literature [6]. In the temperature range from 700 C to 1100 C , the electronic level for I+ of 0.4 eV corresponds to k0(I+)=12 and kE(I+)=0.23eV, and the one for I of 1.0 eV corresponds to k0(I-)=0.12 and kE(I-)=0.4eV.

78

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

5: Contents of Advanced Calibration of Sentaurus Process KMC Part 1: Model parameters for damage and point defects

Extended defects
In Sentaurus Process KMC, the evolution of extended defects of self-interstitials from small clusters to {311} defects and faulted dislocation loops is taken into account [8]. Small clusters have irregular shapes and are amorphous pockets in the terminology of kinetic Monte Carlo. Amorphous pockets capture any point defect (I and V) within their capture radius. For this calibration, the binding energies are taken from the parameter array Eb_Cluster and are based on the suggestions of [9] assuming a self-interstitial formation energy of 3.8 eV (see Table 2). The interstitial emission prefactor D0_Cluster is 135.
Table 2
N=

Binding energies in keV for small interstitial clusters


2 3 4 5 6 7 8 9 10 11 12 13 14

Cowern [9] Advanced Calibration 2.40

2.64 2.50

2.77 2.77

2.67 2.67

2.48 2.48

2.46 2.46

3.24 3.24

2.40 2.40

2.69 2.69

2.73 2.73

2.92 2.92

3.00 3.00

3.06 3.06

Above a threshold, the extended defaults form rod-like {311} defects. The minimal size of a {311} Min311Size has been chosen to be 15. {311} defects capture any neutral interstitial with which they are in contact. The binding energy of this size is 2.94 keV, the one of size 60 is 2.96 keV, and the ones for the intermediate sizes are linearly interpolated. Above the size of 60, the binding energies of size n are computed using the following equation:
Eb(n) = Eb_L - (Eb_L - Eb_S) * (n^a -(n-a)^a)/(2^a-1)

where Eb_L (Eb_LargeCluster) is equal to 3.17 keV, Eb_S (Eb_SmallCluster) is equal to 2.64 keV, and a (exponent_Cluster) is equal to 3/4. The interstitial emission prefactor is the same as for amorphous pockets. When {311} defects grow large enough, they transform into dislocation loops. The threshold size for this transformation is:
n = D0_311toLoop * exp(E_311toLoop/kT)

with D0_311toLoop equal to 1.6 and E_311toLoop equal to 0.68 eV. Dislocation loops capture any incoming neutral interstitial. The binding energies are:
Eb(n) = Ef(I) + Ef(DL(n-1)) - Ef(DL(n))

with the dislocation loop formation energies:


Ef(DL(n)) = R^2 + a^2 /6(1- )R * log(8R/b) -nEf(I)

Advanced Calibration User Guide A-2008.09

79

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

5: Contents of Advanced Calibration of Sentaurus Process KMC Part 2: Model parameters for impurities

taken from the literature [10], which are Sentaurus Process KMC defaults. The interstitial emission prefactor D0_Loop is 1e6. The parameters for voids are not changed from the defaults.

Part 2: Model parameters for impurities


Diffusion
The effective diffusivity of an interstitial-mediated and a vacancy-mediated dopant X in crystalline silicon is given by the sum of the contribution of all mobile species and can be reduced in continuum models using the MaxwellBoltzmann approximation to:
D(X-) = Si [D(X-I0) + D(X-I+)(p/ni) + D(X-I++)(p/ni)^2] + Sv [D(X-V0) + D(X-V+)(p/ni) + D(X-V++)(p/ni)^2]

for the negatively charged immobile substitutional dopant X and:


D(X+) = Si [D(X+I0) + D(X+I-)(n/ni) + D(X+I--)(n/ni)^2] + Sv [D(X+V0) + D(X+V-)(n/ni) + D(X+V--)(n/ni)^2]

for the positively charged immobile substitutional dopant X+, where Si is the interstitial supersaturation, Sv is the vacancy supersaturation, and n, p, and ni are the electron, hole, and intrinsic concentration, respectively. According to [6], the relation between the above diffusivity components and the microscopic parameters is:
D(X-I0) D(X-I-) D(X-V0) D(X-V-) = = = = Uc Uc Uc Uc * * * * DiCi* DiCi* DvCv* DvCv* * * * * 1/Vbk(Xi-) 1/Vbk(Xi-) 1/Vbk(Xv-) 1/Vbk(Xv-) * * * * Vm(Xi-) Vm(Xi0) * exp((e0(Xi-)-ei)/kT) Vm(Xv-) Vm(Xv0) * exp((e0(Xv-)-ei)/kT)

and:
D(X+I0) D(X+I-) D(X+V0) D(X+V-) = = = = Uc Uc Uc Uc * * * * DiCi* DiCi* DvCv* DvCv* * * * * 1/Vbk(Xi+) 1/Vbk(Xi+) 1/Vbk(Xv+) 1/Vbk(Xv+) * * * * Vm(Xi+) Vm(Xi0) * exp((ei-e0(Xi+))/kT) Vm(Xv+) Vm(Xv0) * exp((ei-e0(Xv+))/kT)

where the effective capture volume is Uc = 3*lambda^3 (lambda=3.84nm is the distance in the silicon lattice from one atom to its second-nearest neighbor), the breakup frequencies are Vbk, the migration frequencies are Vm, the electronic levels are e0, and the intrinsic Fermi level is ei (at a given temperature). The breakup frequencies are:
Vbk(Xi-) = Db(Xi-) * exp(-(Eb(Xi-)+Em(I0))/kT) Vbk(Xv-) = Db(Xv-) * exp(-(Eb(Xv-)+Em(V0))/kT)

80

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

5: Contents of Advanced Calibration of Sentaurus Process KMC Part 2: Model parameters for impurities

Vbk(Xi+) = Db(Xi+) * exp(-(Eb(Xi+)+Em(I0))/kT) Vbk(Xv+) = Db(Xi+) * exp(-(Eb(Xv+)+Em(V0))/kT)

where the migration energies are Em, the binding prefactor is Db, and the energy is Eb. This means that the diffusivities of Sentaurus Process Advanced Calibration can be translated to a fixed relation of migration and binding parameters for Sentaurus Process KMC: The bigger the binding energy, the bigger the migration energy to obtain the same diffusivity. For this calibration of Sentaurus Process KMC, the binding prefactor and energy as well as the electronic levels were first chosen reasonably, and the migration prefactors and energies were deduced. Then, the optimal combination of binding energy and electronic levels was searched, based on SIMS calibration, while the migration energies were shifted accordingly to keep the same diffusivity. Since kinetic Monte Carlo can also simulate diffusion during implantation at room temperature, the consideration of as-implanted SIMS data is crucial for calibration of the binding energies. For the situation of full cascade implantation, many impurities pair with the abundant point defects because of their high implant energy and, therefore, they can diffuse even at room temperature if the migration energies are small. Higher binding energies, implying higher migration energies, can suppress migration at room temperature.

Boron
The diffusivities of continuum Advanced Calibration Version A-2008.09 (see Boron diffusion coefficient and BI pairing on page 19):
D(B-I0) D(B-I+) D(B-V0) D(B-V+) = = = = 0.291 1.746 0.009 0.054 * * * * exp(-3.57eV/kT) exp(-3.57eV/kT) exp(-3.57eV/kT) exp(-3.57eV/kT)

translate to microscopical diffusivities:


Vm(Bi-) Vm(Bi0) Vm(BV-) Vm(BV0) = = = = 1.08e-4 6.46e-3 1.15e-3 6.91e-3 * * * * exp(-0.668eV/kT) exp(-0.688eV/kT) exp(-0.830eV/kT) exp(-1.250eV/kT)

where the binding prefactors equal 1.0 and the energies equal 1.1 eV [11] for B plus a neutral interstitial and 1.0 eV for B plus a vacancy and, for the following electronic levels for boroninterstitial pairs and boronvacancy pairs:
pdbSetDoubleArray KMC Si B e0 {BiM BiP BVM BVP 0.5 -5.0 0.9 -5.0}

Advanced Calibration User Guide A-2008.09

81

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

5: Contents of Advanced Calibration of Sentaurus Process KMC Part 2: Model parameters for impurities

The substitutional B as well as the B paired with I++ or V++ are immobile. To achieve a good agreement of the hopping length of BI, use:
lambda*sqrt(1/6*Dm(Bi)/Db(Bi-) exp(-(Em(Bi)-Eb(Bi-)-e(Bi-)+e(I+)-Em(I+))/kT))

with one of the five-stream model from the continuum Advanced Calibration, which is taken from Giles et al. [12] (see Boron diffusion coefficient and BI pairing on page 19). The intrinsic Fermi level at 700 C (0.48 eV) is used for the translation of the diffusivity from continuum to KMC. In addition to diffusion in crystalline silicon, Advanced Calibration enables boron diffusion in amorphous silicon. The activation energy for diffusion is taken from [13]:
Vm(B) = 3.00e-3 * exp(-2.1/kT)

Arsenic
The diffusivities of continuum Advanced Calibration Version A-2008.09 (see Arsenic diffusivity on page 21):
D(As+I0) D(As+I-) D(As+V0) D(As+V-) = = = = 0.012 * exp(-3.44eV/kT) 9.300 * exp(-4.15eV/kT) 0.020 * exp(-3.44eV/kT) 15.500 * exp(-4.15eV/kT)

translate to microscopical diffusivities:


Vm(Asi+) Vm(Asi0) Vm(AsV+) Vm(AsV0) = = = = 4.44e-6 3.44e-3 2.56e-3 1.98 * * * * exp(-0.538eV/kT) exp(-1.578eV/kT) exp(-1.100eV/kT) exp(-1.840eV/kT)

where the binding prefactors equal 1.0 and the energies equal 1.1 eV for As+ plus a neutral interstitial, and equal 1.4 eV [14] for As+ plus a neutral vacancy and, for the following electronic levels:
pdbSetDoubleArray KMC Si As e0 {AsiP 0.1 AsiM 0.5 AsVP 0.4 AsVM 0.77}

The electronic level at T=0 for the arsenicvacancy pair with a negative charge is taken from the literature [15], while the ones for arsenicinterstitial pairs or arsenicvacancy pairs with a positive charge are calibrated. The substitutional As+ as well as the As+ paired with I or V are immobile.

82

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

5: Contents of Advanced Calibration of Sentaurus Process KMC Part 2: Model parameters for impurities

Phosphorus
The diffusivities of continuum Advanced Calibration Version A-2008.09 (see Phosphorus diffusivity on page 22):
D(P+I0) = 0.600 * exp(-3.482eV/kT) D(P+I-) = 1.000 * exp(-3.647eV/kT) D(P+V0) = 5.0e-9 * exp(-1.800eV/kT) D(P+V-) = 2.0e-9 * exp(-1.800eV/kT) D(P+V--) = 3.2e-5* exp(-2.900eV/kT)

translate to microscopical diffusivities:


Vm(Pi+) Vm(Pi0) Vm(PV+) Vm(PV0) Vm(PV-) = = = = = 2.22e-4 * exp(-1.080eV/kT) 3.70e-4 * exp(-1.525eV/kT) 6.40e-10 * exp(-0.560eV/kT) 2.56e-10 * exp(-1.040eV/kT) 4.10e-6 * exp(-1.640eV/kT)

where the binding prefactors equal 1.0 and the energies equal 1.6 eV for P+ plus a neutral interstitial and equal 2.5 eV for P+ plus a neutral vacancy and, for the following electronic levels for the phosphorus interstitials and vacancy pairs:
pdbSetDoubleArray KMC Si P e0 {PiP 0.2 PVP 0.0 PVM 0.5}

The electronic level at T=0 for the phosphorusinterstitial or phosphorusvacancy pairs is calibrated. The substitutional P+ is immobile.

Indium
The diffusivities of continuum Advanced Calibration Version A-2008.09 (see Indium parameters on page 24):
D(In-I0) = 0.1105 * exp(-3.3eV/kT) D(In-I+) = 0.0390 * exp(-3.3eV/kT)

translate to microscopical diffusivities:


Vm(Ini-) = 4.10e-5 * exp(-1.198eV/kT) Vm(Ini0) = 1.44e-5 * exp(-1.318eV/kT)

Advanced Calibration User Guide A-2008.09

83

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

5: Contents of Advanced Calibration of Sentaurus Process KMC Part 2: Model parameters for impurities

where the binding prefactors equal 1.0 and the energies equal 1.9 eV for In plus a neutral interstitial and for the following electronic levels for indiuminterstitial pairs:
pdbSetDoubleArray KMC Si In e0 {IniM 0.6 IniP 1.0}

The electronic level at T=0 for the indiuminterstitial pairs is calibrated. The substitutional In as well as the In paired with I++ are immobile.

Carbon
The diffusivity of continuum Advanced Calibration Version A-2008.09 (see Carbon diffusion on page 25):
D(C-I0) = 6.11 * exp(-3.293eV/kT)

translates to microscopical diffusivities:


Vm(Ci-) = 2.26e-3 * exp(-0.791eV/kT)

where the binding prefactor equals 1.0 and the energy equals 1.5 eV for C plus a neutral interstitial [16]. The substitutional C is immobile.

Fluorine
Continuum Advanced Calibration Version A-2008.09 uses a very simple model for fluorine effects in silicon, assuming fluorine to be immobile. However, current understanding attributes fluorine diffusion in silicon primarily to the migration of interstitial fluorine from a bondcentered site or a tetrahedral site, both of which are also the ground-state configurations of fluorine [17][18]. To comply with this model for this calibration of Sentaurus Process KMC, a very stable and mobile Fi of neutral charge has been assumed. Note that in the context of Sentaurus Process KMC, interstitial fluorine (Fi) and fluorineinterstitial pairs (FI) are the same.
NOTE

By default, Sentaurus Process KMC assigns the particle name F to the interstitial fluorine. To correctly rename the interstitial fluorine to Fi in Advanced Calibration, the Monte Carlo implantation name of fluorine is redefined by the command:
set MCnameOf(Fluorine) "FI"

The microscopical diffusivity for Fi is assumed to be:


Vm(Fi) = 1.00e-2 * exp(-0.600eV/kT)

84

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

5: Contents of Advanced Calibration of Sentaurus Process KMC Part 2: Model parameters for impurities

where the binding prefactors equal 1.0 and the energies equal 2.3 eV for F plus a neutral interstitial and 0.4 eV for F plus a neutral vacancy. The substitutional F and the F paired with V are immobile. In addition to diffusion in crystalline silicon, Advanced Calibration enables fluorine diffusion in amorphous silicon. The activation energy for diffusion is taken from the literature [19]:
Vm(B) = 0.02* exp(-2.2/kT)

Clusters
In Advanced Calibration for continuum Sentaurus Process, the transient dopant cluster model is, in general, used for dopant activation in crystalline silicon, which incorporates only impurities and no point defects in the dopant clusters (see Defect cluster models in silicon on page 13). For some impurities, the advanced models offer dopant clustering with point defects (see Part 4: Comprehensive and slow models on page 34). In Sentaurus Process KMC, this phenomenon can be explained by a dopantpoint defect clustering mechanism or dopant precipitation or both. Obviously, a straight translation from the continuum activation model to the kinetic Monte Carlo cluster reactions is only possible if a comprehensive dopant clustering model is available. Nevertheless, additional dopant cluster calibration for Advanced Calibration of Sentaurus Process KMC is performed to obtain a good agreement with SIMS and sheet resistance measurements. For all impurity clusters, the corrections for the binding energies with bandgap narrowing are taken into account using the parameter flag:
pdbSet KMC Si BandGap Correct_Complex true

Boron
Similar to [20], a comprehensive model for boroninterstitial clustering (BIC) is proposed. The allowed BnIm configurations are BI2, B2, B2I, B2I2, B2I3, B3, B3I, B3I2, and B3I3. The corresponding potential energies and capture volumes as well as the boroninterstitial pair and the interstitial emission factors were translated from the BIC model, being part of the comprehensive models of continuum Advanced Calibration (see Boroninterstitial clusters on page 36). Since this continuum BIC model includes only the configurations B2, B2I, B2I2, B3I, B3I2, and B3I3, the potential energies and capture volumes of the configurations BI2, B2I3, and B3 are calibrated in kinetic Monte Carlo only. To obtain a good agreement with a wide range of SIMS, the boroninterstitial pair emission factor is adjusted from the translation by a factor of 40.

Advanced Calibration User Guide A-2008.09

85

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

5: Contents of Advanced Calibration of Sentaurus Process KMC Part 2: Model parameters for impurities

In addition to clustering in crystalline silicon, Sentaurus Process KMC allows dopant clusters in amorphous silicon. In the case of boron, B2 and B3 are allowed to form with potential energies calibrated to SIMS [13]. In the literature [21], segregation of boron to end-of-range defects is observed. To account for such an effect, the mobile boroninterstitial pairs can react with extended defects such as {311} defects and dislocation loops. The pair breaks up and the interstitial is incorporated into the extended defect, while the boron is regarded as substitutional.

Arsenic
Based on the work of Harrison et al. [22], arsenic forms stable clusters with vacancies and with interstitials. The allowed AsV clusters are As2V, As3V, and As4V. The allowed AsI clusters are AsI2, As2I, As3I, As4I, As2I2, As3I2, and As4I2. The allowed As agglomerates are As2, As3, and As4. The corresponding potential energies are taken from [22] and are adjusted to achieve better agreement with experimental data. The capture volumes as well as the emission factors are calibrated. The formation energies of As3V, As4, and As4V are even lower than the isolated substitutional As (Ef(AsnV) = Epot(AsnV + Ef(V) < 0) and, therefore, these are the most stable clusters. Including AsnIm clusters in the arsenic-clustering model improves the accuracy for lower thermal budgets compared to the model where arsenic forms only stable clusters with vacancies, but not with interstitials [23].

Phosphorus
For phosphorus, an approach was chosen following the suggestion of [24] and [25]. Phosphorus forms stable phosphorusvacancy clusters P2V, P3V, and P4V and phosphorus clusters P2, P3, and P4. The corresponding potential energies and capture volumes as well as the emission factors are calibrated by comparison with experimental data.

Indium
In this calibration, indium is assumed to form indiuminterstitial clusters. InI2, In2, and In2I are allowed as possible cluster configurations. The corresponding potential energies and capture volumes as well as the emission factors are calibrated by comparison with experimental data. In the literature [26], segregation of indium to end-of-range defects is observed. To account for such an effect, the mobile indiuminterstitial pairs can react with extended defects such as {311} defects and dislocation loops. The pair breaks up and the interstitial is incorporated into the extended defect, while the indium is regarded as substitutional.

86

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

5: Contents of Advanced Calibration of Sentaurus Process KMC Part 2: Model parameters for impurities

Carbon
A comprehensive model for carboninterstitial clustering is proposed [16]. The allowed carboninterstitial cluster configurations are C2, C2I, C2I2, C2I3, C3I, C3I2, C3I3, C3I4, C4I2, C4I3, C4I4, C4I5, C5I3, C5I4, C5I5, C5I6, C6I4, C6I5, C6I6, C6I7, C7I7, C8I8, and C9I9. The corresponding potential energies and capture volumes as well as the emission factors are calibrated by comparison with experimental data. To account for segregation of carbon to end-of range defects, the mobile carboninterstitial pairs can react with {311} defects and dislocation loops [16].

Fluorine
In the literature [17][18], strongly bound fluorinevacancy complexes are proposed. The FV structures considered there are fluorine interstitials decorating one or two vacancies. In the context of Sentaurus Process KMC, these structures are considered to be actually fluorineinterstitial clusters. This means, for example, that the cluster type F3V of [17][18], consisting of three F interstitials and one V, corresponds to an F3I2 in Sentaurus Process KMC. The allowed FI clusters are FI2, F2I, F2I2, F3I, F3I2, F4I, F4I2, F4I3, F5I, F5I2, F5I3, and F6I4. The allowed fluorine agglomerates are F2, F3, F4, and F5. The corresponding potential energies are taken, if available, from [17][18] and are adjusted to achieve better agreement with experimental data. The capture volumes as well as the emission factors are calibrated.

Segregation
The siliconoxide interface model accounting for dopant segregation is the three-phase segregation model, similar to the one of continuum Sentaurus Process and [27]. The Sentaurus Process KMC parameters EMax_Surf and C0Max_Surf correspond to the continuum parameter CMax, which defines the number of trap sites at the interface. While the interface segregation of the continuum model is controlled by dopant trapping and the parameters of the emission rates for each side of the interface, the parameters of the kinetic Monte Carlo interface model are the barrier and binding energies of dopants on each side of the interface.

Boron
The number of interface traps for boron is taken from Advanced Calibration for continuum Sentaurus Process (see Boron dose loss on page 20). Interface barrier and binding energies for boron were calibrated based on a collection of boron SIMS profiles.

Advanced Calibration User Guide A-2008.09

87

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

5: Contents of Advanced Calibration of Sentaurus Process KMC Part 2: Model parameters for impurities

Arsenic
The number of interface traps for arsenic is slightly different from the one of Advanced Calibration for continuum Sentaurus Process (see Arsenic dose loss on page 22). Interface barrier and binding energies for arsenic were calibrated based on a collection of arsenic SIMS profiles.

Phosphorus
The number of interface traps for phosphorus is ten times higher than the value from Advanced Calibration for continuum Sentaurus Process (see Phosphorus dose loss on page 24), because of the lack of P2 accumulation at the interface. The interface barrier and binding energies for phosphorus were calibrated based on a collection of boron SIMS profiles. In addition, a high prefactor for dopant emission from the interface to the oxide was chosen to allow for strong phosphorus dose loss.

Indium
The number of interface traps for indium cannot be taken from Advanced Calibration for continuum Sentaurus Process, where no third phase is modeled (see Indium parameters on page 24), and is set to a high value to allow for strong dose loss. The interface barrier and binding energies for indium were calibrated based on a collection of indium SIMS profiles.

Carbon
The number of interface traps for carbon cannot be taken from Advanced Calibration for continuum Sentaurus Process, where no third phase is modeled. The number of interface traps, the interface barrier, and the binding energies for carbon have been calibrated based on a collection of carbon SIMS profiles.

Fluorine
The number of interface traps for fluorine cannot be taken from Advanced Calibration for continuum Sentaurus Process, where no third phase is modeled. The number of interface traps, the interface barrier, and the binding energies for fluorine have been calibrated to allow strong dose loss from silicon. In addition, the fluorine emitted from the interface to the oxide is evaporated to save CPU time.

88

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

5: Contents of Advanced Calibration of Sentaurus Process KMC Part 2: Model parameters for impurities

Recrystallization
The solid phase epitaxial regrowth (SPER) may affect the impurity distribution. The recrystallization front can move dopants away, changing the concentration profiles [28]. Sentaurus Process KMC can model this effect by sweeping the dopant concentration stored in amorphous defects when the amorphous defects recrystallize. The parameters P_recrysDeposit and E_recrysDeposit define the probability of a dopant remaining in the same position after the recrystallization front passes and, therefore, the parameters control the number of swept dopants. In Sentaurus Process, the initial level of active concentration in amorphized regions after recrystallization can be specified per dopant as AmInit. For Sentaurus Process KMC, this corresponds to the prefactor C0_recrysMaxActive and the activation energy E_recrysMaxActive. For this calibration, the deposited dopant-cluster types during SPER are specified by recrysDeposit_Complex because the parameter recrysMaxSize is unset.

Boron
According to [28], no boron is swept by the recrystallization front. Therefore, the P_recrysDeposit value is set to 100% [1]. The maximum active boron concentration after 20 20 2 recrystallization is temperature dependent, with 2.9 10 at 550 C and 6.4 10 cm at 1050 C according to [29]. These values are higher than the one for continuum Advanced Calibration (see Boroninterstitial clusters on page 36). The exceeding boron concentration is deposited as B2 clusters [30]. Finally, boron has no effect on the recrystallization velocity other than the doping effect (see Amorphization and recrystallization on page 76).

Arsenic
Following [28], arsenic is swept by the recrystallization front. The P_recrysDeposit value is set to 50% [1]. The maximum active arsenic concentration after recrystallization is 20 2 20 2 temperature dependent, with 1.2 10 cm at 550 C and 2.7 10 cm at 1050 C . These values are in the range of the one for continuum Advanced Calibration. The exceeding arsenic concentration is deposited as As3 clusters. Finally, arsenic is assumed to have no effect on the recrystallization velocity other than the doping effect (see Amorphization and recrystallization on page 76).

Phosphorus
The P_recrysDeposit value for phosphorus is set to 50%. The maximum active phosphorus 20 2 concentration after recrystallization is temperature dependent, with 1.2 10 cm at 550 C 20 2 and 2.7 10 cm at 1050 C . These values are lower than the one for continuum

Advanced Calibration User Guide A-2008.09

89

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

5: Contents of Advanced Calibration of Sentaurus Process KMC References

Advanced Calibration. The exceeding phosphorus concentration is deposited as P2, P3, and P4 clusters. Finally, phosphorus has no effect on the recrystallization velocity other than the doping effect (see Amorphization and recrystallization on page 76).

Indium
Following [28], [31], and [32], indium is swept by the recrystallization front. The P_recrysDeposit value is set to 5% [1]. The maximum active indium concentration after 20 2 recrystallization is temperature dependent, with 1.8 10 cm at 550 C and 20 2 4.0 10 cm at 1050 C . These values are lower and more realistic than the one for continuum Advanced Calibration. The exceeding indium concentration is deposited as In2 clusters. Finally, indium has no effect on the recrystallization velocity other than the doping effect (see Amorphization and recrystallization on page 76).

Carbon
The P_recrysDeposit value for carbon is set to 50%. The maximum substitutional carbon 20 2 concentration after recrystallization is temperature dependent, with 3.0 10 cm at 550 C 20 2 and 6.7 10 cm at 1050 C . The exceeding carbon concentration is deposited as C2 [16]. Finally, carbon has no effect on the recrystallization velocity.

Fluorine
Following [33], fluorine is swept by the recrystallization front, and its incorporation during SPER is temperature dependent. The E_recrysDeposit value is set to 1.0 eV [1]. Eighty percent of the deposited fluorine is initially in F2I2 clusters. Since the F2I2 clusters are defined to be very unstable, they decay quickly into two stable Fi. The remaining 20% is deposited as F3I2 clusters. Finally, fluorine has an impurity effect on the recrystallization velocity with a recrystallization activation energy of 3.1 eV for 100% fluorine [3].

References
[1] N. Zographos and I. Martin-Bragado, A Comprehensive Atomistic Kinetic Monte Carlo Model for Amorphization/Recrystallization and its Effects on Dopants, in MRS Symposium Proceedings, Doping Engineering for Front-End Processing, vol. 1070, p. 1070-E03-01, 2008. L. Pelaz et al., Atomistic modeling of amorphization and recrystallization in silicon, Applied Physics Letters, vol. 82, no. 13, pp. 20382040, 2003. G. L. Olson and J. A. Roth, Kinetics of Solid Phase Crystallization in Amorphous Silicon, Materials Science Reports, vol. 3, pp. 178, 1988.

[2] [3]

90

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

5: Contents of Advanced Calibration of Sentaurus Process KMC References

[4]

H. Bracht, N. A. Stolwijk, and H. Mehrer, Equilibrium Concentrations of Intrinsic Point Defects in Silicon Determined by Zinc Diffusion, in Proceedings of the Seventh International Symposium on Silicon Materials Science and Technology (Semiconductor Silicon), vol. 94-10, San Francisco, CA, USA, pp. 593602B, May 1994. I. Martin-Bragado, Simulacin atomstica de procesos para Microelectrnica, Ph.D. thesis, Universidad de Valladolid, Valladolid, Spain, 2004. I. Martin-Bragado et al., Physical atomistic kinetic Monte Carlo modeling of Fermilevel effects on species diffusing in silicon, Physical Review B, vol. 72, p. 035202, July 2005. S. M. Sze, Physics of Semiconductor Devices, New York: John Wiley & Sons, 2nd ed., 1981. I. Martin-Bragado et al., From point defects to dislocation loops: A comprehensive TCAD model for self-interstitial defects in silicon, in 37th European Solid-State Device Research Conference (ESSDERC), Munich, Germany, pp. 334337, September 2007. N. E. B. Cowern et al., Cluster ripening and transient enhanced diffusion in silicon, Materials Science in Semiconductor Processing, vol. 2, no. 4, pp. 369376, 1999.

[5] [6]

[7] [8]

[9]

[10] F. Cristiano et al., Formation energies and relative stability of perfect and faulted dislocation loops in silicon, Journal of Applied Physics, vol. 87, no. 12, pp. 84208428, 2000. [11] J. Zuh et al., Ab initio pseudopotential calculations of B diffusion and pairing in Si, Physical Review B, vol. 54, no. 7, p. 47414747, 1996. [12] L. F. Giles et al., Transient enhanced diffusion of B at low temperatures under extrinsic conditions, Solid-State Electronics, vol. 49, no. 4, pp. 618627, 2005. [13] V. C. Venezia et al., Boron diffusion in amorphous silicon, Materials Science and Engineering B, vol. 124125, pp. 245248, December 2005. [14] D. C. Mueller, E. Alonso, and W. Fichtner, Arsenic deactivation in Si: Electronic structure and charge states in vacancy-impurity clusters, Physical Review B, vol. 68, no. 4, p. 045208, 2003. [15] R. B. Fair, Concentration Profiles of Diffused Dopants in Silicon, Impurity Doping Processes in Silicon, vol. 2, Amsterdam: North-Holland Publishing Company, pp. 315442, 1981. [16] N. Zographos and I. Martin-Bragado, Atomistic Modeling of Carbon Co-Implants and Rapid Thermal Anneals in Silicon, in 15th IEEE International Conference on Advanced Thermal Processing of Semiconductors (RTP), Catania, Italy, pp. 119122, October 2007. [17] M. Diebel and S. T. Dunham, Ab Initio Calculations to Model Anomalous Fluorine Behavior, Physical Review Letters, vol. 93, no. 24, p. 245901, 2004.

Advanced Calibration User Guide A-2008.09

91

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

5: Contents of Advanced Calibration of Sentaurus Process KMC References

[18] M. Diebel and S. T. Dunham, Reply to Ab Initio Calculations to Model Anomalous Fluorine Behavior, Physical Review Letters, vol. 96, p. 039602, January 2006. [19] G. R. Nash et al., Activation energy for fluorine transport in amorphous silicon, Applied Physics Letters, vol. 75, no. 23, pp. 36713673, 1999. [20] L. Pelaz et al., B diffusion and clustering in ion implanted Si: The role of B cluster precursors, Applied Physics Letters, vol. 70, no. 17, pp. 22852287, 1997. [21] J. Xia et al., Boron segregation to extended defects induced by self-ion implantation into silicon, Journal of Applied Physics, vol. 85, no. 11, pp. 7597-7603, 1999. [22] S. A. Harrison, T. F. Edgar, G. S. Hwang, Interstitial-Mediated Arsenic Clustering in Ultrashallow Junction Formation, Electrochemical and Solid-State Letters, vol. 9, no. 12, pp. G354G357, 2006. [23] R. Pinacho et al., Modeling arsenic deactivation through arsenic-vacancy clusters using an atomistic kinetic Monte Carlo approach, Applied Physics Letters, vol. 86, p. 252103, June 2005. [24] Y. Takamura et al., Thermal stability of dopants in laser annealed silicon, Journal of Applied Physics, vol. 92, no. 1, pp. 230234, 2002. [25] Y. Takamura, P. B. Griffin, and J. D. Plummer, Physical processes associated with the deactivation of dopants in laser annealed silicon, Journal of Applied Physics, vol. 92, no. 1, pp. 235244, 2002. [26] T. Noda, S. Odanaka, and H. Umimoto, Effects of end-of-range dislocation loops on transient enhanced diffusion of indium implanted in silicon, Journal of Applied Physics, vol. 88, no. 9, pp. 49804984, 2000. [27] Y.-S. Oh and D. E. Ward, A Calibrated Model for Trapping of Implanted Dopants at Material Interface During Thermal Annealing, in IEDM Technical Digest, San Francisco, CA, USA, pp. 509512, December 1998. [28] V. C. Venezia et al., Dopant redistribution effects in preamorphized silicon during low temperature annealing, in IEDM Technical Digest, Washington, DC, USA, pp. 489492, December 2003. [29] S. H. Jain et al., Metastable boron active concentrations in Si using flash assisted solid phase epitaxy, Journal of Applied Physics, vol. 96, no. 12, pp. 73577360, 2004. [30] D. De Salvador et al., Experimental evidence of B clustering in amorphous Si during ultrashallow junction formation, Applied Physics Letters, vol. 89, p. 241901, December 2006. [31] C. Zechner, D. Matveev, and A. Erlebach, Phase-field model for the dopant redistribution during solid phase epitaxial regrowth of amorphized silicon, Materials Science and Engineering B, vol. 114115, pp. 162165, 2004.

92

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

5: Contents of Advanced Calibration of Sentaurus Process KMC References

[32] O. Dokumaci et al., Transient Enhanced Diffusion and Dose Loss of Indium in Silicon, in MRS Symposium Proceedings, Si Front-End ProcessingPhysics and Technology of Dopant-Defect Interactions, San Francisco, CA, USA, vol. 568, pp. 205210, April 1999. [33] G. Impellizzeri et al., Fluorine in preamorphized Si: Point defect engineering and control of dopant diffusion, Journal of Applied Physics, vol. 99, p. 103510, May 2006.

Advanced Calibration User Guide A-2008.09

93

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

5: Contents of Advanced Calibration of Sentaurus Process KMC References

94

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

CHAPTER 6

Guidelines for additional calibration


This chapter provides guidelines for additional calibration.

The Advanced Calibration file is a good starting point for accurate process simulation with Sentaurus Process Kinetic Monte Carlo (Sentaurus Process KMC). However, Advanced Calibration cannot fully replace an additional calibration by the user. With a customized process calibration, the accuracy can always be further increased for any technology of interest. A customized calibration of process and device simulation models needs to be performed by the user or can be requested from Synopsys in the context of a customer service project. To further improve the Advanced Calibration, Synopsys appreciates feedback from customers regarding the accuracy obtained with the parameter files for different process conditions, and suggestions for improved models or parameter values.

Accuracy and limitations of Advanced Calibration of Sentaurus Process KMC


The Advanced Calibration is based on scientific literature of process simulation models and on a continual calibration effort based on the Synopsys collection of SIMS profiles from state-ofthe-art device manufacturing technology. A good agreement is obtained for a wide range of SIMS data. However, in some cases, there is a significant mismatch between kinetic Monte Carlo simulation results obtained with Advanced Calibration and the experimental data, for similar reasons as for continuum simulations listed in Accuracy and limitations of Advanced Calibration of Sentaurus Process on page 43. In this section, the accuracy of the Advanced Calibration for Sentaurus Process KMC is discussed. In particular, it will explain for which process conditions the accuracy is limited and which parameters can be fine-tuned by users to increase the accuracy in a process window of interest.

Advanced Calibration User Guide A-2008.09

95

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

6: Guidelines for additional calibration Accuracy and limitations of Advanced Calibration of Sentaurus Process KMC

Damage and point defects


Amorphization
The calibration of amorphization and recrystallization follows Zographos and MartinBragado [1]. In Figure 10, the accuracy of the damage accumulation during implantation is shown by the comparison of literature data with simulated amorphization-layer thicknesses generated by germanium implantation.
80 Experiments Taurus MC CrystalTRIM

Amorphous Layer Thickness [nm]

60

40

20

0 0 20 40 Implant Energy [keV] 60

Figure 10

Amorphous layer thickness versus Ge implant energy, dose 1x1015 cm2: comparison of experiments (squares) and simulations (solid lines) at dose rate of 5x1012 cm2 s1

Obviously, the implantation dose rate as well as the implantation temperature strongly influence the amorphization and need to be considered by the user.
NOTE

The default implantation dose rate is 1 10 cm s and is not changed within Advanced Calibration because it is considered to be a process parameter.
12 2 1

To adjust the dose rate, redefine the following procedure before the implant statement:
proc DoseRate { dose } { set refDose 5e12 ; # dose rate of 5e12 cm-2 s-1 expr $dose/$refDose }

If the dose rate and temperature are not known exactly, they can be used as fitting parameters to adjust the damage and amorphization-layer thicknesses of implantations.

96

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

6: Guidelines for additional calibration Accuracy and limitations of Advanced Calibration of Sentaurus Process KMC

Germanium preamorphization In the case of germanium preamorphization implantation, the as-implanted germanium profiles for Taurus Monte Carlo implantation are often slightly shallower than corresponding SIMS profiles and, therefore, the amorphization-layer thickness may also be slightly shallower. The lower amorphization is compensated partly by lowering the displacement threshold for the damage generation of Taurus Monte Carlo implantation from 15 eV to 13 eV. However, the asimplanted germanium profiles for Crystal-TRIM implantation are, in general, slightly deeper and more accurate compared to SIMS and, therefore, could be used as an alternative. The difference between the amorphous-layer thicknesses for the two different Monte Carlo implant engines can be seen in Figure 10 on page 96.

Recrystallization
While the recrystallization velocity for an undoped amorphoussilicon layer on top of (100)oriented crystalline silicon is well known [2] and may not require any changes, its enhancement in the presence of n-type and p-type doping is less certain. Therefore, the corresponding silicon damage parameters V0_recrys_ntype and V0_recrys_ptype can be used as fitting parameters. Since the diffusivities of dopants in crystallized and amorphous silicon are usually very different, the recrystallization velocity can influence the overall diffusion. It is also known that the recrystallization depends on substrate orientation; however, the recrystallization velocity in Sentaurus Process KMC is independent of it.

Diffusion, generation, and recombination


The parameter values for the equilibrium concentration and diffusivity of point defects have been inherited from continuum Advanced Calibration and have been chosen by Synopsys as a careful compromise between various suggestions in recent publications (see Point defects on page 44). The IV pair recombination rate is part of the amorphization calibration (see Amorphization on page 96). Changing any of these parameters may affect the diffusion and activation behavior of several dopants. Therefore, for the purpose of improving the accuracy of diffusion of one dopant, it is not recommended to change point-defect parameters, due to the possible undesirable effects on other dopants.

Extended defects
Like the advanced TED model in the Advanced Calibration of continuum Sentaurus Process (see Interstitial clusters on page 35), the comprehensive KMC model for extended defects of self-interstitials [3] describes accurately the initial phase of ultrahigh interstitial

Advanced Calibration User Guide A-2008.09

97

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

6: Guidelines for additional calibration Accuracy and limitations of Advanced Calibration of Sentaurus Process KMC

supersaturation after ion implantation, which was reported in [4] and is ascribed to the formation and dissolution of small interstitial clusters (see Figure 11).
108

107

Interstitial Supersaturation

106

105

104

103

102

101 100 101 102 103 104 105

Time [s]

Figure 11

Self-interstitial supersaturation evolution: comparison of experiments (squares) and simulations (solid lines) for anneals at 600oC (red), 700oC (green), and 800oC (blue) (experimental data from [4])

The binding energies of larger cluster sizes have been calibrated from transmission electron microscope (TEM) data on the dissolution of {311} defects published by Stolk et al. [5] and Saleh et al. [6]. The calibration of the transformation rate from {311} defects to dislocation loops is based on data from the literature [7][8][9][10]. Due to low dislocation loop statistics in KMC, this calibration is difficult and not as accurate as for {311} dissolution. Therefore, the following parameters can be used as fitting parameters:
pdbSet KMC Si Int D0_311toLoop 1.6 pdbSet KMC Si Int E_311toLoop 0.68

The parameters for voids have not been calibrated and, therefore, are not changed from the defaults with binding energies inherited from ab initio computations [11].

Impurities
Diffusion
In general, the diffusivities are inherited from Advanced Calibration of continuum Sentaurus Process and are based on the literature and SIMS calibration. For details about the translation

98

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

6: Guidelines for additional calibration Accuracy and limitations of Advanced Calibration of Sentaurus Process KMC

of the diffusivities to the migration and binding parameters for Sentaurus Process KMC, see Diffusion on page 80. For fine-tuning of the diffusivity, the binding, migration, and ionization energies of dopantpoint defect pairs can be adjusted slightly. The influence of the binding, migration, and ionization energies is shown in Figure 12.
1021 1021 1021

1020 Concentration [cm3]

Concentration [cm3]

Concentration [cm3]

Eb+0.2 eV

1020

Em0.2 eV

1020

1019 Eb 1018

1019 Em 1018 Em+0.2 eV 1017

1019 e0 1018 e00.2 eV 1017

e0+0.2 eV

Eb0.2 eV

1017

1016 0 50 Depth [nm] 100

1016 0 50 Depth [nm] 100

1016 0 50 Depth [nm] 100

Figure 12

The influence of the binding (left), migration (middle), and ionization (right) energies on boron diffusion; the process conditions are B 1e15, 0.5 keV implantation followed by 1050oC spike anneal

The higher the binding energy, the more stable is the mobile pair and, therefore, the dopant encounters more diffusion. In contrast, the higher the migration barrier, the less the pair is mobile and, therefore, the dopant diffuses less. A lower ionization level of a charge state allows that charge state to be more populated and, therefore, to have more influence on the overall diffusion. If the different charge states have different migration rates, changing the ionization levels results in a different overall migration rate.
NOTE

Changing the binding energy and ionization levels of pairs also changes the impurity cluster formation, in particular, for reactions where the pair is involved. Since the binding energies of clusters are computed using the potential energies of the reactant, the potential energy of the pair (given by E b ( A i ) e ( , 0 ) ( A i ) for negative substitutional A ) also influences the cluster stability. In addition, the migration barrier of the pair is involved in the emission of pairs from impurity clusters.

Antimony Advanced Calibration for Sentaurus Process KMC includes no parameters for antimony diffusion because the default parameters are based already on the translation of the continuum Advanced Calibration parameters and are verified against SIMS data.

Advanced Calibration User Guide A-2008.09

99

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

6: Guidelines for additional calibration Accuracy and limitations of Advanced Calibration of Sentaurus Process KMC

Co-diffusion of arsenic and phosphorus As elaborated in Co-diffusion of arsenic and phosphorus on page 54, the physics of the codiffusion of arsenic and phosphorus in high concentration is very complex for several reasons. In general, Advanced Calibration for Sentaurus Process KMC underestimates the diffusion of arsenic in the presence of phosphorus at high concentrations. One reason for this may be the lack of an arsenic percolation-type diffusion model. In addition, the diffusion of phosphorus in the presence of a high concentration of arsenic is overestimated because of missing mixed AsPV-type clusters. Diffusion in amorphous silicon In Advanced Calibration for Sentaurus Process KMC, boron and fluorine are the only diffusing species in amorphous silicon. There is no evidence for significant diffusion of other species [12]. The boron diffusion, in combination with clustering, in amorphous silicon was calibrated using literature data on completely amorphized SOI structures [13]. The calibrated parameters allow for good agreement for short anneals; for longer anneals, the diffusion is overestimated in general. Therefore, in the case of preamorphized structures allowing for recrystallization, where the anneal times in amorphous silicon are usually short, the accuracy is generally acceptable. A reason for the accuracy limitation for longer anneals seems to be due to simplifying model assumptions in Sentaurus Process KMC. While Sentaurus Process KMC assumes constant direct diffusion of species in amorphous silicon, there is some evidence that the boron diffusion is indirect, mediated by dangling bonds present in amorphous silicon [14].

Activation
The responsible mechanism for deactivation/activation is the clustering/declustering of dopantdefect clusters. In Sentaurus Process KMC, the parameters for the dopantdefect cluster model are the potential energies, the emission prefactors, and the capture volumes. For recalibration, the most recommended fitting parameter is the emission prefactor D0_Cluster for dopantdefect pairs, while the one for point defects should not be changed for reasons of microscopic reversability. Increasing the emission prefactor results in decreasing the declustering rate, making the clusters more stable. Moreover, the solubility of impurities is governed by the potential energies of the clusters. Since most dopants can form several different cluster types, the potential energies of more than one cluster may influence the overall deactivation/activation. Therefore, the best way to tune the solid solubility of dopants is either to apply an overall shift for all cluster energies or to change the potential energy of the most stable clusters. The

100

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

6: Guidelines for additional calibration Accuracy and limitations of Advanced Calibration of Sentaurus Process KMC

influence of the emission prefactor, the potential energies of all clusters, and the potential energy of the most stable cluster is illustrated in Figure 13.
1021 1021 1021

1020 Concentration [cm3] Concentration [cm3] 2*D0 1019 0.5*D0

1020 Concentration [cm3] Epot+0.5 eV 1019

1020 E(B3I)+0.5 eV 1019

1018

1018

Epot0.5 eV

1018 E(B3I)0.5 eV 1017

1017

1017

1016 0 50 Depth [nm] 100

1016 0 50 Depth [nm] 100

1016 0 50 Depth [nm] 100

Figure 13

The influence of the BI emission prefactor (left), BIC potential energies (middle), and B3I potential energy (right) on boron diffusion; the process conditions are B 1e15, 0.5 keV implantation followed by 1050oC spike anneal

Finally, the capture volumes, which are specified relative to the substitutional dopant, are based on realistic guesses and may be changed only slightly. Segregation at end-of-range defects Segregation of impurities to end-of-range defects is modeled by an interaction of the mobile impurityinterstitial pairs with extended defects such as {311} defects and dislocation loops. The pair breaks up and the interstitial is incorporated into the extended defect, while the impurity is regarded as substitutional. Obviously, the location of the impurity segregation depends on the end-of-range location, which depends on the amorphization-layer thickness generated by amorphizing implantation. For carbon, this model works reasonably accurately [15]. However, the accumulation of indium at end-of-range may be underestimated in some cases [16]. To increase the segregation effect, you can enable the following switches:
pdbSet KMC Si In 311DopantModel 1 pdbSet KMC Si In LoopDopantModel 1

In this case, the pair does not break up, but it is attached to the extended defect and stays attached until the dissolution of the extended defect.

Dose loss
The dopant dose loss is controlled by the three-phase segregation model for the siliconoxide interface model. Dopant trapping at the interface is diffusion limited; therefore, the dopantpoint defect migration parameters also have an influence on the dose loss. The recommended fitting parameters for dose loss are the parameters for the maximum surface

Advanced Calibration User Guide A-2008.09

101

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

6: Guidelines for additional calibration Accuracy and limitations of Advanced Calibration of Sentaurus Process KMC

concentration of trapped particles C0Max_Surf and EMax_Surf as well as the parameter for the interface barrier EBarrier_SurfSi. The dose loss of phosphorus at very high concentrations is, in general, underestimated by Advanced Calibration of Sentaurus Process KMC. One explanation for this is that the interface only reacts with neutral dopantpoint defect pairs. However, in the case of a high concentration of phosphorus, the majority of mobile phosphorus appears in the form of negatively charged PV pairs.

Recrystallization
For experiments with amorphizing conditions, the recrystallization parameters allow for a wide range of optimization, but the complex parameter interactions must be taken into account carefully. The maximum active doping concentration after recrystallization is an influential and sometimes critical parameter both for activation and diffusion, and the corresponding parameters C0_recrysMaxActive and E_recrysMaxActive serve as fitting parameters. However, since the activation of doping during SPER usually is temperature dependent [17], the accurate anneal temperature at SPER is critical. Therefore, the specification of the accurate temperature profile during the anneal, especially the initial phase of the ramp-up with temperatures at 500 C and above, is important. In addition, the doping and impurity concentrations have an effect on the recrystallization velocity and can influence the activation during SPER. The corresponding parameters V0_recrys_ntype and V0_recrys_ptype are candidates for recalibration parameters. Finally, the portion of swept impurities by the recrystallization front may also be adjusted from case to case. Fluorine effect A special case is fluorine with its retarding effect on recrystallization [2]. By strongly slowing down SPER, the presence of (co-implanted) fluorine may not only result in incorporation of an higher active doping concentration during temperature ramp-up, but also allow more time for dopant diffusion in amorphous silicon. In the case of boron, with its higher diffusivity in amorphous silicon than crystalline silicon [14], the influence of diffusion in amorphous silicon is enhanced. Therefore, for fine-tuning, you can tune not only the fluorine parameters for redistribution during SPER (P_recrysDeposit and E_recrysDeposit), but also the fluorine parameters for slowing down the recrystallization (E_recrys and E_recrys_exponent). BF2 implantation While for boron implantation after preamorphization implantation, no boron is moved by the recrystallization front, this could be the case for BF2. Therefore, you may allow some portion of the boron swept by the recrystallization front by adjusting P_recrysDeposit.

102

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

6: Guidelines for additional calibration References

In addition, the length of boron diffusion can be controlled by the incorporation of fluorine during SPER. Most fluorine is incorporated into silicon as fluorine interstitials and to a smaller extent also as so-called FV clusters [18][19]. Since the code does not allow the direct incorporation of Fi, F2I2 clusters have been selected because F2I2 clusters are very unstable and decay into two Fi immediately. In the context of Sentaurus Process KMC, the FV clusters are FI clusters (see Fluorine on page 84) containing fewer interstitials than fluorine. Finally, the amount of interstitials incorporated into FI clusters during SPER also influences the boron diffusion.

Stress and SiGe effects


The calibration of the effects of Ge concentration and stress on defect and dopant diffusion, and clustering has not been included in the current version of Advanced Calibration for Sentaurus Process KMC.

References
[1] N. Zographos and I. Martin-Bragado, A Comprehensive Atomistic Kinetic Monte Carlo Model for Amorphization/Recrystallization and its Effects on Dopants, in MRS Symposium Proceedings, Doping Engineering for Front-End Processing, vol. 1070, p. 1070-E03-01, 2008. G. L. Olson and J. A. Roth, Kinetics of Solid Phase Crystallization in Amorphous Silicon, Materials Science Reports, vol. 3, pp. 178, 1988. I. Martin-Bragado et al., From point defects to dislocation loops: A comprehensive TCAD model for self-interstitial defects in silicon, in 37th European Solid-State Device Research Conference (ESSDERC), Munich, Germany, pp. 334337, September 2007. N. E. B. Cowern et al., Energetics of Self-Interstitial Clusters in Si, Physical Review Letters, vol. 82, no. 22, pp. 44604463, 1999. P. A. Stolk et al., Physical mechanisms of transient enhanced dopant diffusion in ionimplanted silicon, Journal of Applied Physics, vol. 81, no. 9, pp. 60316050, 1997. H. Saleh et al., Energy dependence of transient enhanced diffusion and defect kinetics, Applied Physics Letters, vol. 77, no. 1, pp. 112114, 2000. J. Li and K. S. Jones, {311} defects in silicon: The source of the loops, Applied Physics Letters, vol. 73, no. 25, pp. 37483750, 1998. F. Cristiano et al., Ion beam induced defects in crystalline silicon, Nuclear Instruments and Methods in Physics Research B, vol. 216, pp. 4656, February 2004.

[2] [3]

[4] [5] [6] [7] [8]

Advanced Calibration User Guide A-2008.09

103

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

6: Guidelines for additional calibration References

[9]

Y. Lamrani et al., Direct evidence of the recombination of silicon interstitial atoms at the silicon surface, Nuclear Instruments and Methods in Physics Research B, vol. 216, pp. 281285, February 2004.

[10] P. Calvo et al., Thermal evolution of {113} defects in silicon: transformation against dissolution, Nuclear Instruments and Methods in Physics Research B, vol. 216, pp. 173177, February 2004. [11] T. E. M. Staab et al., Stability of large vacancy clusters in silicon, Physical Review B, vol. 65, no. 11, p. 115210, 2002. [12] R. Duffy et al., Dopant diffusion in amorphous silicon, in MRS Symposium Proceedings, Silicon Front-End Junction FormationPhysics and Technology, vol. 810, San Francisco, CA, USA, p. C10.2.1, April 2004. [13] V. C. Venezia et al., Boron diffusion in amorphous silicon, Materials Science and Engineering B, vol. 124125, pp. 245248, December 2005. [14] S. Mirabella et al., Mechanism of Boron Diffusion in Amorphous Silicon, Physical Review Letters, vol. 100, p. 155901, April 2008. [15] N. Zographos and I. Martin-Bragado, Atomistic Modeling of Carbon Co-Implants and Rapid Thermal Anneals in Silicon, in 15th IEEE International Conference on Advanced Thermal Processing of Semiconductors (RTP), Catania, Italy, pp. 119122, October 2007. [16] T. Noda, S. Odanaka, and H. Umimoto, Effects of end-of-range dislocation loops on transient enhanced diffusion of indium implanted in silicon, Journal of Applied Physics, vol. 88, no. 9, pp. 49804984, 2000. [17] S. H. Jain et al., Metastable boron active concentrations in Si using flash assisted solid phase epitaxy, Journal of Applied Physics, vol. 96, no. 12, pp. 73577360, 2004. [18] M. Diebel and S. T. Dunham, Ab Initio Calculations to Model Anomalous Fluorine Behavior, Physical Review Letters, vol. 93, no. 24, p. 245901, 2004. [19] M. Diebel and S. T. Dunham, Reply to Ab Initio Calculations to Model Anomalous Fluorine Behavior, Physical Review Letters, vol. 96, p. 039602, January 2006.

104

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

Part III Advanced Calibration in TSUPREM-4

This part of the Advanced Calibration manual contains the following chapters: Chapter 7 Using Advanced Calibration file of TSUPREM-4 on page 107 Chapter 8 Contents of Advanced Calibration of TSUPREM-4 on page 113 Chapter 9 Guidelines for additional calibration on page 129

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

CHAPTER 7

Using Advanced Calibration file of TSUPREM-4


This chapter describes the use of the TSUPREM-4 Advanced Calibration file with the Merger application.

Overview
The TSUPREM-4 Advanced Calibration file helps to obtain accurate results with TSUPREM-4. The choice of physical models is widely based on the Advanced Calibration of Sentaurus Process and is calibrated with the help of a SIMS database. In 2006, a complete recalibration of TSUPREM-4 models was performed. Parameters obtained during that work are collected in the s4advanced.2008.09 file. They provide a significant improvement of simulation results in comparison to earlier file versions. You can invoke the new set of models and parameters by typing one line in any place in the input file:
method advanced

Simulation results obtained with this command will have a good accuracy. For further result improvements, initial conditions after an implantation step, depending on the energy or dose, can be used. A special Merger application file contains a definition of an energy-dependent factor for the +N-model and an initial dopant activation level.

Parameter file
Advanced Calibration is a selection of physical models and model parameters, which are recommended by Synopsys to be used for accurate process simulation. In TSUPREM-4, this selection of models and parameters is contained in a parameter file named s4advanced.2008.09. It is located in the TSUPREM-4 library (s4lib directory). The contents of that file is explained in Chapter 8 on page 113.
NOTE

There are many differences between the s4advanced.2008.09 and s4advanced.2006.06 models and parameters. The s4advanced.2008.09 file provides a significant improvement of simulation results compared to s4advanced.2006.06.

Advanced Calibration User Guide A-2008.09

107

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

7: Using Advanced Calibration file of TSUPREM-4 Merging a process flow and parameter file with Merger

The s4advanced.2008.09 models and parameters are invoked inside the Merger file named AdvCal_1d_tables_2008.09.smr. The file can be merged with a TSUPREM-4 input file, which contains only the pure process flow without any choice of physical models and parameters, using the Merger application. This is described below and in Appendix A on page 197.
NOTE

The Merger application is part of Sentaurus Workbench Advanced. Merger tests if the corresponding license (swb-advanced) exists at the user site, but does not block the license.

For additional calibration, the Merger file can be copied to a local directory and edited with any standard text editor. The contents of the AdvCal_1d_tables_2008.09.smr file is explained in Chapter 8 on page 113.

Merging a process flow and parameter file with Merger


Overview
Three types of information are needed to perform a process simulation:

The device fabrication process to be simulated. This includes the substrate doping and all implantation, diffusion, oxidation, deposition, and etching steps. The physical models and model parameters to be used in the process simulation. The mesh for the process simulation.

With the Merger application (see Appendix A on page 197), the information about the fabrication process and the physical models and parameters can be separated into two different files:

The Merger file contains the process flow. The TSUPREM-4 Rules file contains the choice of physical models and parameters.

The commands for creating and refining the mesh are part of either the Merger file or the TSUPREM-4 Rules file. Merger inserts the information contained in the TSUPREM-4 Rules file correctly into the process flow and, thereby, creates a complete TSUPREM-4 input file.

108

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

7: Using Advanced Calibration file of TSUPREM-4 Merging a process flow and parameter file with Merger

The separation of the process flow and the choice of physical models and parameters has advantages:

The Merger file, which contains only the process flow, is easy to read and edit, even for non-TCAD experts. It is easy to ensure that the same process simulation models are applied to 1D simulation tasks (SIMS data calibration) and 2D or 3D simulation tasks (full process and device simulation). All calibration work is contained in the TSUPREM-4 Rules file. A single Rules file can be applied to different process flows. This makes it easier to transfer calibration results to another technology node.

TSUPREM-4 Rules file


AdvCal_1d_tables_2008.09.smr is used as a TSUPREM-4 Rules file by Merger. For

additional calibration, you can make a local copy of the TSUPREM-4 Rules file and can edit it with a text editor or with the graphical user interface (GUI) of Merger (see Editing rules with Merger Editor on page 203). The TSUPREM-4 Rules file consists of five sections. The first two are very short and specify the process simulator and the title of the Rules file, for example:
Simulator { TSUPREM-4 } Title {1D Advanced Calibration A-2008.09}

The other three sections are a gridblock, a startblock, and a list of pattern-actions. The gridblock has the format:
Gridblock { ... }

In AdvCal_1d_tables_2008.09.smr, it contains the initial 1D simulation mesh and the criteria for mesh refinement. The default 1D simulation mesh is fine enough for process simulation ranging from ultrashallow junction formation to power technologies. In 2D application projects, the gridblock must contain the mesh specification and adaptation parameters for the 2D simulation. Alternatively, since the ideal 2D mesh strongly depends on the device geometry and size, you can consider removing all commands from the gridblock. If the gridblock is empty, you need to provide the information about the initial grid and mesh refinement in the Merger file, which contains the process flow.

Advanced Calibration User Guide A-2008.09

109

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

7: Using Advanced Calibration file of TSUPREM-4 Merging a process flow and parameter file with Merger

The startblock is very short:


Startblock { method advanced $ C-I clustering model $===================== $ To use C-I clustering model, remove "$" from 2 lines below. $ method ddc.full $ method precond=2

$ $ $ $ $ $ $ $ }

5-stream diffusion model ======================== To use the 5-stream model, remove "$" from the line below. method nstreams=5 Complex models ======================== To use complex models, remove "$" from line below. source s4advanced_complex.2008.09

Advanced Calibration models and parameters contained in s4advanced.2008.09 are invoked by the first line. They will be used as the default for the whole process simulation. The rest of the block consists of three sections by which you can select the carboninterstitial clustering model, the five-stream diffusion model, and the set of the advanced interstitial clustering and dopant activation models. This can be done by removing $ from the beginning of the corresponding lines. When merging the Merger file with the TSUPREM-4 Rules file, the gridblock and startblock are inserted at the beginning of the complete TSUPREM-4 input file. Some physical parameters depend on special process steps. For example, the amount of damage created by an ion implantation depends on the details of the implantation. For such physical parameters, it is impossible to fix their values once at the beginning for the whole process simulation. Instead, the values must be set where needed. For example, the initial activation of boron atoms after boron implantation must be set for each boron implantation individually, depending on the implantation energy and dose. All these process steprelated parameters are contained in the last part of the TSUPREM-4 Rules file, that is, the list of pattern-actions. A typical pattern-action of a TSUPREM-4 Rules file is:
Condition (1) if ( implantation && element==indium ) { prepend {impurity impurity=indium mat=silicon act.ni=0.0 c1frac.a=1.0 + act.amor=5.e20 c1.ini.f=0.3 ddctot.c=0.3} }

110

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

7: Using Advanced Calibration file of TSUPREM-4 Merging a process flow and parameter file with Merger

With this pattern-action in the TSUPREM-4 Rules file, Merger will evaluate it for all implantation statements of the process flow if the element is indium. For each implantation statement where this is the case (that is, where the pattern is found), Merger will insert:
impurity impurity=indium mat=silicon act.ni=0.0 c1frac.a=1.0 + act.amor=5.e20 c1.ini.f=0.3 ddctot.c=0.3

before the implant line of the TSUPREM-4 input file. This will set the initial activation level 20 3 to 5.0 10 cm in the amorphized region and the indium cluster fraction to 0.3 in the crystalline region. A detailed reference to the syntax of pattern-actions is given in Merger Rules syntax reference on page 216.

Merging a Merger file and TSUPREM-4 Rules file


The Merger application is used to merge the Merger file and the Rules file to a complete TSUPREM-4 input file. This can be performed interactively or in batch mode. The interactive mode is started by typing:
merger

A graphical user interface (GUI) opens, the Merger file and TSUPREM-4 Rules file can be loaded, and the merging can be performed. A full description of the interactive uses of Merger is in Appendix A on page 197. In batch mode, without starting the GUI, Merger can be started from the command line, for example:
merger -batch -process process_flow.txt -rules AdvCal_1d_tables_2008.09.smr -output full_ts4.cmd

This line will merge the Merger file process_flow.txt and the Rules file AdvCal_1d_tables_2008.09.smr, and will create the complete TSUPREM-4 input file full_ts4.cmd. In Sentaurus Workbench projects, it is convenient to execute Merger in batch mode, without starting the GUI. This allows you to insert the physical models and parameters to process flow files for many different split conditions. A single TSUPREM-4 Rules file is merged with many Merger files, each representing one of the process split conditions, which were previously preprocessed by Sentaurus Workbench. TSUPREM-4 prologue on page 220 describes how to configure the TSUPREM-4 prologue of Sentaurus Workbench for an automatic merging of preprocessed process flow files.

Advanced Calibration User Guide A-2008.09

111

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

7: Using Advanced Calibration file of TSUPREM-4 Monte Carlo implantation

Monte Carlo implantation


By default, analytic implantation is used with AdvCal_1d_tables_2008.09.smr. To use Monte Carlo implantation instead, switch on the pattern-action:
Condition (0) if (implantation) { insert {montecarlo n.ion=5000 dose.spl traj.spl} }

by replacing Condition (0) with Condition (1). To use Monte Carlo implantation only for selected dopants, add a pattern-action, which inserts the keyword montecarlo only into the selected implants. For example, the following patternaction switches on Monte Carlo implantation only for boron implants:
Condition (1) if (implantation && element==Boron) { insert {montecarlo n.ion=5000 dose.spl traj.spl} }

112

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

CHAPTER 8

Contents of Advanced Calibration of TSUPREM-4


In this chapter, the models and parameters used in the Advanced Calibration of TSUPREM-4 are explained.

The choice of models is mostly the same as in the Advanced Calibration for Sentaurus Process, described in Chapter 2 on page 9. Where possible, the options of the diffusion models are selected in such a way that the same equations are solved in Sentaurus Process and TSUPREM-4. However, due to some differences in the implementation of physical models in TSUPREM-4 and Sentaurus Process, some parameters differ from the corresponding parameters in the Advanced Calibration of Sentaurus Process, to give optimal results with TSUPREM-4. These adjustments are based on a calibration using SIMS data. All model switches and parameters that are not set in the calibration file have the TSUPREM-4 default values. The meaning of the parameters used in the calibration file is also explained in the Taurus TSUPREM-4 User Guide.

Models of Advanced Calibration of TSUPREM-4


The Advanced Calibration of TSUPREM-4 is based on the following selection of implantation and diffusion models:

Analytic tables for all implantations. Alternatively, Monte Carlo implantation can be used. Pair diffusion framework (method pd.full). In silicon, the diffusing species are point defects (interstitials and vacancies) and pairs of a dopant and a point defect. As an option, the five-stream model can be used. In the five-stream model, the concentration of dopantdefect pairs is not in local equilibrium with substitutional dopants and free point defects. The +N-model for the initial interstitial concentration after ion implantation. The one-moment clustering model for interstitials. First-order reaction equations for clusters of dopant impurities. There is only one reaction equation per impurity, which governs the relationship between active and total concentrations.

Advanced Calibration User Guide A-2008.09

113

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

8: Contents of Advanced Calibration of TSUPREM-4 Contents of AdvCal_1d_tables_2008.09.smr

Dopant segregation at interfaces. Dose loss is described by the three-phase segregation model for all dopants. The model for Ge chemical and strain effects. The carboninterstitial clustering model.

Contents of AdvCal_1d_tables_2008.09.smr
Gridblock
The gridblock is inserted at the beginning of a TSUPREM-4 input file. The gridblock contents of AdvCal_1d_tables_2008.09.smr is intended to create a good 1D mesh. A 1D mesh is prepared with a very fine resolution near the silicon surface and with a coarser grid spacing in the deeper regions of the simulation. Mesh adaptation is switched off for implantation and diffusion. Mesh adaptation can be helpful to reduce CPU time, by having a good mesh refinement only in specified regions. However, for 1D simulations, CPU time is often not an issue, because the simulations are very fast. This 1D mesh is fine enough for almost all 1D investigations, with one exception: If the diffusion of thin dopant marker layers is investigated, it is necessary to create a fine mesh in the neighborhood of the marker layers, which can be located far away from the silicon surface. For 2D applications, the gridblock needs to be edited. You either can put correct specifications for 2D mesh generation into the gridblock or can work with an empty gridblock and place the specifications for 2D mesh generation directly into the TSUPREM-4 Merger file, which contains the process flow.

Startblock
The startblock is inserted at the beginning of a TSUPREM-4 input file, immediately after the gridblock. By default, it contains only one line:
method advanced

This command is used to invoke models and parameters defined in s4advanced.2008.09. The file contents is described below.

114

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

8: Contents of Advanced Calibration of TSUPREM-4 Contents of AdvCal_1d_tables_2008.09.smr

Basic model selection


The Taurus implantation tables are used for all important implantation species:
impurity impurity impurity impurity impurity impurity impurity impurity impurity impurity=arsenic impl.tab=taurus impurity=antimony impl.tab=taurus impurity=boron impl.tab=taurus impurity=indium impl.tab=taurus impurity=phosphorus impl.tab=taurus impurity=germanium impl.tab=taurus impurity=fluorine impl.tab=taurus impurity=nitrogen impl.tab=taurus impurity=carbon impl.tab=taurus

Ge, C, F, and N implantations are simulated by the newly created tables. For diffusion, the basic selection is:
method pd.full ^pair.rec ^pd.prec

With this line, the three-stream diffusion model is selected (pd.full) and the dopant-assisted recombination of interstitials and vacancies is switched off (^pair.rec ^pd.prec).

+N-model
The +N-model is used to calculate the amount of excess interstitial generated by ion implantation. A new TSUPREM-4 feature allows you to define +N-model factors dependent on the implant energy and dose. Corresponding statements can be found in s4advanced.2008.09. For example, the command:
impurity imp=arsenic d.plus="(${E}+1.0)/(${E}+3.0)"

is invoked to decrease the arsenic d.plus for low implant energies. The advantage of such an approach is that you can avoid the definition of the energy-dependent factors in the Rules file. If d.plus is not specified explicitly, the default value equal to 1.0 is used. It is defined by the following line:
material mat=silicon d.phdf=0.0 d.pconst=0.0

Advanced Calibration User Guide A-2008.09

115

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

8: Contents of Advanced Calibration of TSUPREM-4 Contents of AdvCal_1d_tables_2008.09.smr

Intrinsic carrier concentration


The intrinsic carrier concentration is modified to give a good fit in the temperature range between 700 C and 1100 C to the formula suggested by Morin and Maita [1]:
material silicon ni.0=8.23e16 ni.e=0.656 ni.f=1.5

Point-defect models and parameters


Bulk silicon For interstitials and vacancies, the same diffusivity, equilibrium concentration, charge-state distribution, and bulk recombination are used as in the Advanced Calibration of Sentaurus Process. For the origin of the parameter values, see Basic point-defect parameters on page 16. Surface boundary conditions The v.norm recombination model was chosen for the SiSiO2 interface. The recombination velocity is specified, for interstitials, by the parameters:
ksurf.0=1.78e8 ksurf.e=1.73

and, for vacancies, by the parameters:


ksurf.0=1.42e5 ksurf.e=1.75

With these parameters, the interface acts as an efficient sink for point defects generated by an implantation. The influence of oxide growth on point defect recombination is switched off (ksvel.0=0, ksvel.e=0). The default growth model is used to simulate the injection of interstitials during silicon oxidation. The model parameters were extracted from oxidation-enhanced diffusion (OED) experiments:
theta.e0=7.3e4 theta.e=2.1 gpow.0=-0.4 gpow.e=0.0

Interstitial clusters The 1Moment model is used for interstitial clusters with similar parameters as in the Sentaurus Process calibration. The calibration is based on TEM data on the dissolution of {311} defects during thermal anneal, published in the literature [2][3]. The shrinking of interstitial clusters by recombination with vacancies is switched off for the benefit of consistency with the Sentaurus Process calibration. Small clusters of interstitials and vacancies are not included in the simulation (eclust.n=0).

116

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

8: Contents of Advanced Calibration of TSUPREM-4 Contents of AdvCal_1d_tables_2008.09.smr

Dopant models and parameters


The same basic model set is used for all dopants. It includes the:

Three-stream or five-stream diffusion model depending on user selection. Transient dopant clustering model. Three-phase segregation model. Model for Ge chemical and stress effects.

These models are described here. Dopant-specific model features are explained after the common model description. Dopant diffusion The three-stream pair diffusion model is chosen by default. In this model, dopantdefect pairs are in local equilibrium with substitutional dopants and free point defects. The diffusivities of boron, arsenic, indium, and antimony have the same values as in the Advanced Calibration of Sentaurus Process. The microscopic diffusivity of dopantdefect pairs is set equal to the diffusivity of point defects. The recombination between dopantdefect pairs and free defects is switched off by setting the reaction radii r.ip.v and r.vp.i to 0. Ion pairing is switched on for all dopants by using:
material material=silicon ion.pair

Alternatively, you can use the five-stream pair diffusion model. In this case, you must add the following line to the input file:
method nstreams=5

or uncomment the corresponding line in AdvCal_1d_tables_2008.09.smr. Furthermore, the parameters r.i.s, e.i.s, r.v.s, and e.v.s, which define the rates of formation and dissolution of dopantdefect pairs, are specified for all dopants. Their values have been obtained by translation of Sentaurus Process Advanced Calibration parameters. Dopant clusters To use transient formation and dissolution of dopant clusters, the following line is used:
method act.full

With this command, TSUPREM-4 switches on five models for dopant activation in parallel: a solid solubility model, an equilibrium dopant clustering model, an equilibrium dopantdefect clustering model, a precipitation model, and a transient dopantdefect clustering model. Clusters are assumed to be neutral.

Advanced Calibration User Guide A-2008.09

117

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

8: Contents of Advanced Calibration of TSUPREM-4 Contents of AdvCal_1d_tables_2008.09.smr

In the Advanced Calibration, only the transient dopantdefect clustering model is used, and the other models are switched off by an appropriate choice of parameters. Furthermore, it is assumed that no interstitials take part in the formation and dissolution of clusters (ddcf.i.n=0 ddcr.i=0 ifracm=0). The parameters used for the calibration of the transient dopantdefect clustering model are:

ddc.f.0, ddc.f.e: Define the equilibrium between clusters and substitutional dopants. ddc.t.0, ddc.t.e: Adjust the reaction time needed to approach equilibrium.

The parameters ddcf.d.n, ddcf.n.n, and ddcr.n.n are specified in such a way that the same number of dopants and electrons take part in the cluster formation and dissolution as in the Advanced Calibration of Sentaurus Process. As previously mentioned, the other activation reactions that are offered by method act.full are switched off. This reduces the power of the model to capture several physical phenomena in parallel. On the other hand, the complexity is reduced and the calibration is more reliable for most applications. Switching off the other activation models is performed in the same way for all dopants. For example, in the case of arsenic, it is performed with the following commands and parameters:

The solid solubility model is switched off by setting the solid solubility to a very high level:
impurity imp=arsenic mat=si ss.clear impurity imp=arsenic mat=si ss.temp=450 ss.conc=1.0e23 impurity imp=arsenic mat=si ss.temp=1500 ss.conc=1.0e23

The equilibrium dopant clustering model is switched off with the following parameters:
impurity mater=silicon imp=arsenic ctn.0=0.0 ctn.e=0.0

The precipitation model is switched off by using:


impurity mater=silicon imp=arsenic c0.tf.0=0.0 c0.tf.e=0.0 c0.tr.0=0.0 + c0.tr.e=0.0

Indium is not a built-in dopant of TSUPREM-4. Therefore, indium clusters must be defined by additional lines that are not needed for the other main dopants:
impurity imp=c1_indium new c.init=1e5 impurity imp=indium imp.cl1=c1_indium method imp=c1_indium lu none ^symm time.ste rel.err=0.01 abs.err=1.0e9 + temp.min=450

Initial

independent s4advanced.2008.09:

conditions

of

implantation

conditions

are

defined

in

impurity impurity=arsenic mat=silicon act.ni=0.0 + c1frac.a=1.0 act.amor=2.0e20 c1.ini.f=0.7 ddctot.a=1.0 ddctot.c=0.7

118

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

8: Contents of Advanced Calibration of TSUPREM-4 Contents of AdvCal_1d_tables_2008.09.smr

Two last parameters (ddctot.a, ddctot.c) are necessary when the full dynamics dopantdefect clustering model (method ddc.full) is switched on to simulate a formation of carboninterstitial clusters.
NOTE dctot.c must be equal to c1.ini.f.

Three-phase segregation model For all dopants, the dose loss at the SiSiO2 interface is simulated by the three-phase segregation model. It is assumed that the trapped dopant is in the neutral charge state. The charge state is defined by the number of carriers annihilated during trapping. For example, if one electron is annihilated during arsenic trapping, then the trapped atom will be neutral:
impurity impurity=arsenic mat=silicon /mat=oxide seg.cpow=1.0

The parameters of the three-phase segregation model were calibrated using the internal SIMS database. The diffusivity of dopants along the SiSiO2 interface is set to zero. When using the five-stream framework, the pair segregation model is invoked:
method pair.seg

According to this model, a dissociation of dopantdefect pairs occurs at the SiSiO2 interface. Such an approach looks more reasonable than an assumption about pair recombination used in the default model. The parameters seg.ipow and seg.vpow are set to zero for consistency with simulation results obtained by using the three-stream diffusion model.
NOTE

Current boron segregation parameters may give inaccurate results in the case of fast oxidation at high temperatures. An additional calibration may be needed for such process conditions.

Stress and germanium chemical effects The TSUPREM-4 pressure-dependent diffusion model with default activation volumes is used in the Advanced Calibration to simulate the stress effect:
method st.diff

In addition, the energy bandgap dependence on the pressure and local Ge content is taken into account:
method gapsige enable

Advanced Calibration User Guide A-2008.09

119

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

8: Contents of Advanced Calibration of TSUPREM-4 Contents of AdvCal_1d_tables_2008.09.smr

The Ge chemical effect is modeled in several ways. First, the energy band gap changes as previously mentioned. Second, dopant diffusivity dependence on the local Ge concentration is introduced. The interstitial and vacancy components change separately as shown below for phosphorus:
impurity imp=phosphorus mat=si di.fac=exp(1.2e-23*germanium/kT) impurity imp=phosphorus mat=si dv.fac=exp(2.2e-23*germanium/kT)

Third, a simple TSUPREM-4 model is invoked to simulate the reduction of the active boron concentration due to GeB pair formation:
method geandb enable

Stress and Ge chemical effect models are different from ones used in the Advanced Calibration of Sentaurus Process. They have been transferred from s4advanced.2006.06. High concentration arsenic and antimony diffusion At high donor concentrations, the diffusivity of AsV pairs is increased, following the ideas of Dunham and Wu [4], and based on experimental data from Larsen et al. [5]. This is achieved by using:
impurity imp=arsenic mater=silicon dv.fac=(2.3e-23*germanium/kT)* + (1.0+((active(arsenic)+(active(phosphorus))/1.9e20)^3.5)

Similarly, the diffusivity of SbV pairs is increased in regions of high Sb doping:


impurity imp=antimony mater=silicon dv.fac=(2.3e-23*germanium/kT)* + (1.0+((active(antimony))/2.0e20)^3.5)

Indium dose loss The limitation of the indium concentration in amorphized regions is switched on by the line:
impurity mat=silicon imp=indium max.amor=6.e18

The reason for the dose loss is that indium atoms are swept out of silicon during the regrowth of the amorphous layer due to the segregation at the amorphouscrystalline interface [6]. Boron diffusivity in oxide The boron diffusivity in oxide is increased for highly doped oxides by defining the multiplication factor di.fac:
impurity mat=oxide imp=boron di.fac=(1.0+fluorine/2.0e18+bddl/(bdi* + (1.0+(1.e21/(arsenic+boron+phosphorus+indium+antimony+germanium+1.e5))^2))* + (1+(boron/6.0e20)*(boron/6.0e20)))

120

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

8: Contents of Advanced Calibration of TSUPREM-4 Contents of AdvCal_1d_tables_2008.09.smr

Carboninterstitial clustering Carbon diffusion is modeled in the same way as for other dopants. The macroscopic diffusivity of carbon in silicon is taken from the literature [7]. Carboninterstitial pairs are assumed to be neutral:
impurity new imp=ipair_carbon tif.name=CIpair impurity imp=carbon neutral imp.ip=ipair_carbon

Three types of neutral carboninterstitial cluster are taken into account: C2I, C3I2, and C3I3. The following reactions for the formation and dissolution of clusters are considered:

CI + C <=> C2I C2I + CI <=> C3I2 C3I2 <=> C3I3 + V

Different charges of point defects are taken into account for the above reactions. The clustering rates, together with the diffusivity and hopping length of carboninterstitial pairs, have been calibrated by Synopsys using SIMS data from marker layer experiments [8][9] and from data on ultrashallow junction formation following Ge+C+B [10] and Ge+C+BF2 cocktail implants. You should switch on the full dynamics dopantdefect clustering model to simulate carboninterstitial clustering:
method ddc.full method precond=2

In regions, which are amorphized by ion implantation and recrystallized by solid phase epitaxy, carbon is assumed to be in the substitutional state immediately after recrystallization. In nonamorphized regions, newly implanted carbon is assumed to be in C3I2 clusters at the beginning of thermal annealing:
impurity imp=carbon mat=silicon ddctot.c=1.0 ddctot.a=0.0 act.amor=5.e22 impurity imp=carbon imp.ddc=c2i1 ddc.n.d=2 ddc.n.i=1 ddcluster=c2i1 + ddcfraca=0.0 ddcfracc=0.0 impurity imp=carbon imp.ddc=c3i2 ddc.n.d=3 ddc.n.i=2 ddcluster=c3i2 + ddcfraca=0.0 ddcfracc=1.0 impurity imp=carbon imp.ddc=c3i3 ddc.n.d=3 ddc.n.i=3 ddcluster=c3i3 + ddcfraca=0.0 ddcfracc=0.0

Advanced Calibration User Guide A-2008.09

121

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

8: Contents of Advanced Calibration of TSUPREM-4 Contents of AdvCal_1d_tables_2008.09.smr

Pattern-actions
The final part of the Advanced Calibration file is a list of pattern-actions. Each pattern-action consists of a pattern and a list of actions. The pattern is checked against all lines of the process flow file, which is to be merged with the calibration file. For each line to which the pattern applies, the actions are performed. These may include:

Inserting arguments into the process flow command line. Adding lines before the process flow command line. Appending lines after the process flow command line.

The patterns and actions supported by the Merger application are explained in Appendix A on page 197. In this section, the pattern-actions of AdvCal_1d_tables_2008.09.smr are explained, following the order in the Advanced Calibration file. Most pattern-actions are used to set initial conditions after ion implantation, such as the amount of interstitials created by the implant or the initial activation of dopants.

Deposition pattern-action
Only the first pattern-action is applied to deposition steps:
# Sets spacing in deposited oxide Condition (1) if ( line && "DEPOSITION" ) { insert {dy=0.0005} }

The Merger application will search for all deposition commands in the TSUPREM-4 input file and will insert the string "dy=0.0005".

Pattern-actions for as-implanted profiles


In the Advanced Calibration file, the implantation dose is interpreted as the dose per the wafer area. This is performed by the following pattern-action:
Condition (1) if ( implantation && element!=silicon ) { insert {dose.sca} } NOTE

Users who need to interpret the implant dose as the dose per the wafer area of the implant beam must deactivate this pattern-action by changing Condition (1) to Condition (0).

122

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

8: Contents of Advanced Calibration of TSUPREM-4 Contents of AdvCal_1d_tables_2008.09.smr

The next pattern-action sets the parameters for Monte Carlo ion implantation. By default, this pattern-action is deactivated by Condition (0):
Condition (0) if ( implantation ) { insert {montecarlo n.ion=5000 dose.spl traj.spl} }

Two pattern-actions are dedicated to the implantation of silicon ions, for which no calibrated implantation lookup tables are available. For energies greater than 5 keV, phosphorus tables are used, since phosphorus ions have a similar mass as silicon ions. For energies less than 5 keV, Monte Carlo simulation is used for silicon implantation.

Pattern-actions for initial conditions after implant


Most pattern-actions are dedicated to setting the initial conditions after ion implantation. The parameters are set depending on the implant species and, in some cases, also as a function of implant energy and dose. In particular, the following two parameters are set:

act.amor: The maximum active concentration of the implanted dopants in amorphized regions. If the dopant concentration exceeds act.amor, the surplus is put into dopant

clusters.

c1.ini.f: The fraction of dopants that is clustered in non-amorphized regions.

Furthermore, for all dopants, act.ni=0 and c1frac.a=1. All dopants that are in clusters after ion implantation should be in transient dopantdefect clusters. This is a logical consequence of switching off the other clusters of the dopant activation model act.full (see Dopant clusters on page 117).

Complex models
A set of complex models can be invoked by typing the command:
method advanced version=2008.09.a

or uncommenting the corresponding line in the startblock. The file s4advanced.2008.09.a will be sourced in that case. This file contains calibrated parameters for the following models:

Complex interstitial-clustering model taking SMICs and {311} defect formation into account. Boroninterstitial clustering (BIC) model. Arsenicvacancy clustering model.

Advanced Calibration User Guide A-2008.09

123

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

8: Contents of Advanced Calibration of TSUPREM-4 Contents of AdvCal_1d_tables_2008.09.smr

The model parameters were translated from the Advanced Calibration for Sentaurus Process (AdvancedModels mode). The five-stream pair diffusion model is used along with the above models when the complex model mode is selected. Switching on the complex models results in a significant CPU time increase because of the increased total number of equations to be solved. Therefore, using the complex models is recommended for when the basic set models cannot predict the experimentally observed trends (flash lamp, laser, low-temperature annealing) as well as for fundamental research.

Complex interstitial-clustering model


The advanced interstitial-clustering model includes three equations for small interstitial clusters (I2, I3, I4) and two equations for the density of {311} defects and the density of interstitials bound in {311} defects (two moments) [11]. It is selected by the following command:
interstitial silicon cl.full cl.2m

The high interstitial supersaturation in the initial TED stage can be reproduced using the advanced model. A comparison of simulation and experimental results is shown in Figure 14 for silicon implantation and subsequent annealing at different temperatures. The model accurately predicts two TED stages observed for low temperatures.
108 107 106 Interstitial Supersaturation 105 104 103 102 101 100 0 10

800C, simulation 800C, experiment 700C, simulation 700C, experiment 600C, simulation 600C, experiment

101

102 Time [s]

103

104

105

Figure 14

Interstitial supersaturation as a function of annealing time. Silicon was implanted at 40 keV with a dose of 2 x 1013 cm2. Annealing was performed at 600oC (red), 700oC (blue), and 800oC (black). There is a good agreement between simulations (solid lines) and experiments (squares). Experimental data points are extracted from [12].

124

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

8: Contents of Advanced Calibration of TSUPREM-4 Contents of AdvCal_1d_tables_2008.09.smr

Interstitials generated by the implantation are assumed to be in a free state at the beginning of annealing when the complex model is used. It is different from the basic 1Moment model for which excess interstitials are assumed to be mostly bound in {311} defects at the initial annealing stage.

BIC model
According to the implemented model, there are six types of BIC: B2, B2I, B2I2, B3I, B3I2, and B3I3. All the clusters are neutral. An example of a B2I2 definition is:
impurity imp=bor2i2 tif.name=B2I2 new neutral c.init=1.e2 impurity imp=boron imp.ddc=bor2i2 ddc.n.d=2 ddc.n.i=2ethod precond=2

The dopantdefect clustering full-dynamics (ddc.full) model is switched on to simulate the growth of BICs, and the formation of single clusters used in the basic model set is switched off:
method ddc.full impurity mater=silicon imp=boron cm.sec + ddcf.i.n=0 ddcr.i.n=0 ifracm=0 ddcf.d.n=0 ddcf.n.n=0 ddcr.n.n=0 + ddc.f.0=0.0 ddc.f.e=0.0 ddc.t.0=0.0 ddc.t.e=0.0 NOTE

Since the ddc.full model is activated, the carboninterstitial clustering model is always used when the complex model set is chosen.

Figure 15 shows the reaction pathway. The most stable clusters are B3I and B3I2. All charge states of point defects and boronpoint defect pairs are taken into account in the model. The reaction rates are determined by the parameters ddc.kf.0, ddc.kf.e, ddc.eq.0, and ddc.eq.e:
$ B2I1 + I <-> B2I2 impurity imp=boron mat=silicon ddc.r=bor2i2 i.ddc c.i.all + ddc.kf.0=2.6094e-5 ddc.kf.e=1.77 ddc.eq.0=1.0 ddc.eq.e=-0.132 cm.sec
B2 I,V B BI B2I I,V BI BI BI B3I I,V

BI

B2I2 BI B3I3 I,V

B3I2

Figure 15

Reaction pathway for BIC model

Advanced Calibration User Guide A-2008.09

125

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

8: Contents of Advanced Calibration of TSUPREM-4 Contents of AdvCal_1d_tables_2008.09.smr

The initial boron activation level after implantation is defined using the parameters act.amor and ddctot.c, which is similar to the basic model set method. The initial concentration of different cluster types in the amorphized and crystalline silicon is calculated according to the parameters ddcfracc and ddcfraca, respectively. By default, these parameters are equal to 5 1.0 for B2 and 10 for other BICs.

Arsenicvacancy clustering model


The arsenicvacancy clustering model implementation is similar to that for the BIC model. The formation of six cluster types are considered: As2, As2V, As3, As3V, As4, and As4V. The most stable clusters are As4V. The clustering reactions are chosen according to the model published by Pinacho et al. [13]. Figure 16 shows the reaction pathway.
As As2 As As3 3 As4

AsV

AsI

AsI

AsV

As2V

As3V

As4V

Figure 16

Reaction pathway for the arsenicvacancy clustering model

After implantation, it is assumed that the clustered arsenic is in As2. It is determined by the parameters ddcfracc and ddcfraca:
impurity impurity impurity impurity impurity impurity imp=arsenic imp=arsenic imp=arsenic imp=arsenic imp=arsenic imp=arsenic mat=silicon mat=silicon mat=silicon mat=silicon mat=silicon mat=silicon ddc.r=as2v0 ddc.r=as3v0 ddc.r=as4v0 ddc.r=as2v1 ddc.r=as3v1 ddc.r=as4v1 ddcfracc=1.0 ddcfracc=1.0e-5 ddcfracc=1.0e-5 ddcfracc=1.0e-5 ddcfracc=1.0e-5 ddcfracc=1.0e-5 ddcfraca=1.0 ddcfraca=1.0e-5 ddcfraca=1.0e-5 ddcfraca=1.0e-5 ddcfraca=1.0e-5 ddcfraca=1.0e-5

Modifying initial conditions after implant


Using the complex model set required a new calibration of initial conditions after implantation. First, the +N-model factors were modified. Corresponding changes can be found in s4advanced.2008.09.a. Second, initial active dopant and cluster concentrations were calibrated. The corresponding parameters act.amor, ddctot.c, and ddctot.a were included in s4advanced.2008.09.a and AdvCal_1d_tables_2008.09.smr.

126

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

8: Contents of Advanced Calibration of TSUPREM-4 References

s4advanced.2008.09.a contains constant parameter values. Parameters defined in AdvCal_1d_tables_2008.09.smr depend on the implant energy and dose. They give a

better agreement between simulation results and experiments. When using complex models, initial conditions marked by "# Initial condition for basic models" in AdvCal_1d_tables_2008.09.smr should be deactivated by Condition(0), and initial conditions marked by "# Initial condition for complex models" should be switched on by Condition(1)(see Appendix A on page 197). For example:
# Initial condition for basic models Condition (0) if ( implantation && element==arsenic ) { prepend {impurity impurity=arsenic mat=silicon act.ni=0.0 c1frac.a=1.0 + act.amor=2.0e20*($energy+0.5)/$energy c1.ini.f=0.6 ddctot.c=0.6} } # Initial condition for complex models Condition (1) if ( implantation && element==arsenic ) { prepend {impurity impurity=arsenic mat=silicon act.ni=0.0 + act.amor=1.5e21*$energy/($energy+10.0)*($dose+1.e14)/($dose+3.e14) + ddctot.c=1.0} }

References
[1] [2] [3] [4] [5] [6] F. J. Morin and J. P. Maita, Electrical Properties of Silicon Containing Arsenic and Boron, Physical Review, vol. 96, no. 1, pp. 2835, 1954. P. A. Stolk et al., Physical mechanisms of transient enhanced dopant diffusion in ionimplanted silicon, Journal of Applied Physics, vol. 81, no. 9, pp. 60316050, 1997. H. Saleh et al., Energy dependence of transient enhanced diffusion and defect kinetics, Applied Physics Letters, vol. 77, no. 1, pp. 112114, 2000. S. T. Dunham and C. D. Wu, Atomistic models of vacancy-mediated diffusion in silicon, Journal of Applied Physics, vol. 78, no. 4, pp. 23622366, 1995. A. N. Larsen et al., Heavy doping effects in the diffusion of group IV and V impurities in silicon, Journal of Applied Physics, vol. 73, no. 2, pp. 691698, 1993. V. C. Venezia et al., Dopant redistribution effects in preamorphized silicon during low temperature annealing, in IEDM Technical Digest, Washington, DC, USA, pp. 489492, December 2003. P. Pichler, Intrinsic Point Defects, Impurities, and Their Diffusion in Silicon, Computational Microelectronics, Vienna: Springer, 2004.

[7]

Advanced Calibration User Guide A-2008.09

127

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

8: Contents of Advanced Calibration of TSUPREM-4 References

[8] [9]

H. Rcker et al., Suppressed diffusion of boron and carbon in carbon-rich silicon, Applied Physics Letters, vol. 73, no. 12, pp. 16821684, 1998. P. Lavant et al., Engineering the diffusion behavior of dopants (B, Sb) in silicon by incorporation of carbon, Nuclear Instruments and Methods in Physics Research B, vol. 186, no. 14, pp. 292297, 2002.

[10] V. Moroz et al., Optimizing boron junctions through point defect and stress engineering using carbon and germanium co-implants, Applied Physics Letters, vol. 87, p. 051908, August 2005. [11] C. Zechner et al., Accurate and efficient TCAD model for the formation and dissolution of small interstitial clusters and {311} defects in silicon, Materials Science and Engineering B, vol. 124-125, pp. 401-403, December 2005. [12] N. E. B. Cowern et al., Energetics of Self-Interstitial Clusters in Si, Physical Review Letters, vol. 82, no. 22, pp. 44604463, 1999. [13] R. Pinacho et al., Modeling arsenic deactivation through arsenic-vacancy clusters using an atomistic kinetic Monte Carlo approach, Applied Physics Letters, vol. 86, p. 252103, June 2005.

128

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

CHAPTER 9

Guidelines for additional calibration


This chapter provides guidelines for additional calibration.

The Advanced Calibration file is a starting point for accurate process simulation with TSUPREM-4. However, the Advanced Calibration cannot fully replace an additional calibration by the user for 2D applications. With a customized process calibration, the accuracy can always be further increased for any technology of interest. A customized calibration of process and device simulation models needs to be performed by the user or can be requested from Synopsys in the context of a customer service project. To further improve the Advanced Calibration, Synopsys appreciates feedback from customers regarding the accuracy obtained with the parameter files for different process conditions and suggestions for improved models or parameter values. The Advanced Calibration of TSUPREM-4 is widely based on the Advanced Calibration of Sentaurus Process. Therefore, Chapter 3 on page 43, which is dedicated to process simulation with Sentaurus Process, also applies to the TSUPREM-4 Advanced Calibration and is recommended for reading. Limitations of the Advanced Calibration of TSUPREM-4 are described and the most important tuning parameters for standard applications are summarized. All changes should be performed by editing the Advanced Calibration file of TSUPREM-4. To do so, make a local copy of AdvCal_1d_tables_2008.09.smr, rename it, and use the Merger GUI or a text editor to change models, parameters, or pattern-actions in the local copy. The default version of AdvCal_1d_tables_2008.09.smr is located in the TSUPREM-4 library.

Accuracy and limitations of Advanced Calibration of


TSUPREM-4
The Advanced Calibration of TSUPREM-4 has the same average accuracy as the Advanced Calibration of Sentaurus Process. Calibration limitations are described in Chapter 3 on page 43. A summary is presented:

Oxidation-enhanced diffusion (OED) may be overestimated for wet oxidation. Interstitial trapping by fluorine atoms cannot be simulated.

Advanced Calibration User Guide A-2008.09

129

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

9: Guidelines for additional calibration Fine-tuning parameters

Parameters of the arsenic and phosphorus activation models are not well calibrated for 22 3 extremely high concentrations (close to 10 cm ) and low temperatures. There may be a significant difference between simulation results and experimental data in the case of co-diffusion of arsenic and phosphorus. Indium redistribution during annealing after amorphizing implantation cannot be simulated correctly.

Fine-tuning parameters
The Advanced Calibration provides a good starting point for accurate process simulation. However, to match the simulation results precisely with experimental data, an additional finetuning of the models is helpful. In total, many parameters are used in the models. This section gives recommendations as to which parameters to use for additional calibration. Table 3 summarizes the fine-tuning parameters. Essentially, the recommendations are the same as those for the additional calibration of Sentaurus Process (see Chapter 3 on page 43), but the parameters are different in TSUPREM-4.
Table 3 Fine-tuning parameters in Advanced Calibration of TSUPREM-4
Sentaurus Process analogue Recommended use

TSUPREM-4 parameters

theta.0, theta.e cl.kr.0, cl.kr.e

theta Ikr

OED calibration. TED calibration. Adjustment of the diffusion profile tail. Adjustment of the dopant profile tail in the case of using the five-stream diffusion model. Adjustment of B diffusion profiles in the high and medium concentration regions. Adjustment of As and P diffusion profile depth and concentration level at the interface. Final fitting in the tail region. Final fitting in the high concentration region. Final fitting in the medium concentration region.

r.i.s, e.i.s, r.v.s, e.v.s ddc.t.0, ddc.t.e

kfKickOut

CluRate (~1/ddc.t)

q.max.0, q.max.e

CMax

d.plus act.amor c1.ini.f

ifactor AmInit AcInit

130

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

9: Guidelines for additional calibration Fine-tuning parameters

Point-defect parameters
The basic point-defect parameters (diffusivities, equilibrium concentration, and charge states) influence the simulation results of all dopants. Therefore, it is not recommended to tune them for the purpose of improving the accuracy of the diffusion of one particular dopant. The only recommended point defect calibration parameter is the fraction of consumed silicon atoms that are injected as interstitials during oxidation (theta.0, theta.e). To adjust OED in different ambients, edit the following line containing the default values:
interstitial silicon /oxide theta.0=7.3e4 theta.e=2.1

Interstitial clusters
TED after ion implantation is described by the one-moment model for interstitial clusters. This model is simple and fast, but still accurate enough for most applications. For a discussion of the limitations of this model, see Clusters of interstitials on page 45. Within this model, the best way of tuning the time and temperature dependence of TED is the adjustment of the cluster dissolution rate. It can be performed by changing the default parameter values in the line below:
interstitial silicon cl.kr.0=6.996e16 cl.kr.e=3.935

The effect of changing cl.kr.0 is illustrated in Figure 4 on page 46. cl.kr.0 and cl.kr.e correspond to the parameter Ikr in Sentaurus Process.

Dopant parameters
Diffusion
TED after ion implantation can be modified by increasing or lowering the number of interstitials generated by dopant implant. Such a modification needs to be performed by editing the formulas for the calculation of the parameter d.plus in the pattern-actions section. Increasing the number of interstitials generated by an implant will mainly increase the diffusion in the tail of the dopant profile. If the five-stream model is used, the capture radius and the energy barrier for the formation of dopantdefect pairs are critical parameters, which govern the average migration length between pair formation and pair dissolution. Therefore, in the five-stream model, to adjust the average pair migration length, change the parameters r.i.s, e.i.s, r.v.s, and e.v.s. This can be performed for each charge state separately.

Advanced Calibration User Guide A-2008.09

131

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

9: Guidelines for additional calibration Fine-tuning parameters

For example, you can define the capture radius value for neutral BI pairs in the following line:
impurity imp=boron mater=silicon c.inter=1 r.i.s=6.68e-1

The TSUPREM-4 Advanced Calibration file does not contain any special models for the diffusion of P in regions with a very high As concentration. The simulation of the co-diffusion of As and P is not very accurate for all possible conditions. One method to tune P diffusion in regions of high As concentration is to introduce diffusion enhancement coefficients for PI and PV pairs, which depend on the local As concentration. The corresponding TSUPREM-4 commands are:
impurity imp=phosphorus mater=silicon di.fac=... impurity imp=phosphorus mater=silicon dv.fac=...

where "..." must be some function of the local arsenic concentration, which has the value of 1 for low arsenic concentration.

Activation
The same dopant activation model is used as in the Advanced Calibration of Sentaurus Process. Refer to the detailed discussion in Chapter 3 on page 43. The rate of cluster dissolution is the most widely used parameter for the fine-tuning of the junction depth of boron ultrashallow junctions. Its influence is illustrated in Figure 17 on page 133. To adjust the clustering rate, modify the cluster dissolution time values defined by ddc.t.0 and ddc.t.e:
impurity mater=silicon imp=boron ddc.t.0=8.0e-21 ddc.t.e=-4.7

The cluster dissolution time is inversely proportional to the cluster dissolution rate defined by the parameter CluRate in Sentaurus Process. For PMOS extension formation after BF2 implant, where the temperature cycle often includes a nitride spacer deposition at 700 C followed by a spike anneal, it may be necessary to increase the cluster dissolution time significantly, as explained in PMOS extension formation on page 50.

132

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

9: Guidelines for additional calibration Fine-tuning parameters

1021

ddc.t.0 = 3.2 x 10-20 ddc.t.0 = 8.0 x 10-21 (default) ddc.t.0 = 2.0 x 10-21

1020

Boron [cm-3]

1019

1018

1017

50

100

Depth [nm]

Figure 17

Effect of boron cluster dissolution time prefactor ddc.t.0 on final shape of a boron profile after 2 keV 2 x 1015 cm2 boron implantation and spike annealing at 1050oC

1020

q.max.0 = 1.8 x 1015 (default) q.max.0 = 6.0 x 1014 q.max.0 = 3.0 x 1014

1019

Phosphorus [cm-3]

1018

1017

1016

50

100

Depth [nm]

Figure 18

Effect of q.max.0 on simulation results for phosphorus USJ formation; graphs were calculated for 2 keV 1015 cm2 P implantation, followed by spike anneal at 1080oC

Advanced Calibration User Guide A-2008.09

133

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

9: Guidelines for additional calibration Fine-tuning parameters

Segregation
Additional fine-tuning of dopant dose loss becomes important in the case of ultrashallow junction formation simulation. The maximum trap density in the SiSiO2 interface defined by q.max.0 and q.max.e is the most powerful calibration parameter for such an adjustment. That parameter is widely used to change the simulated depth of arsenic and phosphorus diffusion profiles. An example of the definition of the maximum phosphorus trap density is:
impurity impurity=i_phosphorus mat=oxide /mat=silicon q.max.0=1.8e15

Increasing the trap density results in shallower simulated diffusion profiles as shown in Figure 18 on page 133.

Indium dose loss


The calibration of indium diffusion and dose loss is very accurate for non-amorphizing conditions and usually does not require additional fine-tuning. For high-dose indium implantation, the accuracy is limited, as discussed in Indium diffusion and activation on page 54. TSUPREM-4 offers a very simple, but effective way to adjust the indium dose loss after high-dose indium implantation. You can cut off the indium concentration in amorphized regions by setting the parameter max.amor, for example:
impurity mat=silicon imp=indium max.amor=7e18

As-implanted dopant profiles


By default, the Taurus implantation tables are used for the calculation of as-implanted dopant profiles. These are very accurate for most implantation conditions. For ion implants with energy less than 5 keV, the Sentaurus Process implant tables give a better accuracy in most cases. However, these have a different format and are not provided in the TSUPREM-4 libraries. A translation of the Sentaurus Process implant tables to the TSUPREM-4 readable format is available from Synopsys upon request. For highly accurate 2D profiles in arbitrary device geometries, Monte Carlo implantation can be used.

134

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

9: Guidelines for additional calibration Fine-tuning parameters

Polysilicon
The Advanced Calibration file does not include a calibration of the dopant diffusion in polysilicon and the out-diffusion of dopants from polysilicon.

Dopant penetration through gate oxide


The dopant penetration through gate oxide has not been calibrated. This is a difficult task because, instead of pure oxide, oxynitride is commonly used as the gate dielectric material. You can completely suppress the dopant diffusion from polysilicon through the gate oxide into the channel region by setting the transfer coefficient at the polysiliconoxide interface to 0.

Advanced Calibration User Guide A-2008.09

135

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

9: Guidelines for additional calibration Fine-tuning parameters

136

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

Part IV Advanced Calibration in Dios

This part of the Advanced Calibration manual contains the following chapters: Chapter 10 Using Advanced Calibration files of Dios on page 139 Chapter 11 Contents of Advanced Calibration files of Dios on page 149 Chapter 12 Dios calibration method and limitations on page 177

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

CHAPTER 10

Using Advanced Calibration files of Dios


This chapter explains the use of the Dios Advanced Calibration.

Parameter files
Advanced Calibration is a selection of physical models and model parameters, which is recommended by Synopsys to be used for accurate process simulation. In Dios, this selection of models and parameters is contained in four parameter files (Dios Rules files) named:

AdvCal_1d_tables_2005.10.dmr AdvCal_1d_CTRIM_2005.10.dmr AdvCal_2d_nMOS_2005.10.dmr AdvCal_2d_pMOS_2005.10.dmr

They are located in the directory $STROOT/tcad/$STRELEASE/lib/dioslib. The choice of models and parameters is mostly the same for all four files, and the few differences are indicated by the file names:

AdvCal_1d_tables_2005.10.dmr is a calibration file for 1D simulations. A mesh for

1D simulations is included. All implantations are performed by using analytic tables.

AdvCal_1d_CTRIM_2005.10.dmr is a calibration file for 1D simulations. A mesh for

1D simulations is included. All implantations are performed by using Crystal-TRIM. All parameters for diffusion are the same as in the file AdvCal_1d_tables_2005.10.dmr.

AdvCal_2d_nMOS_2005.10.dmr is a calibration file for 2D NMOS simulations. All

implantations are performed by analytic tables. Some diffusion parameters are set according to typical needs for NMOS simulation.

AdvCal_2d_pMOS_2005.10.dmr is a calibration file for 2D PMOS simulations. All

implantations are performed by analytic tables. Some diffusion parameters are set according to typical needs for PMOS simulation. The parameter files can be merged with a Dios input file, which contains only the pure process flow without any choice of physical models and parameters, using the Merger application. This is the recommended way of using the Dios Advanced Calibration and is described in Merging a process flow and parameter file with Merger on page 140 and Appendix A on page 197.

Advanced Calibration User Guide A-2008.09

139

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

10: Using Advanced Calibration files of Dios Merging a process flow and parameter file with Merger

NOTE

The Merger application is part of Sentaurus Workbench Advanced. Merger tests if the corresponding license (swb-advanced) exists at the user site, but does not block the license.

For additional calibration, the parameter files can be copied to a local directory and edited with any standard text editor. The contents of the files is explained in detail in Chapter 11 on page 149. As an alternative to using the Merger tool, it is possible to insert selected model switches and parameters directly in the Dios input files (see Inserting parameters directly on page 143). In Crystal-TRIM or implantation tables? on page 146, some recommendations are given as to when to use Crystal-TRIM (calib_par_100_CTRIM.cmd) and when to use tables (calib_par_100_tables.cmd) for implantations.

Merging a process flow and parameter file with Merger


Overview
Three types of information are needed to perform a process simulation:

The device fabrication process to be simulated. This includes the substrate doping and all implantation, diffusion, oxidation, deposition, and etching steps. The choice of physical models and model parameters to be used in the process simulation. The choice of the mesh for the process simulation.

With the Merger application (see Appendix A on page 197), the information about the fabrication process and information about the physical models and parameters can be separated into two different files:

The Merger file contains the process flow. The Dios Rules file contains the choice of physical models and parameters.

The commands for creating and refining the mesh are part of either the Merger file or Dios Rules file. The Merger application inserts the information contained in the Dios Rules file correctly into the process flow contained in the Merger file and, thereby, creates a complete Dios input file.

140

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

10: Using Advanced Calibration files of Dios Merging a process flow and parameter file with Merger

The separation between the process flow and the choice of physical models and parameters has several advantages:

The Merger file, which contains only the process flow, is easy to read and edit, even for non-TCAD experts. It is easy to ensure that the same process simulation models are applied for 1D simulation tasks (SIMS data calibration) and 2D or 3D simulation tasks (full process and device simulation). All calibration work is contained in the Dios Rules file. A single Rules file can be applied to different process flows. This makes it easier to transfer calibration results to another technology node.

Dios Rules files


Each of the Dios Advanced Calibration files can be selected as a Dios Rules file and used by the Merger application. For additional calibration, you can make a local copy of the Dios Rules file and edit it with a text editor, or with the graphical user interface (GUI) of Merger (see Editing rules with Merger Editor on page 203). The Dios Rules file consists of five sections. The first two are very short and specify the process simulator and the title of the Rules file, for example:
Simulator { DIOS } Title {1D Advanced Calibration 2005.10}

The other three sections are a gridblock, a startblock, and a list of pattern-actions. The gridblock has the format:
Gridblock { ... }

It is either empty or it contains the initial simulation mesh and the criteria for mesh refinement. If the gridblock is empty, as in the Advanced Calibration files for 2D NMOS and PMOS simulation, AdvCal_2d_nMOS_2005.10.dmr and AdvCal_2d_pMOS_2005.10.dmr, you need to provide the information about the initial grid and mesh refinement in the Merger file, which contains the process flow. The startblock has the format:
Startblock { ... }

Advanced Calibration User Guide A-2008.09

141

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

10: Using Advanced Calibration files of Dios Merging a process flow and parameter file with Merger

It contains all model switches and parameters that are intended to be used as the default for the whole process simulation. When merging the Merger file with the Dios Rules file, the gridblock and startblock are inserted at the very beginning of the complete Dios input file. Some physical parameters depend on special process steps. For example, the initial activation of implanted dopants depends on the details of an ion implantation. For such physical parameters, it is impossible to fix their values once at the beginning for the whole process simulation. Instead, the values must be set where needed. For example, the initial activation of boron atoms after boron implantation needs to be set for each boron implantation individually, depending on the implantation energy and dose. All these process steprelated parameters are contained in the last part of the Dios Rules file, the list of pattern-actions. For example, a typical pattern-action of a Dios Rules files is:
Condition (1) if { implantation && element==B && energy>5 ) { append {diff:(acinit=3e17)} }

Having this pattern-action in the Dios Rules file, Merger will evaluate it for all implantation statements of the process flow if the element is boron and the implantation energy is greater than 5 keV. For each implantation statement where this is the case (that is, where the pattern is found), the line:
diff:(acinit=3e17)

will be inserted in the merged Dios input file immediately after the implantation statement. This line will set the initial activation of as-implanted dopants in non-amorphized silicon to 17 3 3 10 cm . A detailed reference to the syntax of pattern-actions is given in Merger Rules syntax reference on page 216.

Merging a Merger file and Dios Rules file with Merger


The Merger application is used to merge the Merger file and the Rules file to a complete Dios input file. This can be performed interactively or in batch mode. The interactive mode is started by typing:
merger

A graphical user interface (GUI) opens, the Merger file and Dios Rules file can be loaded, and the merging can be performed. A full description of the interactive uses of Merger is in Appendix A on page 197.

142

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

10: Using Advanced Calibration files of Dios Inserting parameters directly

In batch mode, without starting the GUI, Merger can be started from the command line, for example:
merger -batch -process process_flow.txt -rules AdvCal_1d_tables_2005.10.dmr -output full_dio.cmd

This

line will merge the Merger file process_flow.txt and Rules file AdvCal_1d_tables_2005.10.dmr, and will create the complete Dios input file full_dio.cmd. In Sentaurus Workbench projects, it is convenient to execute Merger in batch mode, without starting the GUI. This allows you to insert the physical models and parameters to process flow files for many different split conditions. A single Dios Rules files is merged with many Merger files, each representing one of the process split conditions, which were previously preprocessed by Sentaurus Workbench. Dios prologue on page 221 describes how to configure the Dios prologue of Sentaurus Workbench for an automatic merging of preprocessed process flow files.

Inserting parameters directly


Guidelines
The calibrated parameters can be used without using the Merger tool for merging a process flow with an Advanced Calibration file. In this case, the model switches and parameters are written directly in the input files of the process simulator. This approach is less convenient and elegant than a complete separation between the process flow in one file and the physical parameters in another file. Users who want to merge the complete information of an Advanced Calibration file to the Dios input file by hand should proceed in the following way:

Insert the contents of the gridblock at the beginning of the Dios input file. Insert the contents of the startblock immediately after the substrate command. Check for all diffusion and implantation commands of the process flow if one or several patterns of the pattern-actions section of the Advanced Calibration file apply. If yes, insert the corresponding parameter values at the corresponding diffusion or implantation command, in the way specified by the corresponding pattern-action.

Advanced Calibration User Guide A-2008.09

143

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

10: Using Advanced Calibration files of Dios Inserting parameters directly

One-dimensional example: Direct use of Advanced Calibration parameters


In this section, a simple example shows how the parameters can be used by direct entries into a Dios input file. Consider an arsenic implantation into a flat wafer with a natural oxide layer. The process information can be described by three Dios commands:
subs(elem=B concentration=1e16) depo(mat=oxide, thick=0.0015) impl(elem=as,energy=30,dose=2e14,tilt=0) ! p-type substrate ! natural oxide ! implantation

In this example, to make a complete Dios input file, the mesh and model information from the Advanced Calibration file AdvCal_1d_tables_2005.10.dmr will merge with the above three lines, following the guidelines described in Guidelines on page 143. First, it is necessary to insert the contents of the gridblock of

AdvCal_1d_tables_2005.10.dmr at the beginning of the Dios input file.

Next, the contents of the startblock of AdvCal_1d_tables_2005.10.dmr is inserted immediately after the subs command of the Dios input file. Finally, it is necessary to check, for all implantation and diffusion commands if patterns apply from the pattern-actions part of the file AdvCal_1d_tables_2005.10.dmr. In this simple example, there is only one implantation statement. By checking the list of pattern-actions, you can find the following two pattern-actions, which apply to the arsenic implantation under consideration:
Condition insert append } Condition insert } (1) if ( implantation && element == As ) { {vfactor=0.1*$energy/(20+$energy)} {diff:(siox(as(sg0=700/(20+$energy)*($dose+1e15)/($dose+5e14))))} (1) if ( implantation && element == As && dose>=2e13 && dose<3e14 ) { {table="As_1e12-5e13.tab" $channel}

By applying these two pattern-actions, the line:


impl(elem=as,energy=30,dose=2e14,tilt=0)

is modified to:
impl(elem=as,energy=30,dose=2e14,tilt=0,vfactor=0.06 table=As_1e12-5e13.tab $channel) diff:(siox(as(sg0=24)))

144

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

10: Using Advanced Calibration files of Dios Inserting parameters directly

This illustrates how the pattern-actions work: The insert action will insert parameters directly into the implantation statement, and the append action will put a Dios command immediately after the implantation statement. The numeric expressions that depend on implantation energy and dose ($energy, $dose) are evaluated.
channel is a Dios variable, which is initialized AdvCal_1d_tables_2005.10.dmr as an empty string.

in

the

startblock

of

The completely merged Dios input file will look like this:
content of the gridblock subs(elem=B concentration=1e16) content of the startblock depo(mat=oxide, thick=0.0015) impl(elem=as,energy=30,dose=2e14,tilt=0,vfactor=0.06 table=As_1e12-5e13.tab $channel) diff:(siox(as(sg0=24)))

Here content of the gridblock and content of the startblock represent all Dios lines of the gridblock and the startblock, respectively, of the file AdvCal_1d_tables_2005.10.dmr.

Implantation lookup tables


By direct insertion, it is possible to insert only a part of the calibrated parameters, for example, only those parameters that are related to implantation, but not the diffusion parameters. This is recommended for the process simulation of technologies, for which the pair diffusion model used in the Advanced Calibration is too time-consuming. You can select your own diffusion models, but you can still benefit from the high accuracy of the Advanced Calibration implantation tables, which are based on calibrated Monte Carlo simulations [1]. To use one of the tables in Dios, specify its name in the implantation command, according to the implantation species and dose. Examples are:
impl(elem=as, energy=200, dose=1.2e13, tilt=0, table="As_1e12-5e13.tab") impl(elem=B, energy=20, dose=2e15, tilt=0, table="B_16e13-8e15_2003.tab")

The Advanced Calibration implantation tables cover the materials silicon, polysilicon, oxide, and nitride. If other materials are present in a device (for example, resist), the Dios default tables are used. For silicon, dual Pearson functions are used with parameters depending on energy, tilt angle ( 0 60 ), dose, and oxide thickness. For the other materials, single Pearson functions are

Advanced Calibration User Guide A-2008.09

145

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

10: Using Advanced Calibration files of Dios Crystal-TRIM or implantation tables?

used with parameters depending on implantation energy and tilt. Each table covers one implantation species and a limited dose range. Table 4 lists the most accurate tables available in Dios. The first part of the name specifies the implantation species; the second part gives the dose range for which the channeling is described accurately. Since the first version [1], improved tables have been created for B, BF2, and P.
Table 4 Tables for analytic implantation
Low dose Medium dose High dose

Implantation Energy range species [eV]

As B

0.5400

As_1e12-5e13.tab

As_1e13-8e14.tab

As_2e14-6e15.tab

0.2517 (silicon) B_1e12-4e13_2003.tab B_1e13-6e14_2003.tab B_16e13-8e15_2003.tab 0.2480 (other materials) 0.5400 0.33000 1.0400 1.5600 150 BF2_1e12-5e13.tab BF2_1e13-8e14.tab BF2_2e14-6e15_2005.tab

BF2 P In Sb Ge

P_1e12-4e13_2004.tab P_1e13-6e14_2004.tab P_16e13-8e15_2004.tab In_1e12-4e13.tab Sb_1e12-5e13.tab In_1e13-6e14.tab Sb_1e13-5e14.tab In_16e13-8e15.tab Sb_2e14-1e16.tab

Ge_5e13-5e15.tab

Crystal-TRIM or implantation tables?


In Table 5, the two alternatives for simulating ion implantation are compared. Only the as-implanted profiles and, in some cases, the amorphization depth are affected by the choice of the implantation method, while all diffusion parameters are the same for both parameter files.
Table 5 Crystal-TRIM versus lookup tables
Implantation tables

Crystal-TRIM

Long CPU time (especially for high energies) A small statistical noise cannot be completely avoided Accurate simulations in arbitrary 2D structures Physics-based crystal damage accumulation for subsequent implantations No limitation regarding energies

Fast simulation of as-implanted profiles No statistical noise (important for a sensitivity analysis with respect to small changes of process parameters) Limited accuracy for lateral straggling in 2D structures Effect of preceding implantations on ion channeling is taken into account by relatively crude models Not calibrated for very high and extremely low implantation energies

146

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

10: Using Advanced Calibration files of Dios References

The main disadvantage of using Crystal-TRIM is the CPU time needed to perform the simulation of an implantation, in particular, for high implantation energy. It can be lowered by reducing the number of particles, but this will also reduce the accuracy of the Monte Carlo simulation. The tables were generated [1] with the help of Crystal-TRIM, using the same Crystal-TRIM parameters as in the file AdvCal_1d_CTRIM_2005.10.dmr. Therefore, they will usually give approximately the same as-implanted profiles as Crystal-TRIM, with the following exceptions:

In 2D implantations, the lateral straggling is less accurate in the analytic implantation. In cases where the lateral ion scattering is very important (for example, halo implantation into deep submicron MOSFET structures), Crystal-TRIM will give more accurate results. In subsequent implantations where the first implantation reduces the channeling of ions during the latter implantations. In investigations of the influence of the rotation angle on the profile tail. In most of the implantation tables, the influence of the rotation is ignored.

It is possible to create a calibration file, which combines the use of Crystal-TRIM and analytic tables. For example, in a CMOS flow, it is reasonable to perform the well and sourcedrain implantation with the implantation tables, and the extension implantation with Crystal-TRIM. In subsequent implantations without in-between diffusion steps, it is recommended to use either Crystal-TRIM for all implantations or analytic tables for all implantations, because the way Crystal-TRIM represents the crystal damage is ignored by analytic implantations and vice versa.

References
[1] C. Zechner et al., New Implantation Tables for B, BF2, P, As, In and Sb, in 14th International Conference on Ion Implantation Technology (IIT), Taos, NM, USA, pp. 567570, September 2002.

Advanced Calibration User Guide A-2008.09

147

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

10: Using Advanced Calibration files of Dios References

148

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

CHAPTER 11

Contents of Advanced Calibration files of Dios


This chapter explains the physical models and parameters used in the Advanced Calibration for Dios.

Overview
The models are mostly the same for the four calibration files:

AdvCal_1d_tables_2005.10.dmr AdvCal_1d_CTRIM_2005.10.dmr AdvCal_2d_nMOS_2005.10.dmr AdvCal_2d_pMOS_2005.10.dmr

The basic choice of models for all files is described in Equation and model system of Dios Advanced Calibration on page 150. The files AdvCal_1d_tables_2005.10.dmr and AdvCal_1d_CTRIM_2005.10.dmr contain in their gridblocks Dios commands for a 1D process simulation mesh. The files AdvCal_2d_nMOS_2005.10.dmr and AdvCal_2d_pMOS_2005.10.dmr have empty gridblocks because, for 2D simulations, it is not possible to define an optimized mesh for all possible applications. In the remaining sections, the physical models and parameters in the startblock and the patternactions of the Advanced Calibration files are explained. In Contents of AdvCal_1d_tables_2005.10.dmr on page 151, a complete explanation is given for the file AdvCal_1d_tables_2005.10.dmr. In Parameters for Monte Carlo implantation on page 166, the Monte Carlo implantation parameters used in AdvCal_1d_CTRIM_2005.10.dmr are explained. Finally, in Parameters for NMOS and PMOS simulations on page 172, the special model switches and parameters are presented, which are set for the typical needs of NMOS and PMOS simulations in AdvCal_2d_nMOS_2005.10.dmr and AdvCal_2d_pMOS_2005.10.dmr.

Advanced Calibration User Guide A-2008.09

149

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

11: Contents of Advanced Calibration files of Dios Equation and model system of Dios Advanced Calibration

Two general remarks apply to all physical models and parameters used in the Dios Advanced Calibration:

In Dios, an implantation or a diffusion command with a colon is not a process step, but serves to change default parameter values. For example, the command line impl:(dacc=0.8) sets dacc to 0.8 for the subsequent implantations. To use a parameter value only for a single implantation or diffusion command, it can be written directly into the command without a colon, for example: impl(element=as, energy=20keV, tilt=0, dose=1e14, dacc=0.8). All model switches and parameters that are not set in the calibration file have the Dios default values.

The meaning of all parameters used in the Dios Advanced Calibration are also in the Dios User Guide.

Equation and model system of Dios Advanced Calibration


Advanced Calibration is based on the following selection of implantation and diffusion models:

Pair diffusion framework: In silicon, the diffusing species are point defects (interstitials and vacancies) and pairs of a dopant atom and a point defect. Monte Carlo simulation of all implantations or use of analytic tables, which have been calibrated to the results of Monte Carlo simulations. damage+x model for the initial interstitial and vacancy distribution. Equilibrium model for the clustering of interstitials (no distinction between {311}, boroninterstitial clusters, and other cluster types). First-order reaction equations for dopant impurities: There is only one reaction equation per impurity, which governs the relationship between active and total concentrations. Dopant segregation at interfaces. Dopant diffusion in all materials. Dose loss model based on segregation into oxide layer on top of silicon or segregation into the interface layer between oxide and silicon (three-phase segregation model).

150

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

11: Contents of Advanced Calibration files of Dios Contents of AdvCal_1d_tables_2005.10.dmr

Contents of AdvCal_1d_tables_2005.10.dmr
Startblock
The startblock is inserted at the beginning of a Dios input file. In this part, model switches and parameters are set that are valid for the whole process simulation. Furthermore, some Dios variables, which store information on the process history, are initialized.

Basic model selection


The first two lines of the startblock contain the selection of the most fundamental diffusion models. The pair diffusion model is used as the basic framework (moddiff=pairdiffusion). Diffusion is allowed in all materials (newdiff=1, sidiff=off) and segregation boundary conditions are solved (segregation=on). All calibrated parameters for diffusion and segregation are only valid for these basic model selections. The pair diffusion model is essential for the simulation of transient-enhanced diffusion (TED). Segregation boundary conditions are used to simulate the dose loss at siliconoxide interfaces.

Oxidation-enhanced diffusion
The parameters:
diff:(si(i(pox0=2.7e-4 potox=-2)))

are used to model the increased interstitial concentration at moving boundaries of the silicon region during oxidation. potox is used to model the dependence on the Fermi level and should not be changed. pox0 can be considered as a fit parameter to describe the dependency of oxidation enhanced diffusion on the oxidation rate.

Advanced Calibration User Guide A-2008.09

151

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

11: Contents of Advanced Calibration files of Dios Contents of AdvCal_1d_tables_2005.10.dmr

Parameters for B diffusion and segregation


Diffusion in silicon The diffusivity of BI+ pairs has been substantially reduced with respect to the Dios default values:
diff:(si(b(dip0=1.25, di00=0.033, diow=3.26)))1

This was performed to obtain accurate profiles for boron ultrashallow junctions formed by lowenergy B or BF2 implantation and rapid thermal annealing (RTA).
NOTE

For long-time, high-temperature diffusions (for example, 30 minutes at 1000 C ), boron diffusion can be underestimated with si(b(dip0=1.25)). For such conditions, often, better results are obtained with the Dios default value si(b(dip0=2.9618)). Similarly, for the annealing of NMOS well and channel implants, the default value si(b(dip0=2.9618)) often gives more accurate results than the Advanced Calibration value.

si(b(di00, di0w)) plays a minor role compared to dip0. Since it is for neutral BI pairs, it is more effective in the tail region, while dip0 strongly dominates B diffusion in highly

doped regions. Clustering The non-equilibrium clustering model is switched on for boron. After amorphizing 20 3 implantation, the initial active concentration of boron is assumed to be 3.5 10 cm in 22 recrystallized regions. The solid solubility has been calibrated to 2 10 *exp(0.4593eV/ kT), based on the analysis of ultrashallow junction formation of boron with SIMS data. Diffusion after Ge preamorphization The coupling factor diff:(si(b(q(ge=125)))) increases the diffusivity of boron in regions of high Ge concentration. This factor has been calibrated for ultrashallow junction formation, where B is implanted and annealed after a preamorphizing Ge implantation.

1. Dios multiplies all diffusivities of dopant-interstitial pairs by a so-called interstitial efficiency factor ieffz, where z represents one of the charge states mm, m, 0, p, pp. Diffusivities of dopant-vacancy pairs are reduced by (1-ieffz). These factors are not changed from the default.

152

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

11: Contents of Advanced Calibration files of Dios Contents of AdvCal_1d_tables_2005.10.dmr

Dios uses the formula:


0.042 Q C Ge - D = D unperturbed exp ---------------------------------- kT 5e22cm 3

(2)

It should be noted that in epitaxial SiGe layers, a high concentration of Ge is expected to slow down the diffusion of boron, and a negative value of the coupling parameter q is needed. Dose loss Dose loss of B and other dopants is caused by segregation of atoms from silicon into oxide.
ox(b(di0=0.01, diw=3.6)) fixes B diffusivity in oxide to a higher value than default. This increase is necessary because, with the default diffusivity, most of the B in oxide would stay on the first mesh point, which gives rise to numeric errors. siox(b(stc0=1e27, stcw=6)) is the flux parameter. The relatively high value ensures that the segregation equilibrium is established quickly for high temperatures. siox(b(sgw=0)) is the Arrhenius exponent of the segregation coefficient between silicon and oxide. The corresponding Arrhenius prefactor, diff:(siox(b(sg0=value))), is assumed to depend on the B or BF2 implantation conditions, on the annealing atmosphere, and on the process history. It will be set in a pattern-action connected to B implantation. diff:(siox(b(sg0))) is the most important parameter for adjusting the amount of B dose

loss.

Vacancy boundary conditions


Homogeneous Neumann boundary conditions (BCs) are used for vacancies for silicon surfaces:
diff:(siox(v(bctyp=homneumann))) diff:(sini(v(bctyp=homneumann))) diff:(sigas(v(bctyp= homneumann)))

Dirichlet BCs or natural BCs with high recombination velocities are more realistic. Neumann BCs for V are used in the Dios Advanced Calibration to obtain accurate arsenic ultrashallow junction profiles, by avoiding the following difficulty: Dirichlet BCs would fix the concentration of V0 (neutral V) at the surface. In the Dios diffusion model, V0 is also in equilibrium with AsV pairs in all charge states. In a region of very high As surface concentration, many vacancies will diffuse towards the bulk in these AsV pairs. By this mechanism, vacancies can be pumped from the surface region with high As

Advanced Calibration User Guide A-2008.09

153

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

11: Contents of Advanced Calibration files of Dios Contents of AdvCal_1d_tables_2005.10.dmr

concentrations into the bulk. If the vacancy (and AsV pair) concentration at the surface is maintained by a Dirichlet BC, this chemical pump effect leads to a bulk vacancy concentration higher than thermal equilibrium. In combination with the As diffusion coefficients used in the Dios Advanced Calibration, this would result in an overestimation of As diffusion in comparison to SIMS data. It is possible to use realistic natural boundary conditions for V and still obtain accurate simulation results for As diffusion. This is, for example, the case in the Advanced Calibration of Sentaurus Process, which uses different parameters for As and V diffusivity. Unfortunately, it is impossible to perform an exact translation of the complete Advanced Calibration of Sentaurus Process into Dios syntax, because not all models are available in Dios.
NOTE

Changes of point-defect BCs must be performed very carefully because the diffusion of all dopants can be affected.

Parameters for As diffusion and segregation


Diffusion and pairing with point defects The following diffusion and pairing coefficients are changed from the default:
diff:(si(as(dimm0=800 dimmw=4.75 dim0=12 pimm0=3.6e-30 piwmm=-1.75 pv00=2e-26 pv0m=2e-26 dvm0=3. dv00=0.02))

The most remarkable change with respect to the Dios default values is the nonzero value for the diffusivity of As+ I pairs. These pairs are most likely to form in highly n-doped regions, where n/ni >> 1. Therefore, a diffusivity of As+ I pairs will give rise to As diffusion mainly in very highly doped regions and, consequently, contributes to the creation of the well-known box-like shape of arsenic ultrashallow junction profiles. The activation energy for diffusion of As+ I pairs is set to a high value (4.75 eV), therefore, this contribution to diffusion becomes most relevant for very high annealing temperatures. Clustering The commands:
diff:(si(as(modclust=transient aminit=2.5e20 clurate0=2e13 cluratew=6.9 cl0=5.5e25 clw=1.2)))

154

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

11: Contents of Advanced Calibration files of Dios Contents of AdvCal_1d_tables_2005.10.dmr

switch on the non-equilibrium clustering model for arsenic and set the solid solubility, the clustering rate, and the maximum initial substitutional concentration of As atoms in recrystallized regions after amorphizing implants. The non-equilibrium model for arsenic clustering is necessary for the simulation of the clustering kinetics during short-time anneals. Dose loss
ox(as(di0=0.01, diw=3.6)) fixes the As diffusivity in oxide to a higher value than

default. This increase is necessary because, with the default diffusivity, most of the As in oxide would stay on the first mesh point, which gives rise to numeric errors.
siox(as(stc0=1e11)) is the Arrhenius prefactor of the flux parameter. It is set to a very

high value to ensure that the segregation equilibrium between As in silicon and As in oxide is established quickly.
siox(as(sgw=0)) is the Arrhenius exponent of the segregation coefficient between silicon and oxide. The corresponding Arrhenius prefactor, diff:(siox(as(sg0=value))), is

assumed to depend on the arsenic implantation conditions. It will be set in a pattern-action connected to As implantation.

Phosphorus diffusion and dose loss


Phosphorus clustering is switched off (modclust=no). This reduces the number of equations to be solved and helps to speed up the simulation. Phosphorus clustering would only be needed at extremely high P concentrations. Diffusion and pairing with point defects Based on calibration with SIMS data, the following diffusion and pairing coefficients are changed from the default:
diff:(si(p(pi00=1.8e-20 di00=1.568 dip0=0.7))) piw0=-1.125 diow=3.5645

The coupling of P diffusivity to a high As concentration, which was used in earlier versions of the Dios Advanced Calibration, has been reset to 0 (q(as=0)). Dose loss at SiSiO2 interfaces The segregation coefficient is set to 50 (sg0=50, sgw=0), meaning that P prefers to stay at the oxide side of the siliconoxide interface. The flux parameter is set to a high value (stc0=5e12), which ensures that the segregation equilibrium is reached very quickly.

Advanced Calibration User Guide A-2008.09

155

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

11: Contents of Advanced Calibration files of Dios Contents of AdvCal_1d_tables_2005.10.dmr

As in the case of boron and arsenic, the diffusivity of P in oxide is increased with respect to the literature value. This is necessary because, otherwise, most of the segregated P in oxide would remain near the first mesh point inside the oxide, which can cause a numeric error.

Indium diffusion and dose loss


For indium, the non-equilibrium clustering model is used (modclust=transient). This is needed to reproduce indium peaks that may form after amorphizing indium implantation and subsequent annealing at the original amorphouscrystalline interface. The diffusivity (di00=1.568, di0w=3.5645, dip0=0) is set according to the literature [1]. The pairing coefficient for InI pairs (pi00=3.5e-27) has been calibrated with SIMS data from the simultaneous diffusion of B and In. At the SiSiO2 interface, indium atoms segregate into the oxide. The segregation coefficient (sg0=1e5, sgw=0) is set to a very high value, meaning that essentially all indium atoms that reach the SiSiO2 interface during annealing will exit the silicon.

Antimony diffusion and segregation


The diffusivity of Sb in silicon (dv00=0.2568) has been calibrated with SIMS data of Sbimplanted wafers that were annealed for a long time at high temperatures. The non-equilibrium clustering model is switched on for Sb (modclust=transient). Solid solubility (cl0) and the clustering rate (clurate0, cluratew) have been calibrated with sheet resistance data from Sb-implanted wafers that were annealed for a long time at various temperatures.

General implantation defaults


Some general defaults are defined for ion implantation. These model settings and parameter values are used for all implantation steps in which no other values are specified explicitly. For each implantation step, it is possible that some of these defaults are overwritten by specifying different models or parameter values as arguments of the implantation command. For example, in several pattern-actions for ion implantation, the value for the damage scaling factor ifactor is specified as a function of implantation species, energy, and dose, and inserted directly as an argument into an individual implantation command. This is explained in more detail in Pattern-actions on page 158. In the startblock, the general implantation defaults are set by the commands:
impl:(damage=+1, itrapfactor=0, fitasimplanteddose=off) impl:(ifactor=1, vfactor=0, afactor=1, amorphization=hobler)

156

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

11: Contents of Advanced Calibration files of Dios Contents of AdvCal_1d_tables_2005.10.dmr

damage=+1 switches on the damage+x model. The concentration of point defects after implantation is the product of the as-implanted dopant concentration and a factor (ifactor for interstitials and vfactor for vacancies). ifactor is a very important parameter for transient-enhanced diffusion (TED). Increasing ifactor increases the TED of boron. itrapfactor=0 means that no interstitial traps are created by ion implantation. fitasimplanteddose is a switch for a numeric correction. After implantation, the as-

implanted dose is integrated. On coarse meshes, this dose may deviate from the expected dose due to numeric errors. With fitasimplanteddose=on, the as-implanted profile is rescaled to match the specified dose exactly. With fitasimplanteddose=off, no such rescaling is performed. The reason for switching off the rescaling is that, in 2D simulation, the mesh is usually fine in the important device regions and coarse in the less important regions. A constant rescaling of the dose in the whole device can transport a numeric error from the regions with a coarse mesh to regions with a fine mesh. The model switch amorphization=hobler and the parameter afactor=1 mean that the amorphization by ion implantation is calculated with the analytic model developed by Hobler and Selberherr [2] without additional scaling.

Initializing process history


The Advanced Calibration files use five Dios variables to track the process history. In the startblock, these variables are initialized. In some pattern-actions, the value of these process history variables are used to calculate a physical model parameter depending on the process history. In some pattern-actions, for example preamorphizing implantations, the values of the variables are changed. The history variables have the following meaning:

sgfac is a coefficient that is used to calculate the boron segregation coefficient at the

SiSiO2 interface as a function of B implantation conditions. In the initialization, this factor is set to 1. sgfac is used in some of the pattern-actions for B and BF2 implantation. sgfac is reduced to 0.04 in a pattern-action for Si implantation, reflecting the experimental observation that boron dose loss is reduced in processes where the B implantation follows a preamorphization step by Si implantation.

SG_B is the default value for the boron segregation coefficient at the SiSiO2 interface. It will be changed in the pattern-actions for low-energy B and BF2 implantation, to adjust the B dose loss. after_PAI is a Boolean variable: 0 is the default value. After Ge or Si implantation steps, after_PAI will be set to 1 until the next diffusion command. It then indicates that a preamorphization step has been performed. The value of after_PAI is used in a pattern-

action to calculate the initial boron activation after BF2 ion implantation.

Advanced Calibration User Guide A-2008.09

157

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

11: Contents of Advanced Calibration files of Dios Contents of AdvCal_1d_tables_2005.10.dmr

PAI_cl0 is a string, which is inserted as an argument to all diffusion commands. Initially, it is an empty string and, therefore, has no effect. After some amorphizing implantations, PAI_CL0 will be redefined by pattern-actions. It then includes a redefinition of the interstitial solid solubility diff:(si(i(cl0))), which is meant to reflect the existence of more stable interstitial clusters (for example, dislocation loops) after amorphizing ion implantation. channel is a string, which is inserted as an argument to all implantation commands by a pattern-action. By default, it is empty and has no effect. After preamorphizing implantations, which create a lot of crystal damage, channel will be redefined in such a way that insertion into an implantation command reduces the ion channeling. After diffusion, channel is always reset to an empty string. NOTE

To change the value of a previously defined Dios variable, set this variable to undefined in a first step and to the required value in a second step, for example, set after_PAI=undefined followed by set after_PAI=1.

Pattern-actions
The final part of the Advanced Calibration files is a list of pattern-actions. Each pattern-action consists of a pattern and a list of actions. The pattern is checked against all lines of the process flow file, which is to be merged with the calibration file. For each line to which the pattern applies, the actions are performed. These may include:

Inserting arguments into the process flow command. Prepending lines before the process flow command line. Appending lines after the process flow command line.

The patterns and actions supported by the Merger application are explained in Appendix A on page 197. In this section, the pattern-actions of AdvCal_1d_tables_2005.10.dmr are explained, following the order in the Advanced Calibration file.

Pattern-actions for B implantation


The interstitial scaling factor ifactor is set as a function of B implantation energy and dose. ifactor is inserted into the B implantation statement. For high energies and low doses, ifactor is approximately 1. For small energies and high doses, ifactor is reduced in order to obtain less TED of boron. This is needed to obtain a good agreement with SIMS data from boron ultrashallow junction (USJ) formation. The process variable SG_B, which represents the B segregation coefficient at the SiSiO2 interface for inert annealing, is set as a function of implantation energy and the process variable

158

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

11: Contents of Advanced Calibration files of Dios Contents of AdvCal_1d_tables_2005.10.dmr

sgfac. In most cases, sgfac is 1.0 and SG_B is defined as 60*1*($energy+1.)/ ($energy+0.3). Hence, SG_B is 60 for high implantation energies and even higher for low

implantation energies. Such high values for the boron segregation coefficients are needed to model the B dose loss during formation of p-type ultrashallow junctions. At a later time, to set the Dios parameter diff:(si(b(sg0))), SG_B will be multiplied by a correction factor, which depends on the oxygen partial pressure and is 1 for inert atmosphere.
acinit, which specifies the initial active concentration of as-implanted dopants in nonamorphized regions, is set as a function of B implantation energy, according to the formula: $energy<5.0: acinit=3e17+$dose/5e14*(1.5e18-2.4e17*$energy) $energy>=5.0: acinit=3e17

Implanted B atoms in excess to acinit are assumed to be in B clusters at the beginning of the annealing. Increasing acinit also increases B diffusion, because more B is available for BI pairs at the beginning of an anneal, when the interstitial supersaturation is highest. Further pattern-actions for boron, which select the implantation table, are explained in Patternactions for selecting implantation lookup table and ion channeling on page 165.

Pattern-actions for BF2 implantation


After BF2 implantation, acinit is set to 3 10 cm .
17 3

diff:(si(b(aminit))) specifies the initial substitutional concentration of boron in regions that are recrystallized after amorphization. It depends on the value of the process history variable after_PAI and is set as a function of implantation energy:

For after_PAI=0 (in most cases): aminit=3.5e20 For after_PAI=1 (after Si or Ge preamorphization): aminit=1.6e20/$energy**2

After preamorphization, a smaller value of aminit is needed to fit simulation results to SIMS data measured after rapid thermal annealing.
SG_B, which is used as the B segregation coefficient at the SiSiO2 boundary for inert annealing, is set as a function of implantation energy and the history variable sgfac. After BF2 implantation, SG_B is set to even higher values than after B implantation. This reflects the

observation that there is more dose loss after BF2 implantation and annealing, possibly due to the presence of F atoms at the SiSiO2 interface.

Advanced Calibration User Guide A-2008.09

159

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

11: Contents of Advanced Calibration files of Dios Contents of AdvCal_1d_tables_2005.10.dmr

NOTE

Setting different values for the same diffusion parameters (for example, acinit or siox(b(sg0))) in subsequent implantation commands leads to problems. This may occur frequently in the simulation of 2D structures with many implantation steps. In general, the parameters set in the first implantation will be overwritten by the second implantation, which can reduce the accuracy of the simulation. Consistency of parameters on page 194 discusses this situation.

ifactor is reduced to ifactor=0.06*($energy-0.5) for BF2 implantation energies

smaller than 17.16 keV. This is necessary in order to obtain a good agreement with SIMS data from BF2 USJ formation. For higher implantation energies, ifactor is not explicitly specified. The default value 1.0, which has been set in the startblock of AdvCal_1d_tables_2005.10.dmr, is used. Further pattern-actions for BF2 that select the implantation table are explained in Patternactions for selecting implantation lookup table and ion channeling on page 165.

Pattern-actions for arsenic implantation


For arsenic implantation, a vfactor larger than 0 is inserted into the implantation command. This factor is specified as vfactor=0.1*$energy/(20+$energy) in most cases and by vfactor=0.1*$energy*$energy for As implantations with energy less than 1 keV. The interstitial plus-factor ifactor is changed from its default value 1 only for very low implantation energies. As a smooth function of implantation energy and dose, ifactor is reduced for energies less than 1 keV. The segregation coefficient at the SiSiO2 interface, diff:(siox(as(sg0))), is defined as a function of As implantation energy and dose by the line:
append {diff:(siox(as(sg0=700/(20+$energy)*(($dose+1e15)/($dose+5e14))))}

The lower the implantation energy, the higher the As segregation coefficient, as in the case of boron. The above formula has been calibrated with SIMS data from ultrashallow As profiles. For arsenic energies smaller than 25 keV, which are typical for NMOS sourcedrain extension or sourcedrain formation, two additional actions are implemented:

si(i(cl0, clw)) is the Arrhenius-type solid solubility of interstitials. In the

equilibrium clustering model for interstitials, which is used by default, the interstitials that are not bound to dopants are shared between small clusters and free interstitials. A low value of cl0 decreases the share of the free interstitials and leads to a slower dissolution of interstitial clusters. This usually leads to less TED, particularly in the tail region. diff:(si(i(cl0))) is set to cl0=5e22+9e23*1e13/(1e13+$dose) after arsenic implantation. For high implantation doses, this is much lower than the Dios default

160

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

11: Contents of Advanced Calibration files of Dios Contents of AdvCal_1d_tables_2005.10.dmr

(cl0=9.45e23). Low values of si(i(cl0)) are used to mimic the slow release of interstitials from extended defect clusters such as {311} defects or dislocation loops, which are not simulated explicitly.

The initial activation of As in non-amorphized regions depends on the implantation dose by the formula acinit=4e18*$dose/5e14*$dose/5e14.

Further pattern-actions for arsenic that select the implantation table are explained in Patternactions for selecting implantation lookup table and ion channeling on page 165.

Pattern-actions for indium implantation


After indium implantation, the initial concentration of active dopants in non-amorphized regions is set to acinit=2.0e18. Amorphization As a peculiarity of indium implantation, the Hobler model is not used to calculate the amorphization. Instead, the arguments:
threshold=1.15e22, amorphization=+1, afactor=1200

are inserted into the implantation statement by an action-pattern. This means that amorphization of silicon occurs in regions where the concentration of as-implanted indium, 22 3 multiplied by the factor 1200, exceeds the threshold value 111.15 10 cm . This definition serves to create a buried amorphous layer for medium-dose indium implantations and to describe the double-peak phenomenon of indium profiles, which is discussed in the following. Double peak SIMS profiles that are measured after indium implantation and annealing show two indium peaks under certain experimental conditions. This double-peak phenomenon is not observed for other dopants and is illustrated in Figure 19 on page 162. The double peak is due to a buried amorphous layer generating special initial conditions for diffusion or to defect bands located at the ac-interface. Noda [3] assumes that defects concentrated in bands close to the acinterfaces are mainly responsible for the double-peak phenomenon. In this case, indium is trapped and deactivated at the defects, forming a peak in the region of the highest defect concentration.

Advanced Calibration User Guide A-2008.09

161

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

11: Contents of Advanced Calibration files of Dios Contents of AdvCal_1d_tables_2005.10.dmr

Defect band below ac interface

Concentration

Defect band between surface and first ac interface Crystalline and amorphous pockets

Buried amorphous layer

Dose > 4x1013 cm-2

Dose < 4x1013 cm-2

Depth

Figure 19

Double-peak phenomena (dose > 4 x 1013 cm2)

In the Advanced Calibration model, the double peak is caused by differences in the initial conditions for diffusion in crystalline and amorphous layers. In the amorphous layer, recrystallization renders a high initial active concentration of indium (by default, si(in(aminit=5e22))) and a low interstitial concentration; whereas in the crystalline part, the initial indium activation is moderate (acinit=2e18) and the initial concentration of interstitials from implantation damage is high. The interstitials diffuse very quickly into the former amorphous layer, where the indium is already active and ready for pairing. In this way, indium diffusion in the amorphous layer is much higher than in the crystalline part. In situations, where the amorphized region extends from the silicon surface to some depth, only a single peak is formed. The sharp transition between amorphous and crystalline regions leads to a spike shape of the indium peaks. Indium dose loss In the indium SIMS profiles for energies lower than 150 keV, an anomalous dose loss behavior is observed [4]. The indium peak concentration in silicon after annealing does not scale linearly with the dose. Instead, for low energies (approximately 50 keV), increasing the indium dose at 13 2 levels above 3 10 cm mainly adds to the indium dose in oxide.

162

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

11: Contents of Advanced Calibration files of Dios Contents of AdvCal_1d_tables_2005.10.dmr

The physical reason for this is that indium atoms are swept out during epitaxial regrowth of the amorphized layer [5]. As the epitaxial regrowth is not explicitly modeled in the Dios diffusion models, the indium dose loss is increased by introducing an increased indium diffusivity close to the surface, by adding the following command lines after indium implantations with doses 13 2 larger than 2.5 10 cm :
diff:(si(n(di00=0,dip0=0,dv00=0,dvp0=0,dvpp0=0) in(q(n=1.5e4)))) impl(element=N, dose=$dose, energy=$energy, tilt=$tilt, rot=$rotation, amorphization=no, damage=no, si(rp=5nm stdv=0.8*$energynm gamma=-1 beta=5) function=P4)

To increase the diffusivity close to the surface, an artificial immobile impurity (nitrogen) is used and the In diffusivity is coupled to the N concentration. In this way, it is possible to change the diffusivity in a specific region without introducing a new material. Nitrogen was chosen because it is electrically neutral and is not usually used in process simulations. The parameters for the artificial N implantation, si(rp=5nm stdv=0.8*$energynm gamma=-1 beta=5), and NIn coupling, si(in(q(n=1.5e4))), are calibrated for In energies between 50 keV and 150 keV, and doses between 2 10 cm
13 2

and 1 10 cm .
14 2

Further pattern-actions for In that select the implantation table according to the indium dose are explained in Pattern-actions for selecting implantation lookup table and ion channeling on page 165.

Pattern-actions for antimony implantation


After Sb implantation, the parameter acinit is set to 1 10 . Further pattern-actions that select the implantation table according to the implantation dose are explained in Pattern-actions for selecting implantation lookup table and ion channeling.
16

Pattern-actions for Si and Ge implantation


Si and Ge implantation are mainly used for amorphizing the surfacenear silicon region. Two actions are defined for Si implantation only:

For silicon implantation, ifactor is set to 0. This is reasonable because the implanted Si atoms are considered to be self-interstitials by Dios. Instead, using the value ifactor=1 means that each as-implanted silicon atom is counted twice. For silicon implantation, the process history variable sgfac is reduced from 1 to 0.04. As a consequence, the B segregation coefficient, which will be set in the pattern-action for a subsequent B or BF2 implantation, will be reduced. This reflects the observation that preamorphization by Si implantation may lead to a reduced dose loss in B ultrashallow junction formation.

Advanced Calibration User Guide A-2008.09

163

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

11: Contents of Advanced Calibration files of Dios Contents of AdvCal_1d_tables_2005.10.dmr

Four pattern-actions are defined for both Si and Ge implantation commands:

An increased value of afactor is inserted: afactor=1+$energy/(50+$energy). This increases the thickness of the amorphized layer after Si or Ge implantation. The formula has been calibrated with SIMS data for low-energy B implantation and annealing after preamorphization by Si or Ge implantation. The Boolean process history variable after_PAI is set to 1. This indicates that a preamorphization implant has occurred. In the next diffusion step, after_PAI will be reset to its default value 0. The string PAI_CL0 is redefined by:
set PAI_CL0=diff:(si(i(cl0=3e22*2.5e19/(2.5e19+$dose*$dose) +1.9e37/(2e13+$dose)*(5+$energy)/$energy)))

This string is prepended to all subsequent diffusion commands. The interstitial solid solubility is reduced for the rest of the process simulation. This reflects the observation that dislocation loops form after amorphizing Si or Ge implantation. Dislocation loops are the most stable form of interstitial clusters and can survive annealing steps with a low thermal budget.

The string channel is redefined by:


set channel=si(channelingdose=2e12*$energy/(1+$energy) \ +5e13/(1+$energy), totaldose=5e14)

This string is inserted in subsequent implantation commands. As a consequence, the tabulated ion channeling dose in implantation steps following a Si or Ge implantation is overwritten and, in most cases, reduced. It does not matter if the parameter totaldose is different from the dose used in the implantation. The maximum fraction of ions described by the second Pearson function is determined by the quotient of channelingdose/ totaldose. In the next diffusion step, channel will be reset to an empty string reflecting the fact that the amorphized region will be recrystallized and most of the crystal damage will be removed. The formula has been calibrated with SIMS profiles measured after Ge implantation and subsequent B implantation. In total, the Dios variable channel helps to take into account the effect of preceding implantations on ion channeling for analytic implantations. Of course, the method is unsophisticated. A more accurate treatment of this effect requires the use of Crystal-TRIM for implantation.

164

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

11: Contents of Advanced Calibration files of Dios Contents of AdvCal_1d_tables_2005.10.dmr

Pattern-actions for diffusion steps


Three pattern-actions are used to adjust the maximum time step to the total diffusion time, as a good compromise between numeric accuracy and CPU time. In addition, the following actions are performed for all diffusion commands in the process flow:

Prepend {$PAI_CL0}

Initially, PAI_CL0 is an empty string and, therefore, $PAI_CL0 is an empty Dios command line without any effect. After Si or Ge implantation, due to a pattern-action, PAI_CL0 will be set to a Dios command, which reduces the value of the interstitial solid solubility. In this, case the Dios command line $PAI_CL0 will act to stabilize the interstitial clusters and slow down TED.

insert {siox(B(sg0=$SG_B*(0.0005+$po2)/(0.0005+2.2*$po2)))}

This line inserts the B segregation coefficient into the diffusion command. For inert atmosphere, it is given by the Dios variable SG_B, which is set in the startblock and adjusted in pattern-actions after each B or BF2 implantation. For (dry) oxidizing atmosphere, the segregation coefficient is reduced by a factor that depends on the partial pressure po2 of O2 in the atmosphere.

set after_PAI=0

The Dios variable after_PAI used to track the process history is reset to 0.

set channel=

The Dios variable channel is set to an empty string. This means that ion channeling is no longer suppressed by crystal damage from preceding implantations, because this damage is removed during diffusion.

Pattern-actions for selecting implantation lookup table and ion channeling


In the remaining pattern-actions, the implantation lookup table to be used in the implantation statement is chosen according to the species to be implanted and the dose. For each of the dopants B, In, P, As, BF2, and Sb, different lookup tables exist for low-dose, medium-dose, and high-dose implantation. Furthermore, the string $channel is inserted to the implantation command. In most cases, $channel is an empty string and the insertion has no effect. However, after Si or Ge implantation, which is usually used for preamorphization, channel is set to an expression that will reduce the ion channeling in the subsequent implantations, until channel is eventually reset to an empty string at the next diffusion step.

Advanced Calibration User Guide A-2008.09

165

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

11: Contents of Advanced Calibration files of Dios Parameters for Monte Carlo implantation

Parameters for Monte Carlo implantation


This section contains a description of the model switches and parameters needed to be set for accurate Monte Carlo ion implantation. All these switches are contained in the Advanced Calibration parameter file AdvCal_1d_CTRIM_2005.10.dmr.

Basic choice of models and parameters


Monte Carlo ion implantation is switched on by the model switch:
impl:(function=newCrystalTRIM)

Furthermore, in the startblock of AdvCal_1d_CTRIM_2005.10.dmr, the following general implantation defaults are set related to Monte Carlo ion implantation:
impl:(polyOri=0, amorphization=mcdamage, particles=6000)

The switch polyOri=0 has the effect that polysilicon is treated as amorphous during implantation. The alternative, polyOri=110, usually leads to an overestimation of dopant channeling in polysilicon.
amorphization=mcdamage means that the damage accumulated during Monte Carlo

simulation is used to determine which part of the silicon is amorphous after the implantation. For most implantation conditions, this gives approximately the same amorphization depth as the Hobler model [2], which is used for analytic implantation.
particles is the number of ions to be implanted in each implantation column. The CPU time

needed to perform a Monte Carlo implantation increases linearly with the number of particles implanted. The statistical noise decreases with the square root of the number of particles. For high-energy ion implantation, more CPU time is needed for Monte Carlo implantation, because each particle has more collisions with silicon atoms until it comes to rest. Therefore, lower values of particles are used for high-energy ion implantation. This is implemented in the pattern-actions of AdvCal_1d_CTRIM_2005.10.dmr.

166

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

11: Contents of Advanced Calibration files of Dios Parameters for Monte Carlo implantation

Parameters governing as-implanted profile


The following physical parameters are used to obtain accurate as-implanted profiles with Crystal-TRIM:

Interatomic potential: Electronic stopping: Damage accumulation: Amorphization/damage saturation:

lambda cex1, cex2 dacc dcrit

Free path length in amorphous silicon: amav, amdev

These parameters depend on the species and implantation condition and are specified in the pattern-actions of AdvCal_1d_CTRIM_2005.10.dmr. During calibration, the above parameters have been separated in a four-step procedure: 1. The depth of the profile peak has been adjusted by a fine-tuning of the parameter lambda, which scales the interatomic potential between implanted ions and silicon atoms (see Figure 20 on page 168). 2. For very low doses (in the case of B implantation, also for medium doses), the damage accumulation has only a small effect on the as-implanted profiles. The electronic stopping (cex1, cex2) has been calibrated. 3. dacc has been adjusted with SIMS profiles for medium doses, which are still too low for amorphization. 4. dcrit, amav, and amdev are only relevant for very high doses or preamorphization. In the following, the calibration of the Crystal-TRIM parameters is discussed in detail. The main focus is an accurate description of ion channeling.

Interatomic potential
The correction factor lambda can be used to shift the peak position of as-implanted profiles. It is the only parameter that is effective for this purpose. Advanced Calibration contains a calibration of lambda as a function of the implantation energy for B and P implantation. The accuracy of high-energy B and P implantations has been significantly improved by the calibration of lambda.

Advanced Calibration User Guide A-2008.09

167

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

11: Contents of Advanced Calibration files of Dios Parameters for Monte Carlo implantation

lambda = 1.10 lambda = 1.01 (default) 1017


Concentration [cm-3]

1016

1015 0 200 400 Depth [nm] 600 800

Figure 20

Influence of parameter lambda on as-implanted B profiles; simulations are performed for a 1013 cm2 B implantation with energy=120 keV and tilt=7. In Dios, the default value of lambda for B implantation is 1.01 for all energies.

Electronic energy loss


Crystal-TRIM uses the parameters cex1 and cex2 in a modified OenRobinson formula for the electronic energy loss in a binary collision [6]:
E el S el
ZBL

exp ( C el 0.3 R 0 ( E.P ) a ) --------------------------------------------------------------------------------------------------P


max

(3)

2 P dP exp ( C el 0.3 R 0 ( E.P ) a )


ZBL

where R 0 ( E,P ) is the distance of closest approach in a binary collision. The function S el (E) is the ZBL electronic stopping cross section [7], which is successfully used in the simulation of ion implantation into amorphous solids in the standard TRIM program [8]. P is the impact parameter and P max is the maximum impact parameter in the Crystal-TRIM binary collision code. The fit parameter C el takes the value of either cex1 for directions close to the <110> direction or cex2, otherwise. According to this formula, the electronic energy loss is reduced for large impact parameters, as is the case of channeling ions. This reduction can be refined with the parameters cex1 and cex2. The values of cex1 and cex2 may depend on the implantation energy. For implantations into (100) silicon with tilt angle 0 and energies greater than 5 keV, the channeling in the <100> direction predominates, and only a very small fraction of ions is scattered in the <110> direction. For very low energies, it has been shown [9] that the channeling in <110> prevails. For low implantation energies, a fraction of ions can be scattered in the <110> direction when passing a thin layer of native oxide.

168

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

11: Contents of Advanced Calibration files of Dios Parameters for Monte Carlo implantation

In the course of calibrating B and BF2 profiles, cex1 was adjusted to achieve a fit with USJ SIMS profiles for several energies. Then, an energy-dependent fit formula was found, which gives good fits for all low-energy implantations, down to 0.2 keV in the case of boron. The calibration result is illustrated in Figure 21 and Figure 22, both taken from [10]. According to Figure 21, for implantations through 1.5 nm cap oxide, the channeling in the <110> direction becomes dominant for B energies below 1 keV. In Figure 22, the calibration of cex1 is most relevant for the far tail region. The fraction of ions scattered in the <110> direction is small, but the channeling of these ions is large. It should be noted that the ion channeling in the <110> and <111> directions has not been calibrated accurately for all implant conditions, due to lack of suitable SIMS data to perform the calibration.
1 1.5 nm oxide Fraction <110> Channeling No oxide

Boron, 1015 cm2 0.5

0 0 1 Implantation Energy [keV] 2

Figure 21

Relative importance of <110> channeling with respect to total channeling. Data points are calculated from the depth at which B concentration is 1018 cm3 for a 1015 cm2 B implantation with tilt=0. The substrate orientation is <100>.
SIMS measurement Crystal-TRIM after calibration Crystal-TRIM before calibration

1021

Concentration [cm-3]

1020

1019

1018

1017 0 10 20 Depth [nm] 30 40

Figure 22

Comparison of a SIMS profile to Crystal-TRIM results before and after calibration for B implantation at 500 eV through native oxide at tilt angle 0 into silicon with <100> orientation. The change of cex1 is mainly responsible for the difference1. Changing the electronic energy loss results primarily in a bending of the tail in the logarithmic diagram.

1. Instead of increasing cex1, a similar effect on the profile tail can be obtained by assuming an energy contamination of 2% 2 keV ions. However, the energy contamination of the implanter used was specified to be less than 0.5%.

Advanced Calibration User Guide A-2008.09

169

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

11: Contents of Advanced Calibration files of Dios Parameters for Monte Carlo implantation

Damage accumulation (dacc)


Sb, 100 keV, 1014 cm-2 1019 Concentration [cm-3] dacc = 0.2 dacc = 0.6 dacc = 1

1018

1017

1016 0 100 200 300 400 500 Depth [nm]

Figure 23

Influence of dacc on tail of as-implanted Sb profile (100 keV Sb, dose 1014 cm2, normal incidence, 5.4 nm capping oxide)

During implantation, the ions create crystal damage, which reduces the channeling for subsequent ions. The amount of crystal damage created is multiplied by dacc, a number between 0 and 1, which reflects the recombination of parts of this damage during annealing. Increasing dacc reduces the channeling. By changing dacc, the channeling tail of the profile is shifted, in parallel, in the logarithmic diagram (see Figure 23 and Figure 25 on page 172). This is different from the tail bending (see Figure 22 on page 169) that can be fine-tuned with cex1 and cex2.
dacc may depend on the substrate temperature during implantation and the dose rate [11]. For high temperatures and low dose rate, there is an increased chance for Frenkel pair recombination during implantation, which is reflected by a reduced value of dacc.

In Dios, the switch DModel determines how Crystal-TRIM deals with the accumulated damage. The best model is the default selection DModel=6. In this model, the damage is translated into a probability that the implanted ion is moving in an amorphous pocket [12]. A region where the damage has reached a threshold value dcrit is treated as completely amorphous for the rest of the simulation. In Sentaurus Process, the damage model corresponding to DModel=6 is the default.

Amorphized regions and damage saturation


dcrit
dcrit is the amorphization threshold. The smaller dcrit is, the faster a region is considered completely amorphized. Consequently, for very small values of dcrit, the channeling is reduced. The profile tail changes in a way similar to the case of increasing dacc, but only for

doses high enough to reach the amorphization threshold.

170

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

11: Contents of Advanced Calibration files of Dios Parameters for Monte Carlo implantation

With DModel=6 and amorphization=mcdamage, another effect of changing dcrit is a change of the thickness of the amorphous layer. The thickness of the amorphous layer is not seen in SIMS profiles of as-implanted samples, but it effects the initial conditions of diffusion. Other parameters in addition to dcrit are available with which you can forcibly correct the thickness of the amorphous layers: afactor and threshold. To keep the number of parameters reasonably small, afactor and threshold are not used for B, BF2, As, P, and Sb implantation in the Advanced Calibration. For some conditions, the position of the ac-interface can be seen in SIMS profiles as a small hump after a low-temperature anneal. The origin of this spike is that the dopants are bound to clusters in the crystalline region, but not so much in the amorphous region, where the recrystallization renders a high active dopant concentration. An example of this hump is shown in Figure 24.
1021 SIMS profile Concentration [cm-3] 1020

1019

1018 0 10 Depth [nm] 20 30

Figure 24

SIMS profile after BF2 implantation, 2.5 keV, dose= 5 x 1014 cm2, and 30 s anneal at 850oC (the hump at depth of 7 nm shows position of ac-interface after implantation)

amav, amdev When a region is amorphized, Dios has two methods for calculating consecutive binary collisions: 1. Completely random. This is the Dios and Sentaurus Process default for In, Sb, and Ge. It can be switched on for As, B, BF2, and P by setting function=OldCrystalTRIM in Dios, or by using the following line in Sentaurus Process:
pdbSet Silicon Boron AdvancedAmorph 0

2. Improved description involving two empirical parameters amav and amdev. This is the Dios and Sentaurus Process default for As, B, BF2, and P. In the Dios Advanced Calibration, the second method is used for all dopants. In the Advanced Calibration of Sentaurus Process, the Sentaurus Process default is used.
amav relates mainly to the range in the amorphous layer. amdev relates mainly to the standard deviation of dopant distribution in an amorphous layer. The case amav=1 and amdev=0 gives

Advanced Calibration User Guide A-2008.09

171

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

11: Contents of Advanced Calibration files of Dios Parameters for NMOS and PMOS simulations

similar results to function=OldCrystalTRIM (first method). For B, As, and P, the Dios default values for amav and amdev depend on the implantation energy. For indium and antimony implantation, amav and amdev are specified in pattern-actions to improve the fit to the SIMS profiles in the regions of high concentration. This is illustrated for indium in Figure 25. amav has a similar effect for amorphous regions as lambda for crystalline regions.
Default parameters 1019 Concentration [cm-3] dacc = 0.7 dacc = 0.7, amav = 1.04 SIMS measurement

1018

1017

100 Depth [nm]

200

Figure 25

Dios calibration of amav for In implantation (150 keV, 1014 cm2, tilt 0, through 5 nm screening oxide)

The peak region is only matched to the SIMS profile (no symbols) when amav and amdev are specified (squares). The curves with triangles and circles show that dacc influences only the tail region. The SIMS profile is taken from the literature [4].

Parameters for NMOS and PMOS simulations


The AdvCal_2d_nMOS_2005.10.dmr and AdvCal_2d_pMOS_2005.10.dmr Advanced Calibration files are based on the file AdvCal_1d_tables_2005.10.dmr. The few differences include some details useful in 2D CMOS simulation, and one parameter or model change for each NMOS and MMOS. They are presented here.

172

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

11: Contents of Advanced Calibration files of Dios Parameters for NMOS and PMOS simulations

Common needs for NMOS and PMOS simulations


The following changes with respect to AdvCal_1d_tables_2005.10.dmr are implemented in both AdvCal_2d_nMOS_2005.10.dmr and AdvCal_2d_pMOS_2005.10.dmr:

The gridblock is empty. For 2D CMOS simulations, it is recommended to use a tensor grid with refinement boxes at the most important regions for the device characteristics. The details of the grid statement for 2D CMOS simulation depend on the geometry and dopant profiles of the MOSFET to be investigated and on CPU time requirements. Therefore, unlike in 1D simulations, it is impossible to provide a mesh definition inside the calibration files that is satisfactory for all possible devices. The command line diff:(oxpo(b(stc0=0) as(stc0=0) p(stc0=0))) is added to the startblock. This line suppresses the flux of dopants from polysilicon into the gate oxide. It is needed because the diffusivity of B, As, and P in oxide has been artificially increased to avoid numeric errors in the dose loss model. Without suppressing the dopant flux from polysilicon into the thin gate oxide, the increased dopant diffusivities in oxide may cause an artificially high dopant penetration from polysilicon through the thin gate oxide into the channel region of the MOSFETs. The initial time step (dtbegin) inserted in diffusion commands is reduced in order to obtain better initial convergence in the 2D simulations.

Boron dose loss in NMOS simulations


In the file AdvCal_2d_nMOS_2005.10.dmr, the Dios defaults are used for B dose loss. The parameters:
diff:(ox(b(di0, diw))) ! diffusivity of B in oxide diff:(siox(b(sg0, sgw, stc0, stcw))) ! segregation and flux parameter at ! Si/SiO2 interface

are not changed from the Dios default. The reason is that the B dose loss parameters, which are used in AdvCal_1d_tables_2005.10.dmr, have been calibrated for boron USJ formation and have been found to overestimate the B dose loss for low B surface concentrations, which are typical for B channel doping and B halo doping used in NMOS devices. In NMOS devices, a B pileup towards the silicon surface is often responsible for the reverse short-channel effect. This pileup can be simulated with the Dios default parameters for boron segregation at the SiSiO2 interface.

Advanced Calibration User Guide A-2008.09

173

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

11: Contents of Advanced Calibration files of Dios References

Arsenic clustering in PMOS simulations


In the file AdvCal_2d_pMOS_2005.10.dmr, the clustering of arsenic is switched off by the command line:
diff:(si(as(modclust=no)))

The reason for this is to save CPU time. Since the As concentration is much lower than the solid solubility in PMOS devices, neglecting the influence of As clustering usually does not have a major impact on the process simulation result.

References
[1] K. Suzuki, H. Tashiro, and T. Aoyama, Diffusion coefficient of indium in Si substrates and analytical redistribution profile model, Solid-State Electronics, vol. 43, no. 1, pp. 2731, 1999. G. Hobler and S. Selberherr, Two-Dimensional Modeling of Ion Implantation Induced Point Defects, IEEE Transactions on Computer-Aided Design, vol. 7, no. 2, pp. 174180, 1988. T. Noda, Modeling of End-of-Range (EOR) Defects for Indium Channel Engineering, in IEDM Technical Digest, Washington, DC, USA, pp. 839842, December 2001. H. Graoui et al., TCAD Modeling and Experimental Investigation of Indium for Advanced CMOS Technology, in 14th International Conference on Ion Implantation Technology (IIT), Taos, NM, USA, pp. 126130, September 2002. V. C. Venezia et al., Dopant redistribution effects in preamorphized silicon during low temperature annealing, in IEDM Technical Digest, Washington, DC, USA, pp. 489492, December 2003. M. Posselt, Crystal-TRIM and Its Application to Investigations on Channeling Effects During Ion Implantation, Radiation Effects and Defects in Solids, vol. 130131, pp. 87119, 1994. J. F. Ziegler, J. P. Biersack, and U. Littmark, The Stopping and Range of Ions in Solids, The Stopping and Range of Ions in Solids, vol. 1, New York: Pergamon Press, 1985. J. P. Biersack and L. G. Haggmark, A Monte Carlo Computer Program for the Transport of Energetic Ions in Amorphous Targets, Nuclear Instruments and Methods, vol. 174, no. 12, pp. 257269, 1980. G. Hobler et al., Modeling of Ultra-Low Energy Boron Implantation in Silicon, in IEDM Technical Digest, Washington, DC, USA, pp. 489492, December 1997.

[2]

[3] [4]

[5]

[6]

[7]

[8]

[9]

174

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

11: Contents of Advanced Calibration files of Dios References

[10] C. Zechner et al., TCAD Calibration of USJ Profiles for Advanced Deep Sub-m CMOS Processes, Nuclear Instruments and Methods in Physics Research B, vol. 186, no. 14, pp. 303308, 2002. [11] M. Posselt, L. Bischoff, and J. Teichert, Influence of dose rate and temperature on ionbeam-induced defect evolution in Si investigated by channeling implantation at different doses, Applied Physics Letters, vol. 79, no. 10, pp. 14441446, 2001. [12] M. Posselt et al., Modeling of Damage Accumulation during Ion Implantation into Single-Crystalline Silicon, Journal of the Electrochemical Society, vol. 144, no. 4, pp. 14951504, 1997.

Advanced Calibration User Guide A-2008.09

175

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

11: Contents of Advanced Calibration files of Dios References

176

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

CHAPTER 12

Dios calibration method and limitations


This chapter discusses various aspects of the Dios Advanced Calibration.

The Advanced Calibration file can be considered a good starting point for 2D simulations (especially for CMOS and SOI CMOS devices) and can reduce the effort for calibration. However, regarding the finite accuracy and the known limitations, it cannot fully replace a process calibration for 2D applications. With a customized process calibration, the accuracy can always be further increased for any technology of interest. A customized calibration of process and device simulation models can be requested from Synopsys in the context of customer service projects. The data used for calibration is outlined in Experimental data, together with a list of conditions covered by the Dios Advanced Calibration file. In Calibration of dopant diffusion and activation on page 179, the calibration method for dopant diffusion and annealing, and the achieved accuracy is discussed. In Dose loss model on page 190, the dose loss model used in the Dios calibration is discussed. Problems may occur if a diffusion or segregation parameter is set to different values in patternactions of the Dios Advanced Calibration. Consistency of parameters on page 194 explains the consistency problems that may occur and how to solve them.

Experimental data
Source of data
The main source of experimental data used for the calibration of Advanced Calibration is a database of SIMS profiles, most of which are related to state-of-the-art USJ technology. In addition, some SRP profiles and sheet resistance measurements were used to study dopant activation and dose loss. Part of this database was designed in collaboration with Applied Materials, Inc. (AMAT) as a systematic approach to calibrate process simulation for advanced CMOS technology [1]. Another part of this database was provided by Varian Semiconductor Equipment Associates, Inc. (VSEA).

Advanced Calibration User Guide A-2008.09

177

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

12: Dios calibration method and limitations Experimental data

A collection of SIMS profiles from AMAT and VSEA is available as a part of the Calibration Library. Together with the Calibration Kit, the Calibration Library serves as a professional tool for further fine-tuning of process physics parameters. SIMS profiles can show some differences to the real dopant distribution, even when they are measured very carefully. These differences are inherently related to the SIMS technique. In particular, SIMS profiles can show two typical errors:

In the first few nanometers from the silicon surface, the signal is not always stabilized and the SIMS profile is less reliable. Very steep profiles (steepness > 1 decade per 2 nm) are usually smeared out during SIMS measurements.

The SRP profiles for USJ are less reliable than SIMS profiles. However, they can be used to monitor the activation or deactivation of dopants during annealing. Sheet resistance data is used in some cases (for example, Sb annealing) to calibrate the activation process of dopants. For very high dopant concentrations in USJ profiles, the fraction of activated dopants does not solely determine the sheet resistance. In addition, the mobility of electrons and holes is reduced by the presence of the dopant atoms and may depend on process conditions. In this case, the effect of dopant activation and charge-carrier mobility cannot be fully separated with sheet resistance measurements only.

Conditions covered by Advanced Calibration


Not all possible process conditions and parameter combinations are covered by the Dios Advanced Calibration. Naturally, the calibrated models are most reliable for process condition windows where many profiles were available for calibration and where a good agreement was obtained for these profiles. These include:

All implantations except: Ultrashallow junction indium and antimony implantation Implantations in <110> or <111> channeling directions

Boron USJ annealing in inert atmosphere BF2 USJ annealing in inert atmosphere Arsenic USJ annealing Co-diffusion of B/BF2 USJ and As halo profiles (typical CMOS fabrication step) Co-diffusion of As USJ and B/BF2/In halo profiles (typical CMOS fabrication step)

The accuracy is also good for further process conditions related to typical CMOS processes such as sourcedrain annealing (including co-diffusion of P and As), wellchannel annealing (including In and Sb channel profiles), and B extension formation after preamorphization by Ge or Si implantation.
178 Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

12: Dios calibration method and limitations Calibration of dopant diffusion and activation

The calibration of the oxidation-enhanced diffusion (see Oxidation-enhanced diffusion on page 186) is based on a relatively small set of measurements and is probably not very reliable. Some known cases in which the accuracy of the Advanced Calibration is not good are discussed in the following sections. It is also important to know what has not been calibrated in the Advanced Calibration. For such models and process windows, the expectations on the accuracy should not be too high. The most important processes that are not yet treated in the Advanced Calibration are:

Diffusion in polysilicon. Out-diffusion from polysilicon. Diffusion in epitaxially grown SiGe. Analytic boron implantation at very high energies (> 500 keV). Antimony USJ annealing. Oxidation: For the oxide thickness, the Advanced Calibration uses the Dios default parameters of the Massoud model. Effects of carbon on diffusion. Effects of fluorine implantation on B diffusion. Nitrogen diffusion in silicon and oxide. Flash lamp annealing and laser annealing.

Calibration of dopant diffusion and activation


Overview
Diffusion and activation processes are more difficult to calibrate than implantation. The pair diffusion model has numerous parameters many of which are difficult to separate. A pure global optimization can result in a good agreement for a large collection of experimental data but still have a limited predictive power for other process conditions. For a successful calibration, order is very important. Some parameters, such as the interstitial equilibrium concentration, have an influence on nearly all profiles. Others, such as the damage+x ifactor used for a particular implantation condition, affect only a small number of profiles. It is crucial to calibrate the former first and the latter last.

Advanced Calibration User Guide A-2008.09

179

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

12: Dios calibration method and limitations Calibration of dopant diffusion and activation

Following this principle, the physical parameters are classified into three groups: 1. The fundamental point-defect parameters affect nearly all diffusion processes. Examples are the diffusivity and charge-state distribution of interstitials. These basic parameters should not be changed without proven necessity because, when they differ for different projects, all other parameters can no longer be compared and similar parameter sets for similar projects are never found. 2. Some parameters affect all diffusion processes for one dopant, in particular, the pair diffusivities. Ideally, these are changed only within large calibration projects. 3. At the lowest level, there are diffusion parameters that depend strongly on implantation and annealing conditions. These include dopant and interstitial clustering kinetics, the initial amount of point defects, the initial activation of dopants after implantation, the effect of F on B diffusion, and the dose loss parameters. Since these parameters can be given different values for different technologies and devices without major consistency problems, they are used most often for various expedient calibrations. In the next section, the calibration of various parameters is discussed in the same order as these four groups. Apart from a systematic approach, calibration is mainly trial and error, but a presentation of this is beyond the scope of this documentation.

Fundamental point-defect parameters


The fundamental point-defect parameters are:

Diffusivities of I and V Charge-state distribution of I and V IV recombination (generation) rate Boundary conditions of I and V

In the Dios Advanced Calibration files, only the vacancy boundary conditions are changed from the Dios default. In the Dios file, there is:
diff:(siox(V(BCTyp=HomNeumann)) sini(V(BCTyp=HomNeumann)))

The reason for suppressing the vacancy flux at siliconoxide interfaces in Dios Advanced Calibration is discussed in Vacancy boundary conditions on page 153.

180

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

12: Dios calibration method and limitations Calibration of dopant diffusion and activation

Pair diffusivities
The diffusivities of dopant point-defect pairs are extracted from SIMS data from so-called long-time anneals, where the damage-enhanced TED can be neglected and the dopant activation is close to equilibrium. To obtain a prefactor and an activation energy of an Arrhenius-type diffusivity, data for high temperature (seconds or minutes > 1000 C ) and low temperature (hours < 850 C ) is required. Ideally, this should be performed at different doses and background doping levels, in order to obtain the values of the diffusivities of the different charge states. Otherwise, only information about a global value of the diffusivity is obtained. Most values are based on Dios default values or on data from the literature for the diffusivities of the different charge states. It should be mentioned that there is a significant spread in reported values of dopant equilibrium diffusivities in silicon [2]. Generally, the calibration of diffusivities was performed according to the following scheme. When a good agreement with SIMS profiles was obtained, the Dios calibration was stopped at the earliest possible level: 1. Use Dios default values1. 2. Change the Arrhenius prefactor of the dominant diffusivity (for example, diffusivity of BI+ pairs in the case of B diffusion).L 3. If profiles with high dopant concentration ( N dopant > n i ) are involved, change the relative contributions of different pair charge-states to diffusion. 4. When SIMS profiles of different temperatures must fit, change the Arrhenius activation energy of diffusivities.
NOTE

A significant change of activation energy is always accompanied by a change of the Arrhenius prefactor, in such a way, that for a selected temperature the total Arrhenius value is kept constant.

Figure 26 on page 182 shows the effect of diffusivity changes on long-time annealing of a USJ boron profile. The balance between dip0 (B I+ pairs) and di00 (B I0 pairs) can be used to adjust the ratio between diffusion in highly doped regions and in the tail (see the steepness of the tail of the red curve and blue curve).

1. In contrast, to create the Advanced Calibration of Sentaurus Process, state-of-the-art literature values were used as the starting point for the diffusivity calibration.

Advanced Calibration User Guide A-2008.09

181

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

12: Dios calibration method and limitations Calibration of dopant diffusion and activation

dip0=2.916, di00=0.037, di0w=3.46 (default) 1020 dip0=1.25, di00=0.033, di0w=3.26 (Advanced Calibration) dip0=0.000, di00=0.150, di0w=3.26 Concentration [cm-3]

1019

1018

1017 0 50 Depth [nm] 100

Figure 26

Comparison of simulation results with different diffusivity values for BI pairs for 60 s, 1050oC anneal after 2.5 keV BF2 implantation

Effect of charges and doping level


As derived in the Dios User Guide, the flux of boron-interstitial pairs can be expressed as:
1 z 1 n n n 0 ----- --- - j B-Iz = D B-Iz k B-Iz n i n i grad B I n i z

(4)

where, z is the charge of the interstitial in the ( B I ) pair, n is the electron concentration, and n i is the intrinsic electron concentration. In the dilute approximation used by Dios and Sentaurus Process, the product D B-Iz k B-Iz is proportional to the Dios expression diz0 x exp(-dizw/kT). Here, z represents one of pp, p, 0, m, and mm. In the above formula, the charge z appears in the term ( n/n i ) and ( n/n i ) depends on the doping level. It is approximately 1 for low doping and very high temperatures. For high B doping ( p B ) , it is approximately ( n i /B ) .
z

It can be concluded that for regions of high B concentration, B diffusion using ( B I ) pairs is enhanced by a factor of B /n i due to the effect of charges. Charges have no particular effect on 0 B diffusion using ( B I ) pairs.
+

An analogous conclusion holds for dopant-vacancy pairs and donor atoms. In the latter case, B must be replaced with As+ or P+. For calibration, the above conclusion has an important implication. You can increase the diffusion specifically in highly doped regions by increasing the diffusivities for acceptors paired with positively charged point defects or donors paired with negatively charged point defects (see Figure 26). This calibration method is even more effective when using pairs with double-charged point defects as, for example, in the As parameter diff:(si(as(dimm0))).

182

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

12: Dios calibration method and limitations Calibration of dopant diffusion and activation

For spike annealing conditions, arsenic profiles often show an almost rectangular profile, that is, a very flat high-concentration region followed by a very steep junction. In the Advanced Calibration, the parameters diff:(si(as(dimm0, dimmw, pi0mm, piwmm))), which are not used in the Dios default, are introduced to enhance As diffusivity in the highly doped regions and simulate this characteristic shape of arsenic profiles.

Accuracy of boron diffusivity


Using the Dios default values, boron diffusion is usually overestimated for the formation of USJ boron profiles. It is recommended that the Advanced Calibration values are used for all types of boron USJ profiles. While the calibrated boron diffusivities work well for short-time and medium-time RTA of boron USJ (for example, 160 s at 1050 C ), they are too low for long-time annealing at high temperatures (for example, 60 minutes at 1000 C ). Therefore, for such a type of annealing, the Dios default parameters are a better choice. The Dios default values of B pair diffusivities were calibrated to SIMS data [3] (and references therein). In comparison with this old SIMS data and also some new SIMS data with very long time high-temperature anneals, the B diffusion is underestimated with the Dios Advanced Calibration parameters.
NOTE

For the simulation of power and smart-power technologies, it is recommended to replace the Dios Advanced Calibration values for the boron diffusivities with the Dios default values.

Pairing constants
The pair diffusion model is used in the dilute approximation. The diffusivities are, in fact, effective diffusivities, which are proportional to the product of the real pair diffusivity and the pairing constant. Increasing the pairing constant automatically reduces the real pair diffusivity by the same factor, such that the effective diffusivity stays the same. As long as the pairing constants are small, their actual value has virtually no effect on the simulation result. Small means that the concentration of pairs is much lower than the concentration of dopants and point defects. Most pairing constants used by the Advanced Calibration are small in this respect, but there are exceptions, such as the pairing constants for PI and AsV pairs.

Advanced Calibration User Guide A-2008.09

183

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

12: Dios calibration method and limitations Calibration of dopant diffusion and activation

If the pairing constant is large, a significant fraction of dopants and point defects can be in dopant point-defect pairs. This has several consequences:

If the pairing constant is further increased by some factor x, the number of pairs cannot increase by the same factor, because there are not enough dopants or point defects available. However, the microscopic pair diffusivity is divided by x and, therefore, as a total effect, the dopant diffusion is reduced. The point defects are strongly bound to the dopants and, therefore, are prevented from diffusing to other regions of the device. This can be important for 2D simulations, for example, when considering the effects of point defects from sourcedrain regions of a MOSFET on the diffusion in the region under the gate.

A correct calibration of the pairing constants is almost impossible, because they are usually too small to play a major role and because their influence cannot be separated from the effect of other parameters. In the Advanced Calibration, the pairing constants were only changed in cases where the interplay of several dopants (for example, As and P co-diffusion) could be improved.
NOTE

When ramping down to very low temperatures, the high pairing constant for PI pairs may cause an artificial P deactivation due to PI formation. In this case, you should consider decreasing the pairing constant for PI pairs.

TED due to point defects from implantation damage


Interstitials
In Dios Advanced Calibration, no attempt is made to simulate the clustering of interstitials and the formation of {311} defects and loops using a set of reaction equations. Instead, a simple model is chosen to describe the time and temperature dependence of TED after implantation. Using the default equilibrium clustering model for interstitials proved to be sufficient for achieving a good fit of the dopant distributions to the SIMS profiles. This model has better convergence properties in Dios than transient interstitial clustering or release of interstitials from traps. A calibration of ifactor in the damage+x model allows for fine-tuning the total amount of TED due to implantation damage. The time and temperature dependence of TED can be fine-tuned by changing the values of the interstitial solid solubility si(i(cl0,clw)) (see Figure 27 on page 185).

184

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

12: Dios calibration method and limitations Calibration of dopant diffusion and activation

1016 I0 at Depth 15 nm [cm-3]

1000 Temperature (right axis) ifactor 0.1 ifactor 1 ifactor 1, cl0 divided by 10 ifactor 1, cl0 divided by 500 800 900 Temperature [oC]

1015

1014 700 1013 600 0 10 Time [s] 20

Figure 27

Time evolution of interstitial concentration at depth of 15 nm for a 1000oC anneal after B implantation (1 keV, 5 x 1014 cm2). A low solid solubility si(i(cl0)) of interstitials results in a slower TED. The black line shows the simulated temperature ramp.

Whether a fast dissolution of interstitial clusters increases the diffusion depends on the time dependence of the dopant activation. Case 1 If all dopants are active from the beginning (this is usually the case in the tail region), a fast dissolution of interstitial clusters (high value of si(i(cl0)) during ramping-up) increases the diffusion. Interstitials released from clusters at lower temperatures are more effective in terms of dopant transport. Initially, this seems paradoxical. The reason is that the product of interstitial diffusivity and interstitial equilibrium concentration has a higher temperature dependence than the BI pair diffusivity in the so-called dilute approximation. The decrease of BI pair diffusion at low temperature is more than overcompensated by the facts that:

Free interstitials stay longer inside silicon because of their lower diffusivity at low temperature. The BI diffusivity enhancement per excess interstitial is higher at low temperature because the equilibrium concentration of interstitials is lower.

Case 2 Most dopants are clustered at the beginning of TED. In this case, a rapid release of interstitials from clusters may have the effect that most interstitials are gone before the dopant atoms become active. As a result, increasing cl0 decreases the diffusion from high concentration (stable clusters) regions, but may still increase the diffusion of the tail.

Advanced Calibration User Guide A-2008.09

185

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

12: Dios calibration method and limitations Calibration of dopant diffusion and activation

Vacancies
Since homogeneous Neumann boundary conditions are used for vacancies, the vacancies from implantation damage cannot escape the bulk silicon except by recombination with interstitials. For this reason, in the damage+x model, vfactor must be either less than ifactor or equal to zero.
NOTE

In Dios, for some elements (for example, B, BF2, Sb), ifactor=2 and vfactor=1 are the default values in the damage+x model. These default values are incompatible with using homogeneous Neumann boundary conditions for vacancies, because the large number of vacancies cannot recombine at the surface.

Oxidation-enhanced diffusion
During oxidation, the equilibrium concentration of interstitials increases at the oxidizing surface, as a function of the progression speed of the SiSiO2 interface. The oxidationenhanced diffusion (OED) parameters are adjusted by:
diff:(si(i(pox0=2.7e-4 potox=-2)))

The influence of these parameters on increasing the equilibrium interstitial concentration at the surface is described by the OED factor:
Pox v ox n OED factor = 1 + F ox F dop ----------------- - A ------- - 1 min

(5)

with:
m 2 + m 1 + 1 + m 1 + m 2 F dop = ----------------------------------------------------------------------------------------2 1 1 2 m 2 q + m 1 q + 1 + m1 q + m 2 q

(6)

and:
n PotOx q = -- n i

(7)

si(i(pox0)) is the Arrhenius prefactor of the exponent Pox in Eq. 5. si(i(potox)) is used in Eq. 7 and governs the influence of Fermi level on the OED. The formulas are explained in the Dios User Guide. In Dios by default, si(i(potox=2)), that is, the sign of potox is different. However, with a positive value of si(i(potox)), it is impossible to describe the doping dependence of the OED.

186

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

12: Dios calibration method and limitations Calibration of dopant diffusion and activation

NOTE

The calibration of pox0 and potox is based only on a relatively small number of SIMS profiles for spike anneals or 10 s RTAs. Do not expect a very accurate description of OED for all kinds of oxidation condition.

Dopant activation
The transient clustering model si(Aj(modclust=transient)) is used for all dopants except for phosphorus. It is switched on by the following line (for boron):
diff:(si(b(modclust=transient)))

In this model, the solid solubility si(Aj(cl0,clw)) determines the equilibrium concentration of active dopants, and the clustering rate si(Aj(clurate0,cluratew)) determines how fast this equilibrium is reached. The values of the solid solubility and the clustering rate are specified by the following lines (for antimony):
diff:(si(sb(cl0=1.25e+21, clw=0.311085))) ! solid solubility diff:(si(sb(clurate0=2.4e+6, cluratew=4.5))) ! clustering rate

The initial activation of dopants for the first diffusion step after implantation is given by acinit in crystalline regions and aminit in regions that are recrystallized after amorphization during ion implantation.

acinit, aminit
By default, acinit is low and aminit is high ( 5 10 cm ). Both parameters are crucial for the initial stage of TED. Figure 28 on page 188 shows the influence of acinit for a boron USJ spike anneal, and it is clear that acinit limits the number of dopants available for TED. Comparing the curves for acinit=1e18 and acinit=1e16 shows the typical influence of acinit. For the first moments of TED when the interstitial supersaturation is highest, only the initially active atoms can diffuse. While the clustered dopant atoms are still immobile, the initially active atoms diffuse into the tail region.
22 3

Advanced Calibration User Guide A-2008.09

187

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

12: Dios calibration method and limitations Calibration of dopant diffusion and activation

1021 Concentration [cm-3] 1020 1019 1018 1017 1016 0 50 Depth [nm]

acinit=1e21 acinit=5e19 acinit=1e18 acinit=1e16

100

Figure 28

Influence of acinit on simulated B profile after 0.5 keV, 5 x 1014 cm2 B implantation and a spike anneal at 1000oC

Figure 29 shows the influence of aminit after an amorphizing BF2 implantation. The tendencies are the same as for acinit. The main difference is that aminit always has a high value because solid phase epitaxy renders a high activation of dopants.
1021 Concentration [cm-3] aminit=3.5e20 aminit=1e19 1020 As-implanted

1019

1018

10 Depth [nm]

20

30

Figure 29

Influence of aminit on simulated B profile after 2.5 keV, 5 x 1014 cm2 BF2 implantation and a spike anneal at 1000oC. A hump at the original ac-interface is formed only for aminit > 1 x 1020. The other simulation parameters are taken from Advanced Calibration.

Solid solubility (cl0, clw)


The solid solubility is known from the literature with error margins. Therefore, in the course of calibration, changes from the default values should be small. Changes are very effective for conditions with very high dopant concentration, especially for USJ profiles with high implantation dose.

188

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

12: Dios calibration method and limitations Calibration of dopant diffusion and activation

For calibration, the solid solubility can be used to improve the description of the influence of doping concentration on clustering. In Figure 30, it is shown that a change of cl0 is much more effective for high implantation doses than for low doses. clw can be used to calibrate this effect for several temperatures.
1021 Dose 1e15, cl0=default Dose 1e15, cl0=1.4*def. 1020 Concentration [cm-3] Dose 2e14, cl0=default Dose 2e14, cl0=1.4*def. 1019 1018

1017

1016 0 20 40 Depth [nm] 60 80

Figure 30

Effect of cl0 on B USJ annealing for high and medium implantation doses; the anneal is 5 s at 1050oC; B energy is 0.5 keV

clurate0, cluratew
The clustering rate determines the time in which the dopant clustering reaches equilibrium. Its effect on diffusion depends on the initial activation of dopants. If the initial activation is above the solid solubility (as may be the case, for example, after solid phase epitaxy), a low clustering rate maintains a superactivation of dopants. In the opposite case, a low clustering rate preserves a low dopant activation for a long time. For the clustering timescale, the most important issue is whether dopant clustering is faster or slower than the disappearance of the excess interstitials present after implantation. A comprehensive discussion of all conditions is beyond the scope of this documentation.

SRP profiles and sheet resistance


Spreading resistance profiling (SRP) profiles and sheet resistance measurements provide additional information on dopant activation and can be used for the calibration or testing of the clustering models. Unfortunately, for USJ profiles, the SRP technique is less accurate (and less direct) than SIMS. The clustering rate of Sb was calibrated with sheet resistance data. For Sb, a calibration of the clustering rate with SIMS is almost impossible because Sb diffuses very slowly and shows little 13 2 TED. Sheet resistance data was available for a number of annealing conditions after 10 cm 14 2 or 10 cm implantation. For the shortest anneal times and lowest annealing temperatures, the sheet resistance was higher than for the other conditions, reflecting a lower dopant

Advanced Calibration User Guide A-2008.09

189

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

12: Dios calibration method and limitations Dose loss model

activation. This behavior was calibrated by selecting a low initial active concentration acinit and refining the rate for cluster dissolution and formation until a good match with measured sheet resistances was obtained.

Accuracy for dopant activation and deactivation


The parameters of the Advanced Calibration are not very reliable for dopant activation and deactivation. The reason is that only a small number of SRP profiles was used in the calibration, compared to a large number of SIMS profiles that contain no direct information on the activation level of the dopants. Antimony deactivation, which plays a role in USJ formation with low-energy Sb implantation, has not been considered. In cases where most of the dopants are active, the sheet resistances are usually well reproduced when loading the results of Dios Advanced Calibration in a device simulation with Sentaurus Device.

Dose loss model


During annealing, a part of the implanted dose may diffuse out of the silicon. This part is not detected in a subsequent SIMS measurement, which is referred to as dose loss. For arsenic, it has been shown that most of the lost arsenic is captured in the interface between silicon and SiO2 within a few atomic monolayers [4]. For other dopants, it may be the same. Fluorine is also known to be lost during annealing. Possibly, a part of fluorine comes to rest at the SiSiO2 interface and increases the capability to build in boron. This is the clearest explanation for the experimental fact that the boron dose loss is higher after BF2 implantation than after B implantation.

Model
In Dios Advanced Calibration, the dose loss of B, As, P, and In is simulated by dopant segregation into oxide. In Figure 31 on page 191, the principle of the dose loss model is illustrated. At the SiSiO2 interface, the ratio of dopant concentration in silicon and oxide is governed by the segregation coefficient (sg0, sgw) and the flux parameter (stc0, stcw). In the calculations for Figure 31, a high segregation coefficient was used. Consequently, the dopant concentration at the oxide side of the interface is very high, and a part of the dose is lost for carrier transport in silicon. The interface between silicon and a 1.5 nm

190

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

12: Dios calibration method and limitations Dose loss model

oxide layer is situated at depth = 0 nm. During annealing, a part of the boron moved into the oxide layer. The first 12 nm of oxide substitute the capability of the interface to capture atoms.
1022 Oxide Concentration [cm-3] 1021 Silicon B simulated

1020

1019

1018 0 10 20 Depth [nm] 30 40

Figure 31

Dose loss into SiO2; red line shows a simulated boron profile after 1 keV B implantation and spike annealing

Figure 32 shows the effect of dose loss for a long-time, high-temperature anneal. The best agreement is obtained for sg0=140. All other simulation parameters are taken from the Advanced Calibration. In the upper-left corner, it is clear that a very high boron concentration is established in the SiO2 layer.
1021 Oxide SIMS profile No dose loss Concentration [cm-3] 1020 SG0 = 140 SG0 = 300

1019

1018

1017 0 100 Depth [nm] 200

Figure 32

Effect of segregation coefficient on B profile after BF2 implantation with E = 2.5 keV, dose = 5 x 1014 cm2, and 4-minute anneal at 1050oC

Advanced Calibration User Guide A-2008.09

191

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

12: Dios calibration method and limitations Dose loss model

Dopant diffusivity and mesh in oxide


Inside the oxide, the diffusivity of B, As, and P is increased with respect to the default values, but is still low. Therefore, there is a very steep dopant gradient at the oxide side of the interface. From the physics point of view, this is reasonable. A considerable amount of dopants can be built into a few monolayers around the SiSiO2 interface. Such behavior has been measured for arsenic [4] and can also be the case for other dopants. From the numerics viewpoint, the steep gradient causes a problem. A large fraction of the dopants in the oxide is ascribed to a single mesh point. This can lead to an overestimation of the dose loss due to numeric errors. It is necessary to have a very fine mesh at the interface to minimize such errors. Typically, at the oxide side of the SiSiO2 interface, the mesh point spacing should be a few angstroms only. With the default diffusivities of B, As, and P in oxide, this numeric aspect would require even finer meshes, leading to difficulties in 2D simulations. That is the only reason why the Advanced Calibration uses increased diffusivities of B, As, and P in oxide with respect to the well-established default values. The increased diffusivities in oxide are still much lower than dopant diffusivities in silicon. This prevents undesired artifacts, for example, a significant dopant diffusion in oxide spacer regions. Still, there may be rare cases where the simulation result is very sensitive to dopant diffusivity in oxide. In such cases, the Dios default values should be used for dopant diffusivity in oxide. This can be achieved by editing the parameter files.

Dopant penetration through a thin oxide


Using segregation into SiO2 for the dose loss modeling creates a problem for the simulation of MOSFETs. It can occur that dopants enter the gate oxide from the polysilicon side, diffuse through the gate oxide, and exit at the silicon side (dopant penetration). With the dose loss model used in Advanced Calibration, there can be a huge overestimation of dopant penetration through the gate oxide. It is important to avoid this. One possible way is to suppress completely the dopant flux from polysilicon to silicon. The corresponding Dios command is:
diff:(oxpo(b(stc0=0) as(stc0=0) p(stc0=0) in(stc0=0)))

An alternative is to replace a part of the gate oxide by another material, for example nitride, in the simulation. Then, the dopant diffusivity in nitride can be used to adjust the dopant penetration through the gate oxide.

192

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

12: Dios calibration method and limitations Dose loss model

Dose loss to materials other than oxide


Only dopant dose loss into SiO2 has been calibrated. If silicon is covered by some other material, for example nitride, the default values for segregation are used, which usually do not give a large dose loss.
NOTE

By placing different materials onto the silicon surface, if necessary, different parameters for dose loss modeling can be adjusted on different regions of the device. In this model, no dose loss occurs at the bare silicon surface. It is recommended to cover all bare silicon surfaces by a thin natural oxide during annealing steps to obtain an accurate simulation of dopant dose loss.

NOTE

Accuracy of dopant segregation


The parameters of the dose loss model for B, As, and P have been calibrated for USJ profiles, in particular, for conditions that are typical for sourcedrain and sourcedrain extension profiles in 180 nm to 65 nm CMOS technology. Only for such conditions can good accuracy be expected. In contrast, for low dopant concentration at the surface (for example, 18 3 < 10 cm ), the dose loss is often overestimated by the parameters of the Dios Advanced Calibration, and better results can be obtained by reducing the values of the segregation coefficient with respect to the Advanced Calibration values.

Low surface concentration of boron


When the surface concentration of boron is low (for example, in the channel of NMOS devices), boron is known to pile up towards the surface. This dopant pileup is destroyed by the high segregation coefficient that is calibrated for high concentration profiles. For such conditions, it may be necessary to replace the dose loss model by the default parameters for siox(b(sg0, sgw, stc0, stcw)). A physical explanation for this could be that the B segregation coefficient depends on the Fermi level at the interface. The segregation behavior of boron after a medium-dose BF2 implantation is not completely clear. Most probably, the fluorine diffuses to the SiSiO2 interface, where it helps B to segregate into the oxide.

Advanced Calibration User Guide A-2008.09

193

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

12: Dios calibration method and limitations Consistency of parameters

Boron dose loss after Si implantation for preamorphization


In the Dios Advanced Calibration, the B segregation coefficient is reduced after a silicon implantation. This is achieved by storing the information on the Si implantation in the Dios variable sgfac, which is used in a formula to calculate the B segregation coefficient sg0. The variable sgfac has been calibrated to ten SIMS profiles measured after Si preamorphization, B implantation, and anneal, with all experiments having the same B implantation conditions 14 2 (500 eV, 5 10 cm ). It is possible that the formula used for sgfac is not reliable for other B implantation conditions.

Dose loss for anneals with high thermal budget


In long-time anneals (for example, 1 hour at 1000 C ) or very high-temperature anneals (for example, 1150 C ), the dopant diffusion in the oxide can be overestimated and, consequently, the dose loss is calculated too high with the Advanced Calibration parameters. In addition, it depends on the oxide thickness and will be higher for regions covered with thick oxides than for regions covered with thin oxides. In such cases, it is best to reduce the diffusivity of the dopants in the oxide to adjust the required amount of dose loss. This problem does not occur in the three-phase segregation model, which is used in the Advanced Calibration of Sentaurus Process.

Consistency of parameters
Many parameters depend on the implantation conditions. This is no problem for parameters such as ifactor, which have a meaning only for a single implantation step. However, if a diffusion parameter is set to different values in several implantation steps of a process simulation, there may be a severe inconsistency problem. In this section, this is discussed for all such parameters of the Advanced Calibration. In the Advanced Calibration for Sentaurus Process, these problems do not exist because the diffusion and segregation parameters do not depend on implantation conditions like in Dios Advanced Calibration.

acinit
If acinit is set twice in two subsequent implantations with no diffusion in between, the first value will be overwritten by the second. If both values are significantly different, the initial activation of the first profile is wrong, which can lead to consecutive errors.

194

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

12: Dios calibration method and limitations Consistency of parameters

As a solution to this inconsistency, insert a dummy diffusion step in between the two implantations, for example, in the case of an indium halo implantation followed by an arsenic extension implantation:
... impl(elem=in, dose=1e13, tilt=30, rotation=90, energy=120) diff:(acinit=2e18) diff(temperature=500, time=1e-12) impl(elem=as, dose=5e14, tilt=0, energy=2) diff:(acinit=4e18) ...

The third line is a dummy diffusion step. The diffusion time is extremely short, therefore, the dopant redistribution can be neglected. However, this dummy diffusion fixes the initial activation (acinit=2e18) of indium before it is overwritten by the initial activation that is to be used for As (acinit=4e18). If the implantation is performed with Crystal-TRIM, this workaround has the disadvantage that the implantation crystal damage is removed after the dummy diffusion, which increases the ion channeling in the second implantation. In Sentaurus Process, this particular problem does not exist because acinit can have different values for different dopant species.

Interstitial solid solubility (Dios: si(i(cl0)))


The Arrhenius prefactor of the interstitial solid solubility depends on the implantation conditions. It is changed from the default for As, Ge, and Si implantations. As shown in Figure 27 on page 185, si(i(cl0)) governs the time dependence of the TED. Using different values of si(i(cl0)) in a long process simulation is often not a problem. Typically, most of the interstitials that come from one ion implantation have recombined after the first annealing step following the implantation. In this case, there is no reason why si(i(cl0)) cannot be changed after the next implantation step. Again, a consistency problem arises for a halo implantation followed by extension implantation, or vice versa. In this case, it is recommended to use the value si(i(cl0)), which corresponds to the extension implantation, because the simulation of ultrashallow profiles needs a higher accuracy. After preamorphization by a Ge or Si implantation, a relatively stable damage layer forms at the interface region between amorphized and crystalline silicon, usually consisting of so-called end-of-range dislocation loops. These loops act as a sink of interstitials in the very early stage of TED and as a source of interstitials later [5], thereby retarding the TED.

Advanced Calibration User Guide A-2008.09

195

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

12: Dios calibration method and limitations References

The loops may be present until the end of a fabrication process and dominate the TED timescale. Therefore, after a Ge or Si implantation, the low values of si(i(cl0)) are preserved for the rest of the process.

Boron segregation coefficient (Dios: siox(b(sg0)))


The prefactor of the Arrhenius-type segregation coefficient of B depends very strongly on implantation conditions. In the Advanced Calibration, siox(b(sg0)) is higher for BF2 than for B implantation, it is reduced by a Si implantation and, in addition, it depends on the B (or BF2) implantation energy.
siox(b(sg0)) is important not only for the initial phase of annealing, but also for all diffusion steps that follow an implantation. Therefore, overwriting a given parameter value in a subsequent implantation step can cause an inconsistency problem. This can occur, for example, when a process involves several B or BF2 implantation steps.

In such a case, you should decide in which part of the device the accuracy is most important and make a choice of parameters manually. For example, in a PMOS with BF2 extension implantation and B sourcedrain implantation, the accuracy of dose loss modeling is probably more important for the extension region than for the sourcedrain region. After boron implantation, you can reset the value of si(b(sg0)) to the value given after the BF2 implantation, by direct insertion of an appropriate statement diff:(siox(b(sg0=...))) in the Dios input file.

References
[1] C. Zechner et al., TCAD Calibration of USJ Profiles for Advanced Deep Sub-m CMOS Processes, Nuclear Instruments and Methods in Physics Research B, vol. 186, no. 14, pp. 303308, 2002. P. Pichler, Intrinsic Point Defects, Impurities, and Their Diffusion in Silicon, Computational Microelectronics, Vienna: Springer, 2004. A. Hfler et al., A technology oriented model for transient diffusion and activation of boron in silicon, Journal of Applied Physics, vol. 78, no. 6, pp. 36713679, 1995. Y.-S. Oh and D. E. Ward, A Calibrated Model for Trapping of Implanted Dopants at Material Interface During Thermal Annealing, in IEDM Technical Digest, San Francisco, CA, USA, pp. 509512, December 1998. C. Bonafos, D. Mathiot, and A. Claverie, Ostwald ripening of end-of-range defects in silicon, Journal of Applied Physics, vol. 83, no. 6, pp. 30083017, 1998.

[2] [3] [4]

[5]

196

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

APPENDIX A

Merger
This appendix describes the software application Merger.

Using Merger
Merger is a software package that provides an interface for TSUPREM-4 and Dios process flow markup. It merges a raw process flow with process parameters or additional process steps, following well-defined rules, to an input command file of the process simulator TSUPREM-4 or Dios. The inputs to Merger are a Merger process flow file and a markup TSUPREM-4 or Dios Rules file. The output is a TSUPREM-4 or Dios command file. Merger provides a graphical editor and a markup module.

Process Merger Rules TSUPREM-4 and Dios Command File

Figure 33

Merger operating flow

Merger Editor
Merger Editor is the graphical representation of a Merger Rules file. It provides a convenient graphical user interface to edit and apply the rules. The Merger Editor has been designed with different work areas. Figure 34 on page 198 shows the Merger Editor main window: Project area Process area This is the first area of the main window and consists of a field for the project path and an Open button. This is the second area of the main window and consists of a field for the path and file name of the Merger process flow file, and the buttons Open, New, and Edit.

Advanced Calibration User Guide A-2008.09

197

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

A: Merger Merger Editor

Markup area

This is the third area of the main window and consists of a Rules area, a field for the path and file name of the TSUPREM-4 or Dios Rules file, and the buttons Open, New, Edit, and Save. This area has a title field and three tabs. The Gridblock tab has a text field. The Startblock tab has a text field. The Pattern-Actions tab has a table of Rules and several buttons. Together, the Simulator field, Title field and the Gridblock, Startblock, and PatternActions tabs represent the contents of the Rules file. This area consists of a field for the path and file name of the TSUPREM-4 or Dios command file, and the buttons Open, New, Edit, Merge, and Merge All.

Rules area

Output area

Figure 34

Merger Editor main window

198

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

A: Merger Starting Merger Editor

Starting Merger Editor


To start the Merger Editor from the command line, type merger, optionally followed by command-line options. The usage can be obtained by typing merger -help:
%merger -help Usage: merger [options] Description: The Merger is a batch or an interactive mode tool for Dios and TSUPREM-4 input command file markup. It applies rules on the flow and creates a merged flow file. Options: -batch -default -h[elp] -output <OUTPUT> -process <PROCESS> -project <PROJECT> -rules <RULES> -verbose -v[ersion] Arguments: OUTPUT PROCESS PROJECT RULES

: : : : : : : : :

Run in batch mode. Reset preferences to default settings. Display this help message. Create a file containing the merged flow. Load a process flow file. Load a project. Load a markup rules file. Print processing info. Display the version number.

: : : :

A A A A

Dios or TSUPREM-4 command file. Merger process flow file. Sentaurus Workbench project directory. Dios or TSUPREM-4 Rules file.

Version information may be relevant when sending problems to the Synopsys Technical Support Center. It can be obtained with the v option.

Advanced Calibration User Guide A-2008.09

199

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

A: Merger Starting Merger Editor

Navigating the Merger Editor


The Merger Editor functionality allows you to perform various tasks within the workflow process. Table 6 lists the various buttons of the graphical user interface (GUI).
Table 6
Command

Merger Editor toolbar buttons


Icon Shortcut keys Description

New Open Save Merge Merge All Edit Ctrl+S Ctrl+M Ctrl+N

Creates a new, empty file Opens a file Saves a Rules file under an existing name Merges selected Merger files Merges all Merger files of the selected project Opens the file in a text editor

Opening a project
To open a project directory: 1. File > Open > Project or click the Open button in the Project area. The Open dialog box is displayed. 2. Select the required project directory.
NOTE

It is not possible to create a project directory by using the Merger Editor.

Figure 35

Opening a project from File menu

200

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

A: Merger Starting Merger Editor

Creating a new Merger process file


To create a new Merger process file:

File > New > Merger File or click the New button in the Process area.

Figure 36

Creating a new Merger file from File menu

Opening a Merger process file


To open a Merger process file: 1. File > Open > Merger File or click the Open button in the Process area. The Open dialog box is displayed. 2. Select the required Merger process file.

Editing a process flow


To edit the process flow, open a text editor: 1. Click the Edit button in the Process area. The text editor SEdit is displayed. 2. Edit the Merger process file.

Creating a new Rules file


To create a new Rules file:

File > New > Rules File or click the New button in the Markup area.

Advanced Calibration User Guide A-2008.09

201

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

A: Merger Starting Merger Editor

Opening a Rules file


To open a Rules file: 1. Select a Simulator in the Markup area. 2. File > Open > Rules File or click the Open button in the Markup area. The Open dialog box is displayed. 3. Select the required Rules file.

Editing rules
The simplest way to edit rules is to use the Merger Editor (see Editing rules with Merger Editor on page 203). Alternatively, to edit a Rules file directly, open a text editor: 1. Click the Edit button in the Markup area. The text editor SEdit is displayed. 2. Edit the Rules file.

Creating a new output file


To create a new output file:

File > New > Output File or click the New button in the Output area.

Opening an output file


To open an output file: 1. File > Open > Output File or click the Open button in the Output area. The Open dialog box is displayed. 2. Select the required output file.

Editing the output


To edit the output file, open a text editor: 1. Click the Edit button in the Output area. The text editor SEdit is displayed. 2. Edit the output file.

202

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

A: Merger Starting Merger Editor

Editing rules with Merger Editor


The Merger Rules file consists of the Gridblock, Startblock, and Pattern-Actions tabs. These sections are displayed and can be edited in the Rules area of the Markup area. The sections can be accessed by using the tabs.

Editing the title


To edit the title:

Type the title in the Title field.

The balloon help shows the comment before the title block in the Merger Rules file.
NOTE

Comments cannot be added through the Merger Editor. You must use a text editor.

Figure 37

Title field for the Rules file

Editing the gridblock


To edit the gridblock: 1. Click the Gridblock tab. The gridblock text is displayed. 2. Edit the text.

Figure 38

Gridblock page

Advanced Calibration User Guide A-2008.09

203

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

A: Merger Starting Merger Editor

Editing the startblock


To edit the startblock: 1. Click the Startblock tab. The startblock text is displayed. 2. Edit the text.

Figure 39

Startblock page

Editing a pattern-action
To edit a pattern-action: 1. Click the Pattern-Actions tab. The Pattern-Actions table is displayed. Every pattern-action rule is displayed in one row of the table. 2. Edit the pattern-action: a) Select the Enable option to make a pattern-action available. b) Click the Step arrow to select an option. c) To edit the Condition or any Action cell, double-click the corresponding table cell. An editable text dialog box is displayed. The table cells themselves cannot be edited. The balloon help, which appears when the cursor is over a row number, shows the comment before the corresponding pattern-action in the Merger Rules file.
NOTE

Comments cannot be added through the Merger Editor. You must use a text editor.

204

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

A: Merger Starting Merger Editor

Figure 40

Pattern-Actions page

Adding a new pattern-action


To add a new pattern-action: 1. Edit > Add Pattern-Action. The Add dialog box is displayed. 2. Select the position where the new pattern-action is to be added. 3. Click OK. Alternatively, a new pattern-action can be added from the Pattern-Actions page of the Rules area: 1. Select the position where the new pattern-action is to be added. 2. Click the Plus button.

Deleting a pattern-action
To delete a pattern-action: 1. Edit > Delete Pattern-Action. The Delete dialog box is displayed. 2. Select the pattern-action to be deleted. 3. Click OK. Alternatively, a pattern-action can be deleted from the Rules area: 1. Select the pattern-action to be deleted. 2. Click the Minus button.

Advanced Calibration User Guide A-2008.09

205

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

A: Merger Starting Merger Editor

Undoing an editing step


To undo a pattern-action editing step:

Edit > Undo or click the corresponding button in the Rules area.

Figure 41

Undoing the last pattern-action editing step

Saving a Rules file


To save the changes applied to a Rules file:

File > Save or click the Save button in the Markup area.

To write the changes to a new Rules file: 1. File > Save As. The Save dialog box is displayed. 2. Select the Rules file path and name.

Merging
Merging a single Merger file
To merge a Merger process file and Rules file to a selected output file:

File > Merge or click the Merge button in the Output area.

While the progress bar in the status bar of the Merger editor is highlighted and increasing, the files are merging.

206

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

A: Merger Starting Merger Editor

Merging all Merger files


To merge all Merger process files of a selected project with a selected Rules file:

File > Merge All or click the Merge All button in the Output area.

All files in the selected project directory with names following the format *mer.cmd are merged to the corresponding files *ts4.cmd in the case of TSUPREM-4 or *dio.cmd in the case of Dios. While the progress bar in the status bar of the Merger Editor is highlighted and increasing, the files are merging. To cancel the merging process:

File > Stop Merge.

The output files are named automatically according to the naming scheme of the output files.

Options
Displaying argument parameters
To display (to the standard output) the parameter arguments and values of the Merger file being merged: 1. Options > Display. 2. Select the parameter type: implantation, diffusion, load, or line parameters. While merging, the selected parameters are displayed, that is, in the case of implantation of Dios, the statement in the third line of the Merger file:
Implantation(element=as, energy=0.2, dose=1e+15, tilt=7, rotation=338)

will have the following displayed in the standard output:


Implantation Parameters (2): element = as energy = 0.2 dose = 1000000000000000.0 tilt = 7 rotation = 338

Advanced Calibration User Guide A-2008.09

207

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

A: Merger Merger Markup module

Preferences
To declare the Acrobat Reader as the manual editor: 1. Options > User Preferences. The Merger Preferences dialog box is displayed. 2. Click the Open button to select the Acrobat Reader binary. 3. Click the Save button to save the preferences. 4. Click the Cancel button to close the dialog box. To open the manual:

Help > Help Manual.

Merger Markup module


The Merger Markup module merges the Merger process file with the Rules file and writes the merged flow to the output file. This can be initiated interactively through the Merger Editor (see Merging on page 206) or in batch mode. To merge in batch mode, type on the command line:
%merger -batch -process <*> -rules <*> -output <*> NOTE

It is mandatory to list the Merger process, and Rules and output files to run Merger in batch mode successfully.

Sentaurus Workbench project


You can select a project of Sentaurus Workbench interactively or in batch mode by typing on the command line:
%merger [-batch] -project <*>

The project will be the default path to the Merger input and output files. This is very convenient for marking up all process flows of a project of Sentaurus Workbench. By clicking the Merge All button, all flows of a project that follow the Merger file-naming scheme (see Merger file on page 209) are merged.

208

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

A: Merger Merger Markup module

Merger file
The Merger file contains the physical flow of the process but may not contain the parameters of the models implemented in the process simulator. However, Merger expects the Merger file to have TSUPREM-4 or Dios syntax and units. If used for a project of Sentaurus Workbench, the name of the Merger file must have the format:
*mer.cmd

where * is a wild card. In the case of Dios, the Merger file does not have to contain the title, grid, or end statements.

Merger file variables


The Merger file can contain calculations and variables. The calculations can contain the operators +, , *, /, and ( ). In the case of TSUPREM-4, the variables must be defined by an assign statement, as in TSUPREM-4 syntax, for example:
assign name=var n.val=1.0

In the case of Dios, the variables must be defined by a set statement, as in Dios syntax, for example:
set var=1.0

If a variable is set again in the file, the variable is redefined and the last value is valid. To call this variable for TSUPREM-4, an @ sign must be prepended to the variable name, for example:
@var

To call this variable for Dios, a dollar sign ($) must be prepended to the variable name, for example:
$var

Advanced Calibration User Guide A-2008.09

209

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

A: Merger Merger Markup module

If a variable contains a unit, the variable can only be used as corresponding parameters accepting the same unit, for example:
set example_temp 1000K Diffusion(temperature=$example_temp) Diffusion(temprate=$example_energy/1sec) # ok # error

The Merger file may contain preprocessor branch statements of Sentaurus Workbench such as:
#if <@ variant == 1 @> set extdose=6e14 #else set extdose=8e14 #endif

In this case, wherever Merger needs to evaluate $extdose, it will use the value 8e14. This is because Merger regards everything following a # as a comment.

Rules file
The Rules file contains the geometric and parametric information needed for the process simulation. It is structured into four blocks: the title, the gridblock for the grid, the startblock for global setup, and the pattern-actions table for conditional parameters per process step. The TSUPREM-4 Rules file usually has the file format:
*.smr

where * is a wild card. The Dios Rules file usually has the file format:
*.dmr

where * is a wild card.

Title
The title contains the string for the title line of the simulation. For example:
calibration

corresponds to the TSUPREM-4 command:


ECHO calibration

210

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

A: Merger Merger Markup module

and the Dios title command:


Title('calibration')

If the title string is not empty, the corresponding Dios title statement is added to the flow or replaces the Dios title statement from the input flow. If the title string is empty, no Dios title statement is added to the flow and the Dios title statement from the input flow is conserved.

Gridblock
The gridblock contains the grid for the simulation, for example:
Grid(Type=1d Xleft=0.000, Xright=1.000, y(0.4,0.1,0.02,0.005,0.002) ny(5,5,5,2)

If the gridblock string is not empty, the corresponding grid statement is added to the beginning of the flow. If the gridblock string is empty, no grid statement is added to the flow and the grid from the input flow is conserved.

Startblock
The startblock contains global parameters and setups preceding the process flow, for example:
repl(cont(MaxTrl=1)) repl(cont(newdiff=1,sidiff=off)) diff:(moddiff=pairdiffusion segregation=on o2diff:=diffusion)

Pattern-actions
The pattern-action is a conditional action depending on the occurrence of a pattern in a process flow. Syntax The merge criterion the pattern consists of a process step and conditions connected by logical operators &&, ||, !, and grouped by parentheses. The operator && means and, || means or, and ! means not. The step consists of one keyword, for example, implantation. The conditions consist of arguments for the keyword. Some arguments consist of an argument type and a value connected by comparators ==, <=, >=, <, >, or !=. Some are without comparator or type.

Advanced Calibration User Guide A-2008.09

211

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

A: Merger Merger Markup module

An example merge criterion is:


step: implantation

condition: element==B && dose>1e14

Table 13 on page 217 lists the keywords and their syntax allowed in the search criterion. The merge activity the action consists of a Prepend, an Insert, an Append, and an AlsoLoad block. The Prepend or Append block is prepended or appended to the statement that matches the condition. The Insert block is inserted in the statement argument list matching the condition. These action block arguments may contain parameters (starting with @ or $), which obtain their values from the parameters of the corresponding process step upon merging, for example, the parameter energy for the process step implantation. Only for load statements are additional load statements added for each line in the AlsoLoad block. The additional load statements differ from the original load statements only in the parameters that are listed in the AlsoLoad block. An example merge activity is:
Prepend: Insert: Append: AlsoLoad: gra(cross spe(Btotal Bactive I0)) particles=5000 diff:(acinit=1e16)

Table 14 on page 218 lists the action syntax for the different keywords, actions, and parameters.

Output file
For TSUPREM-4, the Merger output is the TSUPREM-4 input command file, that is:
*ts4.cmd

where * is a wild card. For Dios, the Merger output is the Dios input command file, that is:
*dio.cmd

where * is a wild card.

212

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

A: Merger Merger Markup module

Merging
When executing a merge, the non-empty title, gridblock, and startblock are appended to the process flow, and the rules of the Rules file are applied to the process flow. Merger compares the argument values listed in the pattern of the pattern-action with the argument values of every corresponding process step of the flow by following certain criteria: 1. For every step of the flow, the pattern-actions are applied in the order they appear in the Pattern-Actions table, for example: having pattern 1 and pattern 2 match <step( arguments )> the result is:
prepend-action 1 prepend-action 2 step ( arguments, insert-action 1, insert-action 2 ) append-action 1 append-action 2

2. The pattern-actions are only applied on the un-merged input flow, that is, every patternaction is applied to every step in the initial state before merging, but are not applied to an eventually changed step due to a match of an earlier pattern-action. 3. Merger preprocesses the variables in the Merger file. Therefore, the variables are taken into account in the argument statements of the flow for evaluation of the pattern-action. To monitor the flow arguments, the parameters of every process step are displayed in the standard output window if the option is selected from the GUI menu. The end statement is set automatically. When executing merge all, all Merger files within a project directory with names following the format *mer.cmd are merged to corresponding TSUPREM-4 files *ts4.cmd or Dios files *dio.cmd. This feature is convenient for merging a whole project. For example, consider the Dios flow:
Substrate(conc=1e14,elem=b,ysubs=0,orientation=100) Deposit(mat=ox,thickness=0.6nm) Implantation(element=as, energy=0.2, dose=1e+15, tilt=7, rotation=338) Implantation(element=b, energy=0.5, dose=5e+14, tilt=7, rotation=338) Diffusion(time=8s, temperature=1050)

Advanced Calibration User Guide A-2008.09

213

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

A: Merger Merger Markup module

Merged with a Rules file containing the example title, gridblock, startblock, merge criterion, and activity of Rules file on page 210, the following Dios commands result:
Title('calibration') Grid(Type=1d Xleft=0.000, Xright=1.000, y(0.4,0.1,0.02,0.005,0.002) ny(5,5,5,2,2) repl(cont(MaxTrl=1)) repl(cont(newdiff=1,sidiff=off)) diff:(moddiff=pairdiffusion segregation=on o2diff:=diffusion) Substrate(conc=1e14,elem=b,ysubs=0,orientation=100) Deposit(mat=ox,thickness=0.6nm) Implantation(element=as, energy=0.2, dose=1e+15, tilt=7, rotation=338) gra(cross spe(Btotal Bactive I0)) Implantation(element=b, energy=0.5, dose=5e+14, tilt=7, rotation=338 particles=5000) diff:(acinit=1e16) Diffusion(time=8s, temperature=1050) end

214

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

A: Merger Reference guide

Reference guide
Merger Editor menu options
The different menu options available in the Merger Editor are listed in this section.
Table 7
Command

File menu options


Icon Shortcut keys Description

New Open Save Save As Merge Merge All Stop Merge Exit Ctrl+M Ctrl+N Ctrl+H Ctrl+Q Ctrl+S

Creates a new, empty file Opens a file Saves a Rules file under an existing name Saves a Rules file under another name Merges selected Merger files Merges all Merger files of a selected project Stops merging Exits Merger Editor

Table 8
Command

Edit menu options


Icon Shortcut keys Description

Undo Cut Copy Paste Delete Add Pattern-Action Delete Pattern-Action

Ctrl+Z Ctrl+X Ctrl+C Ctrl+V Delete key Ctrl+A Ctrl+D

Undoes a previous operation Cuts a selection Copies a selection Pastes a cut or copied selection Deletes a selection Adds a new pattern-action Removes a pattern-action

Table 9
Command

Options menu options


Icon Shortcut keys Description

Display User Preferences

Displays parameter of selected type User preferences for Merger

Advanced Calibration User Guide A-2008.09

215

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

A: Merger Reference guide

Table 10
Command

Help menu options


Icon Shortcut keys Description

Documentation About Ctrl+B

Provides Advanced Calibration manual as PDF Provides information about the version

Merger Editor keyboard navigation


The Tab and arrow keys allow navigation in the Merger Editor using the keyboard.
Table 11
Key

Main window option


Description

Tab

Allows for movement between buttons and windows

Table 12
Key

Rules table options


Description

Up Arrow/Down Arrow Right Arrow/Left Arrow Page Up/Page Down Home/End Mouse wheel

Allows for moving up or down by one rule Allows for moving left or right Allows for moving up or down by one page Allows for moving to the start or end of the table Allows for moving up or down by one rule

Merger Rules syntax reference


The Merger Rules syntax for pattern-actions is subdivided into pattern syntax and action syntax.

Pattern syntax
The merge criterion the pattern consists of a process step and conditions connected by logical operators &&, ||, !, and grouped by parentheses. The operator && means and, || means or, and ! means not. The step consists of one keyword. The conditions consist of arguments for the keyword. Some arguments consist of an argument type and a value connected by comparators ==, <=, >=, <, >, or !=. Some are without comparator or type.

216

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

A: Merger Reference guide

Table 13 lists the keywords and their syntax allowed in the search criterion.
Table 13
Keyword

Keywords and syntax


Meaning Arguments Example

implantation Implantation statement scan

element, elem energy, en dose tilt rotation, rot

elem==as en>0 dose>=1e12 tilt!=0 rot<1 maxT==1000 totaltime>5 peaktime!=0 po2>0 ph2o!=0 "AMAT" "comment"

diffusion

Diffusion statement scan

maxT (maximal temperature) totaltime (total time) peaktime (time at max. temperature) po2 (partial pressure for O2) ph2o (partial pressure for H2O)
<string> <string>

load line

Load statement scan Process file scan (no load, diffusion, or implantation statements)

NOTE

In the case of mixed atmosphere, Merger does not convert the flow of H2 into a partial pressure of H2O. If you want to specify a condition for atmospheres with H2, the line keyword must be used. For example, for Dios, the presence of H2 in a mixed atmosphere can be checked by a line condition with the argument "h2=" || "h2 =" || "h =".

Action syntax
The merge activity the action consists of a Prepend, an Insert, an Append, and an AlsoLoad block. The Prepend or Append block is prepended or appended to the statement that matches the condition. The Insert block is inserted in the statement argument list matching the condition. These action block arguments may contain parameters (starting with $), which obtain their values from the parameters of the corresponding process step upon merging. Only for load statements are additional load statements added for each line in the AlsoLoad block. The additional load statements differ from the original load statements only in the parameters that are listed in the AlsoLoad block.

Advanced Calibration User Guide A-2008.09

217

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

A: Merger Reference guide

Table 14 lists the action syntax for the different keywords, actions, and parameters.
Table 14
Keyword

Keywords and syntax


Actions Arguments Parameters

implantation Prepend Insert Append diffusion Prepend Insert Append Prepend Insert Append AlsoLoad Prepend Insert Append

<string> <string> <string> <string> <string> <string> <string> <string> <string> ?file=*?, ?type=*?, ?species=*?, ?scaling=*? <string> <string> <string>

$energy, $dose, $tilt, $rotation $energy, $dose, $tilt, $rotation $energy, $dose, $tilt, $rotation $maxT, $totaltime, $peaktime, $po2, $ph2o $maxT, $totaltime, $peaktime, $po2, $ph2o $maxT, $totaltime, $peaktime, $po2, $ph2o

load

line

Merger Rules file syntax


The Merger Rules file syntax has five blocks: the simulator, the title, the gridblock for the grid, the startblock for global setup, and the pattern-actions table for conditional parameters per process step. In the following, the string enclosed in [] explains the function of the text at this position. The simulator has the syntax (for TSUPREM-4):
Simulator { TSUPREM-4 }

and the syntax for Dios is:


Simulator { DIOS }

If no simulator is specified, Dios is the default simulator. The title has the syntax:
Title { [title string] }

The gridblock has the syntax:


Gridblock { [gridblock] }

218

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

A: Merger Reference guide

The startblock has the syntax:


Startblock { [startblock] }

A pattern-action has the syntax:


Condition ( [enable] ) if ( [step] && [condition] ) { prepend { [prepend string] } insert { [insert string] } append { [append string] } alsoload { [alsoload string] } }

The first line starts and ends with ##, containing Merger information:
## [Merger information] ##

Comment lines outside of the four blocks shown above must begin with #:
# [comment string]

An example of a Merger Rules file is:


## DIOS RULES FILE GENERATED BY Merger ## # title comment # Title {title} # gridblock comment Gridblock { Grid(Type=1d) } # startblock comment # comment Startblock { } # pattern-action 1 comment Condition (1) if ( implantation && element==B ) { prepend {gra(cross spe(Btotal Bactive I0))} } # pattern-action 2 comment Condition (0) if ( implantation && element==Bf2 ) { insert {particles=5000} append {diff:(acinit=1e16)} }

Advanced Calibration User Guide A-2008.09

219

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

A: Merger Reference guide

Sentaurus Workbench integration of Merger


Merger can be integrated into Sentaurus Workbench by extending the tool database file gtooldb.tcl.

TSUPREM-4 prologue
In the case of merging the TSUPREM-4 command file tsuprem4_ts4.cmd of a TSUPREM-4 step without Ligament, call Merger in the corresponding TSUPREM-4 prologue command. Therefore, the Merger Rules defined as the variable @calibration@ of Sentaurus Workbench are applied to the preprocessed TSUPREM-4 command files pp@node@_ts4.cmd. The output is the TSUPREM-4 command files n@node@_ts4.cmd. The Merger GUI can be called from Tool > Edit Input > Merger Rules. To achieve the changes previously mentioned, the TSUPREM-4 tool definition in gtooldb.tcl must be manipulated similar to the following:
#TOOL BEGIN tsuprem4 set WB_tool(tsuprem4,prologue) { \ global app_data ;\ os_exec "merger -batch -verbose \ -process @pwd@/@commands@\ -rules @pwd@/@calibration@ \ -output @pwd@/n@node@_ts4.cmd" \ } set set set set WB_tool(tsuprem4,input) [list commands merger] WB_tool(tsuprem4,input,merger,file) "." WB_tool(tsuprem4,input,merger,label) "Merger Rules..." WB_tool(tsuprem4,input,merger,editor) merger

#TOOL END

#INPUT-EDITORS BEGIN set WB_binaries(editor,merger) lappend WB_editor(all) merger #INPUT-EDITORS END "$app_data(bin_dir)/merger -project"

220

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

A: Merger Reference guide

In the case of merging the TSUPREM-4 command file of a TSUPREM-4 step with Ligament, call Merger in the corresponding TSUPREM-4 prologue command differently:
set WB_tool(tsuprem4,prologue) { \ global app_data ;\ os_exec "merger -batch -verbose \ -process @pwd@/n@node@_ts4.cmd \ -rules @pwd@/@calibration@ \ -output @pwd@/n@node@_ts4.cmd" \ }

First,

Ligament

first

translates

the

preprocessed

Ligament

command

files

pp@node@_lig.cmd to n@node@_ts4.cmd. Second, the Merger Rules defined as the variable @calibration@ of Sentaurus Workbench are applied to n@node@_ts4.cmd.

TSUPREM-4 setup
In the case of the Calibration Kit, Merger is called in the TSUPREM-4 setup command. Merger applies the Merger Rules defined in the variable @calibration@ of Sentaurus Workbench on the flow files b@nr@_mer.cmd and writes the file b@nr@_ts4.cmd. The TSUPREM-4 command file tsuprem4_ts4.cmd includes the file b@nr@_ts4.cmd. Therefore, instead of the setup and prologue of the TSUPREM-4 definition in TSUPREM-4 prologue on page 220, the following commands are used:
set WB_tool(tsuprem4,setup) { global env set env(S4OUT) n@node@_ts4out.tmp os_exec "merger -batch \ -process @pwd@/b@nr@_mer.cmd \ -rules @pwd@/@calibration@ \ -output @pwd@/b@nr@_ts4.cmd" os_ln_rel @commands@ n@node@_ts4.cmd "@pwd@" } set WB_tool(tsuprem4,prologue) { }

Dios prologue
In the case of merging the Dios command file dios_dio.cmd of a Dios step without Ligament, call Merger in the corresponding Dios prologue command. Therefore, the Merger Rules defined as the variable @calibration@ of Sentaurus Workbench are applied to the preprocessed Dios command files pp@node@_dio.cmd. The output is the Dios command files n@node@_dio.cmd. The Merger GUI can be called from Tool > Edit Input > Merger Rules.

Advanced Calibration User Guide A-2008.09

221

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

A: Merger Reference guide

To achieve the changes previously mentioned, the Dios tool definition in gtooldb.tcl must be manipulated similar to the following:
#TOOL BEGIN dios set WB_tool(dios,prologue) { \ global app_data ;\ os_exec "merger -batch -verbose \ -process @pwd@/@commands@ \ -rules @pwd@/@calibration@ \ -output @pwd@/n@node@_dio.cmd" \ } set set set set WB_tool(dios,input) [list commands pref merger plot grid boundary] WB_tool(dios,input,merger,file) "." WB_tool(dios,input,merger,label) "Merger Rules..." WB_tool(dios,input,merger,editor) merger

#TOOL END #INPUT-EDITORS BEGIN set WB_binaries(editor,merger) lappend WB_editor(all) merger #INPUT-EDITORS END# "$app_data(bin_dir)/merger -project"

In the case of merging the Dios command file of a Dios step with Ligament, call Merger in the corresponding Dios prologue command differently:
set WB_tool(dios,prologue) { \ global app_data ;\ os_exec "merger -batch -verbose \ -process @pwd@/n@node@_dio.cmd \ -rules @pwd@/@calibration@ \ -output @pwd@/n@node@_dio.cmd" \ }

First, Ligament translates the preprocessed Ligament command files pp@node@_lig.cmd to n@node@_dio.cmd. Second, the Merger Rules defined as the variable @calibration@ of Sentaurus Workbench are applied to n@node@_dio.cmd.

222

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

A: Merger Reference guide

Dios setup
In the case of the Calibration Kit, Merger is called in the Dios setup command. Merger applies the Merger Rules defined in the variable @calibration@ of Sentaurus Workbench on the flow files b@nr@_mer.cmd and writes the file b@nr@_dio.cmd. The Dios command file dios_dio.cmd includes the file b@nr@_dio.cmd. Therefore, instead of the setup and prologue of the Dios definition in Dios prologue on page 221, the following commands are used:
set WB_tool(dios,setup) { global app_data if { [catch { os_exec "$app_data(bin_dir)/merger -batch \ -process @pwd@/b@nr@_mer.cmd \ -rules @pwd@/@calibration@ \ -output @pwd@/b@nr@_dio.cmd" } err] } { puts "merger FAILED: $err" } else { puts "Merged b@nr@_mer.cmd to b@nr@_dio.cmd" } os_ln_rel @commands@ n@node@_dio.cmd @pwd@ } set WB_tool(dios,prologue) { }

Advanced Calibration User Guide A-2008.09

223

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

A: Merger Reference guide

224

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

Glossary: Merger
This glossary contains the most frequently used terms with regard to the Merger application.

A
action Edit action on a flow. argument A step argument consists of an argument value and, in some cases, an argument unit. argument unit Physical unit of an argument. argument value Value of an argument.

C
condition Logical conditional statement of arguments for a step.

D
Dios file Dios command file. Dios Rules file File containing rules (gridblock, startblock, pattern-actions) for Dios.

F
flow Linear representation of a process.

Advanced Calibration User Guide A-2008.09

225

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

Glossary: Merger G

G
gridblock Block to be added as a grid.

M
markup Raising complexity from a simple level to a higher one. merge Command that applies the rules of a Rules file to the process of a Merger file. merge all Command whereby all Merger files of the current Sentaurus Workbench project are merged. Merger Editor Merger interactive software module. Merger file Process file used as input to Merger. Merger Markup module Merger batch software module. Merger process file See Merger file. Merger process flow file See Merger file.

P
pattern The step and condition that are checked in a flow. pattern-action Conditional action that depends on a pattern.

226

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

Glossary: Merger R

process Sequence of steps. process flow A Merger flow.

R
Rules file See Dios Rules file.

S
Sentaurus Workbench project Directory containing files in Sentaurus Workbench format. simulation Modeling reality with computer assistance. startblock Block to be added as a global setup. step One single command in the process flow such as implantation, diffusion, etch, deposit, and substrate of measurements.

T
TSUPREM-4 Rules file File containing rules (gridblock, startblock, pattern-actions) for TSUPREM-4.

V
variable Variables are defined in the Merger file and used as arguments.

Advanced Calibration User Guide A-2008.09

227

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

Glossary: Merger V

228

Advanced Calibration User Guide A-2008.09

www.cadfamily.com EMail:cadserv21@hotmail.com The document is for study only,if tort to your rights,please inform us,we will delete

You might also like