You are on page 1of 4

CPF

Pushing power forward


with Common Power Format
By Dr. Chi-Ping Hsu
Chief Strategist
Product and Technology
Cadence Design Systems

Necessity, the mother of


invention
It is no news flash that power
has moved to centre stage for
design teams working at 90
nanometres (nm) and below. As
figure 1 shows getting sufficient
power to these devices is getting
more complex and costly, as is
managing the heat they dissi-
pate. Scaling of supply voltages,
the most common method of
controlling power, is running up
against the limits of the ability
to control process variability and
is beginning to affect reliability Figure 1: Left) Power dissipation in advanced CMOS process nodes now rivals where the industry was with Bipolar
and to create unrealistic strain technology around 1990; Right) voltage scaling has helped reduce power, but with a mounting performance
on design-closure practices. penalty and is reaching device physics limitations
Further, the voltage scaling has
negated the performance scaling innovations with respect to inclusive approach. The design ing out a detailed specification
that used to be counted on for their ability to support the now community “needed this yes- from which the initiative body
performance boosts to old archi- critical low-power design tech- terday” as they say. could work. While necessity
tectures. In other words, process niques. “Low-power design” With the necessity clear, has proven to be the mother of
scaling is stalling and it is stalling had been relegated to a back- architects within the Cadence invention, the task of creat-
because of power. end, physical-design process. R&D organization began to ing a complete and consistent
With no heir apparent to bulk Lack of abstraction in the meet to devise a solution. Common Power Format (CPF)
CMOS process technology that physical-design process, adds After considerable internal de- proved to be quite a challenge.
will solve the power problem further complexity to the already bate and consultation with its The current draft of the
using device physics in sight, complicated deployment of biggest customers, Cadence CPF represents over 100-man
design-based methods must be low-power design techniques. decided that this effort to for- years of effort and includes
employed to control the power Further, deferring the represen- mulate a solution needed to more than 500 modifications
hydra that assaults costs, sched- tation of power-saving tech- be on the fast track to indus- over the past six months that
ules and profitability. niques until near the end of try standardization. Thus, the include input from:
In the spring of 2005, while the project schedule leads to Power Forward Initiative came • Semiconductor manufactur-
the finishing touches of the surprises, exacerbates schedule into being. It was also clear that ing equipment
core technology support for pressure, and leaves no room a fresh approach was needed; • Semiconductor manufactur-
multi-supply voltage, dynamic for exploration of alternative the haphazard duct-tape and ing (foundry)
voltage and frequency scal- strategies. bailing-wire-like extensions • IDM (system design through
ing, and power shut-off design Figure 2 depicts the mature to the existing infrastructure silicon manufacturing)
techniques in the front-end state of the infrastructure for were described by one design consumer, computing, net-
design process were being functional design versus the lead as “cancerous.” A clean working
completed, it became clear chaotic state of the infrastruc- slate, with a fresh start was • Processor design
that there was a huge problem. ture support for advanced low- required -- an architecture that • High-end graphics process-
The guardians of the design power design techniques. addressed the issue of power ing
automation infrastructure had This state of chaos is an in- in a comprehensive and holistic • Cell phone design
fallen asleep. The constraint dustry problem, which needs manner. • Automotive
and library formats, along an industry-wide solution. As partners from every con- • EDA
with the hardware description As such, every effort is being ceivable stake-holding view- • Intellectual Property (core
languages had fallen way be- made to advance as rapidly as point were being recruited, the processors & peripherals)
hind the design community’s possible, using and open and Cadence R&D team began flesh- • Library provider

EE Times-India | November 2006 | eetindia.com 


Requirements
The result of the paucity of
power-design support in the
industry infrastructure is a gap in
the design techniques needed to
control power dissipation and the
ability of the design environment
to support those techniques in
a safe and efficient manner. The
specification must be architected
to supply the infrastructure needs
to support the state of the art in
low-power design styles and
techniques such that risk is dra-
matically reduced, as is the effort
in their realization.
The broad participation
in creating the requirements
specification has ensured that a
comprehensive solution could
be architected, and that the
resultant architecture would be
holistic in nature. The primary
attributes requirements of the
solution are:
• Easy to adopt to overcome
cost, time and risk-deploy- Figure 2: Left) Mature and orderly state of functional design infrastructure enabled by standard hardware
ment issues description languages such as Verilog, VHDL and SystemVerilog. Right) Relative state of chaos created because of
• Incremental to existing in- lack of infrastructure support for advanced low power design techniques.
frastructure; overlay on top
of methods in place ysis has led to an initial scope of
• Non-intrusive to existing support for a digital RTL to sign-
practices, methodologies off solution. Although limited in
and flows scope, the solution is quite broad
• Serves IP/re-use method- in terms of design-automation
ologies with a minimal incre- technology inclusion:
mental effort • RTL/gate simulation
• Consolidated view of the • Hardware simulation accel-
power strategy for a design eration
into a single entity • Hardware emulation
• Comprehensive in capabili- • Formal analysis (assertion-
ties to support the most ad- based verification)
vanced existing low-power • Design analysis & rule
design techniques, across checking
the entire continuum of de- • Formal verification
sign automation • Synthesis & optimization
• Extensible to new low- • Floorplanning
power design techniques • Silicon virtual prototyping
and to broader design- • Power analysis
flow scope (up to system- • Physical synthesis / place-
level and into analogue ment
mixed signal in particular) • Clock tree synthesis
• Power grid design
Scope and content • Power integrity analysis
While the broad spectrum of • Design for Test
perspectives and viewpoints pre- • Automatic test pattern gen-
sented above ensured complete- eration
ness from a chip-design team • Constraint generation
and supply-chain standpoint, in • Constraint verification Figure 3: Driven by Common Power Format, the design environment can be
the end, it is all about automating • Design project management automated to eliminate risks specific to the use of low-power design tech-
the design process. To that end, a • Design IP niques, and to automate the full scope of design, verification and implemen-
bottom-up approach to the anal- • Verification IP tation tool technologies.

 eetindia.com | November 2006 | EE Times-India


The CPF is modelled after the
syntax of Tcl (Tool Command
Language). As such, it is light
in overhead, easy to learn, and
extensible by nature. CPF com-
mands cover the specification
of both the design requirements
and the binding to the technol-
ogy capabilities of the target
process. CPF includes the explicit
capture of the following ele-
ments related to the low-power-
design strategy with respect to
design requirements:
1. Power domain
• Logical: hierarchical mod-
ules as domain members
• Physical: power/ground
nets and connectivity
• Analysis view: timing
library sets for power do-
mains
2. Power Logic Figure 4:. Block diagram of processor that will implement power shut-down with state retention for key state informa-
• Level Shifter Logic tion. Design is to have four switchable power domains.
• Isolation Logic
• State-Retention logic The result of adopting the tional verification to validate • Increased productivity and
• Switch Logic & Control Common Power Format into power-related operation reduced cost of using those
Signals standard design flows will have • Higher design quality with power-saving methods
3. Power modes some fundamental benefits to fewer functional failures
• Mode definitions those that deploy it along with • Reduced risk in applying What’s a user to do?
• Mode transition expres- industry-leading tool solutions: state-of-the-art, low-power With the design-environment-
sions • Enablement of RTL func- design techniques infrastructure chasm bridged by
the Common Power Format, de-
signers can immediately deploy
the most advanced low-power
techniques with minimal effort
and risk. The first and most vis-
ible place for a design team to
begin is with RTL simulation. CPF
enables functional verification of
the power-related behaviour in
chip design. Figure 4 depicts a
design that will implement pow-
er shut-off modes, and will use
state-retention cells to minimize
wake-up time.
Logic design teams then
need to describe the sequence
of signal behaviours for power
management. Below, a simple
power-control sequence in
Verilog is shown.

else if (decode &&


opcode == matchDN) //
POWER DOWN SEQUENCE
case (counter) // ISO,
SRPG, PWR
4’D3: ps[`ISO] <= 1;
Figure 5:. Power sequence error detected during RTL simulation driven with the addition of the Common Power 4’D5: ps[`PWR] <= 1;
Format specification. Shows that power-control signal prior to state-retention initiation causes latching of unknown 4’D9: ps[`SRPG] <= 1;
values instead of desired present operating state information. endcase

EE Times-India | November 2006 | eetindia.com 


Formerly, there was then a enables new levels of automa- of the design-environment in- a monumental task requiring fo-
disconnect between the further tion in design, verification and frastructure, there is a gap that cus and dedication. Recognizing
implementation of the power implementation. On top of that needs to be filled. Extensions to the problem, stepping up to do
structures in the design and the infrastructure there are exciting CPF are a natural vessel for this something about it, and commit-
simulation of the power control new classes of products being information. ting to an open and inclusive pro-
signals. This gap is bridged by planned surrounding analysis, System-design extensions to cess has been done in a manner
CPF. It enables design teams to exploration, and optimization. CPF will enable a higher-level that merits recognition of true
discover very quickly that the Notwithstanding those ben- view of computation-energy industry leadership, in the real
above control sequence is actu- efits, there is more work to be trade-offs between software and sense of world leadership.
ally erroneous. Figure 5 shows completed. Specifically, there hardware alternatives to perfor- CPF has been a labour of love
the user environment for the de- is high-value work that needs mance and function. Because for those that have participated
signer to detect very efficiently to be attacked with an equal these sorts of architectural de- so far in its conceptualization
that a coding-specification error sense of urgency in the support cisions can have a tremendous and realization. Looking forward,
has been made. of analogue and mixed signal impact on the overall energy this is an effort that should pay
design, as well as pushing up to consumption profile, design-au- significant dividends to the de-
Future of the common the system level. In many sys- tomation support in this area is sign community for decades to
power format tem-on-chip designs today the of great value. Again, in order to come. We should thank those
The pragmatic approach of analogue components of the provide automation at this level conscientious R&D engineers
limiting the scope of the first chip consume a very significant for exploration and analysis, the across the industry for their
Common Power Format through amount of the power budget. To industry infrastructure gap labours that cumulatively total
the standardization should yield create a global power view for needs to be addressed. over 100-man years for the cre-
the benefit of a faster path to design exploration and trade- ation of this work. Take some
ratification, and faster availability off analysis, these components Appreciating the effort time to appreciate the toil and
of tools and flows that support need to be modelled along with Driving forward to solve the crisis sweat that went into the cre-
that standard. Once in place, their digital counterparts. As was in industry infrastructure support ation of this work.
this infrastructure immediately the case for the digital portion for low-power design has been

 eetindia.com | November 2006 | EE Times-India

You might also like