You are on page 1of 1

module addition ( inputA, inputB, outputA); input [3:0]inputA, inputB; output [3:0] outputA; wire [3:0] outputA; assign

outputA = inputA + inputB; endmodule

You might also like