You are on page 1of 6

Low Power Techniques for VLSI Design

Atin Jain Dhirubhai Ambani Institute of Information and Communication Technologies (DA-IICT), Gandhinagar atin_jain@da-iict.ac.in Supervisor: Prof. Amit Bhatt, DA-IICT

Abstract
In recent years consumer electronics market has witnessed tremendous growth in the PDAs and other hand held devices which ought to provide mobility to the user while providing connectivity and applications. The power consumption is an important factor in all these devices. Longevity is one of the basic and most desired feature in the PDA category. Thus industry today is looking for low power designs and techniques to increase longevity and of the device. This report discusses important issues and techniques employed in the low power VLSI design. This report is in partial fulfillment of the Summer Research Internship done as part of curriculum of B.Tech (ICT), DA-IICT, Gandhinagar, India, under Prof. (Dr.) Amit R. Bhatt, Faculty, DAIICT.

design in mainly three areas Power, Area and Timing. The digital design flow consists of two divisions broad but well defined namely Frontend and Backend. The work described in this report mainly concentrates on the frontend part with late glimpse of the backend techniques and methodologies. My work as the intern was mainly limited to the learning of the tool and understanding the basic criteria and techniques of optimization. The design used for the work is OpenMSP430 which is an open source equivalent Verilog implementation of Texas Instruments TM MSP430 and contains all the features of TM MSP430 . The core comes with some peripherals (16x16 Hardware Multiplier, GPIO, TimerA, generic templates) and most notably with a Serial Debug Interface supporting the MSPGCC GNU Debugger (GDB) for in-system software debugging and technology library used is NANGATE open source library.

Keywords Low power, process corners, MOSFET, NANGATE Library, Encounter, RTL Compiler, Synopsis Design Constraints file, TCl scripts, timing closure, placement and routing.

II.

Digital Design Flow

I.

Introduction

In ASIC Design flow among various tools are used for simulation and synthesis of the designs among them one which is widely used in industry is Cadence RTL Compiler (hereafter rc). RC is used to optimize the and synthesize

Following diagram explains the digital design flow.

This report is in partial fulfillment of the work done under Summer Internship, B.Tech, DA-IICT

determining the most suitable design implementation using the given design constraints such as clock cycle, input output delays, technology library and so on. RC takes top level design, synthesizes the RTL blocks, optimizes the logic and performs technology mapping. Mainly three kinds of synthesis options are available In front end Verilog code for the equivalent hardware implementation is provided which is then elaborated and synthesized by the RC. Elaboration is the technique of expanding the design into its most generic form using flip flops and generic gates. During elaboration RC performs the following tasks a. Builds data structures b. Infers registers in the design c. Performs high level optimization like removing dead code d. Checks semantics After elaboration RC has the internally created data structures for the whole design so that design and optimization constraints can be applied to the design. There are mainly two types of constraints that the designs are subjected to a. Timing Constraints- Consists of mainly clock frequency and slack optimizations and timing closure b. Design Constraints- Consists of specifying environment specifications like maximum fanout, capacitance and interconnects etc.
Figure 2. Frontend flow

a. Synthesize to generic b. Synthesize to mapped c. Synthesize to placed The information provided by user here is desired constraints if any and the cell information library (.lib). The library file contains information about the cell delay, size and power consumption. In Back End, synthesized netlist and constraint file are provided to SoC encounter tool, also the physical information like resistance, capacitance value are provided. In SoC, the design floor plan, power grid routing, placement cells and metal line routing is done. After that the GDSII file is generated which can directly be sent to foundry for the production of chip.

The next step in the digital design flow is the Synthesis. Synthesis is the technique of

Image Courtesy: Maullik Padia, Design Appropriate Low Power Techniques

This report is in partial fulfillment of the work done under Summer Internship, B.Tech, DA-IICT

The first and foremost optimization is done for the timing closure.

reactance introduced by inter-connects and metal power lines.

III.

Timing Closure

IV.

Timing and Delays

Timing closure refers to the state where a design meets all the necessary and applied timing constraints like setup, hold, clock frequency etc. This is the most important constraint because until and unless the policy permits the running frequency or the working frequency of the design is the highest priority constraint. No optimization technique will ever compromise with the timing constraints and especially with the frequency component of the design. In applying timing constraints it is important to specify gate and interconnect delays. If the design is elaborated using WLM model (Wire Load Model) there is no interconnect delay because this model does not take into account the placement and routing. In case of PLE (Placement and Layout Estimation) model interconnects and their relative placement are important. In the work described in this report the gate delays for all of the gates was fixed at 20% of the clock time-period. This is an approximation towards the worst case operations of the design. After timing closure the appropriate frequency of operation is as below
Clock 1280 WNS 1.2 0 0 0 TNS 0 0 0 0 Dyn Pr 2501052 St Pr 52822 Area 38170 Cost I2C C2O C2C I2O

a. Effect of Slew Rate The slew rate of an electronic circuit is defined as the maximum rate of change of the output voltage. Slew rate is usually expressed in units of V/s. = max

The slew rate can be measured using a function generator usually by applying square wave and using oscilloscope to measure slope at the output as shown in figure

Figure3. Slew rate Image Courtesy: Wikipedia, Slew Rate

Smaller the slew at the input larger is the input transition time and larger is the delay. Whenever a slew is applied to a cell's input pin, there is corresponding output slew at its output pin. This output slew directly depends upon the load connected to its output. Larger is the load capacitance larger would be the slew degradation. So the slew rate at output is caused by the load capacitance. b. Propagation Delay and Output Transition

Table 1. Clock frequency selection

The concept and basic theory of the timing constraints lie in the clock period and various delays and introduced in the working of any module. These delays are basically due to the charging and discharging effects of the capacitor

Propagation delay is the time gap between change at the input and acceptable change at output.

This report is in partial fulfillment of the work done under Summer Internship, B.Tech, DA-IICT

Output transition is the time taken by the signal to change from one level to another. For NANGATE library these levels are the 30% and 70% of the VDD Propagation delay depends upon input transition and output capacitance. If the input transition rate or slew is more than the time taken for this information to travel through the cell takes longer. Thus if a source takes longer to transition from low to high the change at the output will take longer to change. The propagation delay of a CMOS inverter is simply proportional to the time constant of the RC equivalent circuit thus formed taking into consideration the output load capacitance and pull-down resistor in case of High to low transition and pull-up resistor in case of Low to High transition. = . . = . .

Increase in the temperature has adverse effect on the Propagation Delay, as explained earlier increase in the temperature leads to rapid velocity saturation of charge carriers thus limiting the Isat to a lower value.

V.

Power Dissipation

a. Dynamic Power Dissipation Dynamic Power is dissipated by the switching activity of the cell. The energy dissipated during one transition can be written as = 2

This expression can be derived by observing that during low to high transition Cl is loaded with a charge of value . . For providing this charge requires the following energy = . . Thus if the gate switches at the rate of f times per second the total power consumed dynamically is = . 2 . = . 2 = .

where Reqp and Reqn are the pull-up and pulldown resistors respectively. Thus propagation delay becomes = + 2

where K and k are the constants.

= .

. + . 2

Since gate is not switched in every cycle dynamic power becomes where is the switching factor. =. . 2 .

Thus it can be clearly seen that propagation delay depends directly upon the load capacitance and similarly the output transition also depends upon the load capacitance. If the supply voltage is increased the propagation delay is reduced because of the more Isat because of the increased mobility and thus rapid (dis)charging of the capacitances.

Thus it can be clearly seen that the dynamic power dissipation depends directly on the Output load capacitance. Also if the VDD is kept higher the energy consumption increases, but keeping it low effects the switching speed of the MOS due to

This report is in partial fulfillment of the work done under Summer Internship, B.Tech, DA-IICT

lower channel current. Thus effectively it is a trade-off between power consumption and speed of the cell in consideration. b. Static Power Consumption Static Power can be modeled as = .

change keeps on transitioning is a major cause of dynamic power consumption.

Figure 4. Latch based clock gating

c. Total Power Consumption

Total power consumption is the sum of the power dissipated as Dynamic power and Static power. Thus total power can be written as
=. . 2 . + .

There are variations of Clock Gating techniques in which the gating is done a. Latch Based b. Gate Based c. Flip-Flop Based Clock gating is one of the most effective techniques of power consumption reduction. The downside of this technique is that though it can be implemented in both combinational and sequential circuits the power is reduced only in the sequential circuits because of the low penetration of clock in the combinational circuits. The results of applying clock gating to the OpenMSP430 is as follows Cell Without CG With CG Area 38170 40129 Power 2501052 1609652 Slack 1.2 -54.8

Dynamic power plays a very dominant role in the total power consumption and it usually contributes to the 90% of the power dissipation in a cell.

VI.

Low power Techniques

There are different techniques that can be implemented to reduce both of Dynamic and Static power consumptions. It includes Architectural, Clock Gating, Operand Isolation, Multi Threshold Voltage, Multi Supply Voltage, Power Gating, and Dynamic Voltage Frequency Scaling. In this report, implementation of Clock Gating, Operand Isolation is done. a. Clock Gating Clock gating is the technique of applying clock selectively to the various modules and parts of the design. Since as mentioned earlier most of the power is consumed dynamically while switching, since clock is the only module that irrespective of the logic

Table 2. Effect of Clock Gating

As can be clearly seen since OpenMSP430 is mostly a sequential design Clock gating has significant effect on power reduction, but the timing closure is disturbed and will have detrimental effect on the designs functioning. Also the area consumed is significantly increased due to the control circuitry introduced by the clock gating technique b. Operand Isolation

This report is in partial fulfillment of the work done under Summer Internship, B.Tech, DA-IICT

Operand Isolation is the technique of isolating the operands if during certain particular transition or logic change the calculation of the operands is futile. For instance, if during a particular input cycle, operand isolation is not used all the cells are switched and outputs calculated irrespective of the datapath of the logic, this renders the circuit to consume more power when there is no need of the combinational execution of many cells.

VII.

Conclusion

Longevity of the battery backup of the portable PDAs has driven the development of Low Power Design techniques and will continue to do so. There are several techniques available currently which reduce the power consumption significantly, the decision for which is dependent upon many factors such as system architecture, RTL implementation, design constraints and tolerances, process corners etc. The intelligent and well informed choice of technique will ensure the best technique for power reduction which seems to be keyword for future drive along with power and speed.

VIII.

Acknowledgements

Figure 5. Operand Isolation Image Courtesy: Maullik Padia, Design Appropriate Low Power Techniques

This unnecessary switching can be controlled by simply controlling the execution of the logic at various sites. The application of Operand Isolation however increases the area due to introduction of the control circuitry. The implementation of Operand Isolation on OpenMSP430 does not significantly reduces power consumption because OpenMSP430 is strongly a sequential design with minimal combinational circuitry Cell Without OI With OI Area 38170 38745 Power 2501052 2359117 Slack 1.2 0.7

Im thankful to my mentor Prof. Amit Bhatt for his continuous guidance, motivation and support. I also thank my colleagues Darshal Patel, Gayatri Rathod, V S S Ravi Kiran, M Sreekanth for their everlasting support and help. I sincerely thank DA-IICT VLSI Lab for the tools and resources which were indispensable for my work. Finally and most gratefully I thank my parents for making me able to this extent.

Table 3. Effect of Operand Isolation

As is evident from the table Operand Isolation has minimal effect on the power consumption of the circuitry.

You might also like