You are on page 1of 2

TECHNOLOGY AREA

With surge in number of gates day by day, the complexity in the UVM verification
environment is also increasing. And thus there is an increase in building blocks needed to
quickly develop well-constructed and reusable verification components and test
environments. New Instance Reporting is way to improve the end user coverage analysis
using UVM-SV APIs and reusing core simulation API
PROPOSAL ABSTRACT
SystemVerilog covergroup instances can be instantiated in different scopes like classes,
packages, compilation unit, and modules. We need to provide special consideration for
covergroup instances that are instantiated within UVM hierarchy. In UVM environment
Covergroup instances can be instantiated.
1) Inside a uvm_component type (which is part of pseudo-static hierarchy)
2) Inside an uvm_object type (which are dynamically created and deleted inside UVM
hierarchy).
Incisive default coverage reporting flow, depends on a nave traversal approach to identify
scope/names of covergroup instances, for storing coverage in database and reporting
purposes. Challenges in this flow, for reporting covergroup inside uvm_objects, are as
follows:
1) It lacks the coverage collection of the covergroup instances which got garbage
collected which leads to partial functional coverage
2) It reports incomprehensible and ugly auto-generated names & deluded scope of
covergroup instances which leads to inappropriate merging across simulation.
New Instance Reporting addresses these deficiencies through
1) New heap based traversal appoach- This resolves the naming & scoping concern, by
providing better/user-friendly names for covergroup instances & its scope for
reporting purposes.
2) Accumulation of deleted Covergroup Instances - This resolves the concern regarding
the gap in coverage closure by accumulating the coverage of deleted covergroup
instances
TAKE AWAY:
This paper will discuss what & how we resolved customer challenges by using this new
method of reporting covergroup instances inside UVM dynamic hierarchy. The following IMC
snapshot depict the new coverage reporting model for covergroup instances inside UVM
object

You might also like