You are on page 1of 1

module counter

output
input
reg [3:0]

(C_OUT,CLK,reset);
[3: 0] C_OUT;
CLK,reset;
C_OUT;

always @ (posedge CLK)


begin
if (reset) C_OUT <= 4'b0000;
else
C_OUT <= C_OUT + 4'b0001;
end
endmodule

You might also like