You are on page 1of 7

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

-- Universidad Mayor De San Andres

-- Nombre : Canaviri Loza Eveluz

-- laboratorio:5

entity incisob is

Port ( s,r: in std_logic;

Q,Qn:buffer std_logic );

end incisob;

architecture Behavioral of incisob is

begin

Q <= (not s) nand Qn;

Qn<= not r nand Q;

end Behavioral;

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

-- Universidad Mayor De San Andres

-- Nombre : Canaviri Loza Eveluz

-- laboratorio:5
entity b is

Port ( S,R: in std_logic;

Q,p:buffer std_logic );

end b;

architecture Behavioral of b is

begin

P <= s nor Q;

Q<= r nor P;

end Behavioral;

B)
80 90 100 110 120 130 140 150 160
S
R

Q
P

340 350 360 370 380 390 400 410 420


S
R
Q

E.1)
150 160 170 180 190 200 210 220 230
J

Q
P

A)
B)
E.1)

You might also like