You are on page 1of 8

SIMULATION REQUIREMENTS FOR VECTORS IN ATE FORMATS

Raghuraman R
Texas Instruments (India) Ltd., Wind Tunnel Road, Bangalore 560017, India.

Abstract Patterns that test the device for manufacturability are


typically generated using Automatic Test Pattern
The simulation of test vectors in ATE formats is shown to
Generation (ATPG) tools based on the fault-models.
facilitate diagnosis and prevention of failures at the ATE.
ATPG tools like Fastscan and Tetramax generate patterns
This paper discusses the requirements for a simulation-
that are cycle-based and also dump the patterns in ATE
based flow to validate the test vectors before being
formats like STIL [8], TDL [9] and WGL [10], which
handed out to the ATE engineers. The experiences of
running such a flow, developed based on this could be accepted directly by tester flows for example,
methodology, is also briefly described. the Inovys ATE natively supports STIL in its tester
operating system Stylus. Still, there are enough
1. Introduction surprises at the ATE when the patterns are run on the
device. To ensure a smooth handoff from ATPG to ATE,
As the cost and complexity of the ATE (Automated Test
there is a need for a validation flow.
Equipment) increases, the semiconductor industry is
looking at novel ways of reducing test cost. The cost of There are two kinds of test pattern validation static and
the ATE has been spiraling upward, as per the ITRS dynamic, based on the kind of issues faced at the ATE.
roadmap [1]. The ATEs are trying to match up with the The focus of static validation is to check the physical
needs to test newer and more complicated designs - from aspects of the patterns and not concerned with the
ones that could switch timesets dynamically [2] to high functionality. Whether patterns fit into the ATE memory
performance ones based on per pin vector processing and the waveforms can be applied as envisaged by the
architecture [3]. Event testers have been introduced of tool dumping the patterns, depending upon the capability
late, to shorten test complexity and time-to-market [4]. of the concerned ATE are some examples of the static
checks. Many of these ATE constraints can be applied at
Apart from the cost of the ATE, test cost also includes the
the time of pattern generation itself and this strategy is
cost of diagnosis or debugging failures at tester, the cost
being defined by the P1450.3 Working Group [11]. The
of generating extensive test programs, test vector
main focus of dynamic validation is to verify whether the
translations and learning of tester environment through
patterns are functionally right (produce the correct and
long training classes. In this paper, we are looking at one
desired results) and do not harm the device or the ATE.
possible way of reducing debug costs and debug times. It
The dynamic validation can only be performed through
has to be borne in mind that high debug times could delay
simulation. The ability to simulate the vectors in ATE
the process of chip going from samples to mass
formats (like STIL/TDL) flags off many tester failures
production. In worse scenarios, the chip may also be
early and reduces cost of tester debug, provided the cons
scrapped for not meeting the time-to-market goals.
of simulation are addressed. There are two perspectives
The reasons for the pattern failures at the ATE could be on how the flow has to be envisaged. One is aimed at
analyzed based on the nature of patterns. There are mimicking the ATE behavior by architecting a suitable
devices that solely depend on functional testbenches and testbench and an efficient PLI interface. Another is aimed
test patterns. These patterns are event-based, whereas at reducing the total runtime by exploiting the ability to
most of the ATEs are cycle based. The method of simulate test vectors in parallel.
translating the functional test vectors into tester programs
This paper lists the requirements for such a simulation
[5] is costly and error prone, especially in case of
flow for validating test vectors in ATE formats and also
asynchronous circuits [6]. The distance between the
describes the experience of running this flow (developed
design environment and test environment is one of the
based on these requirements) on two designs. The
primary reasons for the increase in debug cost for these
experience on these designs shows that validating test
patterns. Methods have been suggested to extend the
patterns through simulation reduces tester surprises and
design environment to test to fix this issue [7], but this
also that simulation is not a bottleneck if the requirements
solution requires an altogether new ATE (event testers).
and architecture of the simulation flow are well
Most of the customary ASICs depend more on tests for comprehended - especially for ATPG vectors. This paper
manufacturability than tests for functionality of the does not discuss the implementation details or the
device. This is especially true for high pin count designs architecture of the developed flow, though some of the
(networking devices). In one of the designs, discussed requirements may directly impose the exact constructs
later, NO functional test vectors were used at the ATE. to be used in the Verilog testbench.

Paper 38.3 ITC INTERNATIONAL TEST CONFERENCE


1100 0-7803-8580-2/04 $20.00 Copyright 2004 IEEE
2. Forethoughts I have marked false/multi-cycle paths that werent
really what I thought were and the gate level sims
There are two main questions to be answered before the
picked them up [emphasis users]
requirements for the validation of vectors in ATE formats
through simulation are drawn up. [Simulations] take a lot of time setting up and
debugging
2.1 To simulate or not to simulate
Static timing Analysis and Formal Verification are
Chip designers have been using simulation as their main required to meet aggressive schedules because they
tool for verification. The patterns used in design reduce the need to run back-annotated sims
verification primarily tested the device functionality and
This paper moots that test vector validation through
used at an earlier stage in the design (RT-level). The
simulation is the way to go and the problem of runtime
patterns are also specified in some HDL format like
can be addressed (sample results are provided) at least for
Verilog and VHDL, which is not readable by the ATE.
ATPG vectors, provided the hardware infrastructure is
After synthesis of the design, from RT-level to gate, there
available. The simulation debug time is inevitable
are increasingly popular vector-less methods like
because if the same pattern fails at the ATE, the failure
Formal Verification (FV) and Static Timing Analysis
still needs to be diagnosed. It is better to debug in a
(STA) that are being used for verification. Irrespective of
software environment than in the ATE.
the method for verification, vectors for tests of
manufacturability have to be generated and taken to the 2.1 What to simulate?
tester. The question is only whether the test patterns Even if the need to simulate is accepted, the next
should be simulated or not. The users throughout the questions arise Why should test vectors be read in ATE
industry have given their opinions in the debate of formats? Why cannot the test vectors in HDL formats be
Simulate vs No Simulate (FV and/or STA) [12]: used, since the simulators understand the HDL and not the
your STA is only as good as the constraints you feed ATE format? Most of the ATPG tools dump a Verilog
it. Gate level sims show you much better what your testbench and patterns that could be read by this testbench.
real world will look like. Verilog is chosen for gate level simulations because of
better performance and also many post-layout tools deal
We run a limited set of gate level sims. Yes, they are
with only netlists in Verilog. Even if some of the modules
time consuming and painful to set up; still we invest
are described in, say VHDL, simulators do allow mixed
time into them.
mode simulations thus not enforcing the language for gate
level representation.

Figure 1 Simulation Flow

Paper 38.3
1101
The idea of simulating patterns in HDL format for 3. Flow Requirements
simulation and running patterns in ATE format in the
The requirements for the simulation flow are drawn up to
tester has been suggested but the expectations of a smooth
overcome the deficiencies of the Verilog testbenches
transition of test vector data, from say WGL to STIL, are
dumped by ATPG tools and addresses three basic issues:
based on the assumption that the data sets for the two
languages, from a single tool, would be expected to be the ATE issues
same. This is not true and some of the differences have Timing issues
been highlighted [13]. Apart from debug issues, another Performance issues
issue is that any change of vectors in one format should
have a corresponding and equivalent edit in the ATE 3.1 ATE issues
format. One of the reasons why the Verilog testbenches dumped
by ATPG do not prevent ATE failures is the lack of
The usage of vectors in Verilog format has been comprehension of the ATE hardware parameters in the
discouraged [14]. Instead the usage of VPI (PLI 2.0 [15]) testbench. The main parameters are discussed in the
has been suggested to read the test vectors. A VPI following subsections. Though the constraints were
application is a library that can be attached to the derived based on the experiences with the LTX Fusion
simulator, used to read the test vectors in ATE formats tester, it is valid for most, if not all, ATEs. Of course, the
and applied on the instantiated design in the testbench. values for each of the constraints are dependent on the
The usage of VPI (PLI 2.0) has been recommended over pin electronics and resources of the specific ATE.
(PLI 1.0) [16]. Thus, a simulation flow to validate test
vectors in ATE formats consists of two components as in 3.1.1 Strobe Placement Accuracy
Fig 1 : When the timing of a strobe on an output or bidirectional
Verilog testbench that instantiates the design and pin is given as x, the ATE may not place the strobe at
makes calls to the VPI library exactly x. Based on the pin electronics of the ATE, there
will be a precision error. So the testbench has to monitor
A VPI application that reads the test vectors in ATE the pin not just at the strobe timing but also throughout a
format and populates the testbench accordingly. window. This can be indicated by an ATE constraint
Apart from the performance aspects, the Verilog testbench d, as shown in Fig 2. The testbench should flag an
dumped by ATPG tools are deficient and defective in output instability error if the value of the pin changes
certain aspects, which become the requirements for the during the window (x-d, x+d).
flow and this is discussed in the next section.

Figure 2 ATE Device Interface

Paper 38.3
1102
3.1.2 Z-Transition Time 3.1.5 Floating time for bidirectional pins
Special provision has to be given in the testbench for the When the ATE is not driving a bidirectional pin and the
strobing for Z on an output or bidirectional pin. The bidirectional pin is also not driven within the design, a
strobing for Z is not the same as strobing for other values floating state (rather there is an undesired high current
(high/low). The device typically goes to Z state on a flow) results which could lead to physical damage of the
mode transition - moving from output mode to input mode pin on the device. Some devices allow some duration of
or vice-versa. The ATE has to turn on some circuitry to floating time because it is almost impossible to achieve
measure this intermediary voltage, which can be pulled up zero float. As in 3.1.2, to flag this error in a Verilog
or pulled down for internal comparison. So the device testbench, the control signal of the bidirectional pin can be
should reach the Z-state for some time before it can be monitored. The testbench should check whether the
measured. This can be indicated by an ATE constraint control signal and the bidirectional pin do not exist in
c, as shown in Fig 2. The testbench should monitor the different states (pin not being driven and control pin
pin throughout the window (x-c, x+d) to flag any errors if indicating otherwise) for more than the specified
the value of the pin changes. constraint, say f. CD in Fig 2 indicates the
bidirectional float. AB in Fig 2 indicates possible
3.1.3 IDDQ measurement bidirectional float as the device is in unknown state.
IDDQ measurement is one of the main test measurements
that is performed on a chip. It discovers more unique fail 3.1.6 Dont cares and masks
than other patterns on many designs and hence it is crucial Some ATE formats provide for dont cares on inputs, but
that IDDQ measurements are accurate. IDDQ patterns on the ATE, these dont cares have to be converted into
can be generated from functional tests or through ATPG. valid input signal (Low or High). The testbench should
The latter typically works on a toggle sensitization not apply a Verilog x on the input for a dont care, but
algorithm and if there are any differences between ATPG apply an appropriate value as per the requirements of
models and simulation models (usually there are), it could the ATE or product engineers. Some product engineers
provide erroneous results. Analog PLL ringing and apply a uniform value (Low or High) for all don't cares on
inability to model power-down pins are some reasons for inputs. Some product engineers apply the opposite of the
the patterns being unsuitable for IDDQ. In certain next known value in the test vector for the particular pin.
designs, there are specific pins that are used to describe When outputs need to be masked, some ATEs need the
the power down state and designers can provide this outputs to be tied to the ground.
information (probably in the test vector file itself). The
testbench should have a way of monitoring the specific 3.2 Timing issues
pins during simulation and check whether these pins are at The lack of knowledge about the timing aspects of the
the desired state during IDDQ measurement. The number design can also lead to failures of patterns at the ATE. In
of the IDDQ measurements needed to be done for a case of functional vectors, the simulations are performed
particular device is based on the reliability goals and test at the RT-level and when the same vectors are carried to
time considerations. the gate-level simulations, simulations in timing mode
fail, especially for post-layout netlists. The ATPG tools
3.1.4 Contention for bidirectional pins inherently have a fundamental problem of being timing
When the ATE is driving a bidirectional pin and the unaware. The stuck-at fault or transition fault model is
bidirectional pin is also driven within the design, a based on the connectivity of the gates and the patterns
contention results which could lead to physical damage of generated do not take the timing delays between the gates
the pin on the device and/or the pin on the ATE. Some into consideration. These issues are discussed in the
ATE and devices allow some duration of contention following sub-sections.
because it is almost impossible to achieve zero contention.
In Verilog simulations, there is no difference between an 3.2.1 Input-Output timings
input low and an output low though on the device this is a Test vectors that pass functional simulations (without
real contention. To flag this error in a Verilog testbench, timing) fail in back-annotated simulations because the
the control signal of the bidirectional pin can be vectors were not created comprehending the timing
monitored. The testbench should check whether the delays. Input-delay and the output-strobe timings have to
control signal and the bidirectional pin do not exist in be changed as per the SDF of the design. The output
different states (control pin indicating that the device is instability error (as described in 3.1.1) could also rectified
driving while the pin is also being driven) for more than by moving the strobe timings accordingly. Functional
the specified constraint, say e. GH in Fig 2 indicates vectors that may have some loss of information during the
the bidirectional contention. AB in Fig 2 indicates cyclization process have to be dealt carefully so that the
possible bidirectional contention as the device is in patterns pass at all corners of the SDF (min, max delay
unknown state. There could be more than one control conditions). These edits in timings could be done on a
signal for a bidirectional pin and this needs to be handled pattern-by-pattern basis only.
in the simulation flow as well.
Paper 38.3
1103
Figure 3 Broadside Load Scenario

3.2.2 Multiple Timing sets Hence, the Verilog testbench dumped by ATPG tools
For most of the simple stuck-at patterns, the delay, strobe typically force the flops at the start of the period and
and clock timings are the same across the test patterns. release it at the end of the period as shown in Fig 4
For some functional and most transition fault patterns, (during ATPG), on the assumption that the clock pulse
there is a need to use multiple timing sets (typically one would have reached all the flops within the period. This is
for shift and another for capture). The Verilog not true in gate-level netlists where clock could cross the
testbenches dumped by some of the leading ATPG tools period boundary as shown in Fig 4 (during simulation).
do not handle multiple timing sets. The testbench for Another issue with reference to ATPG vectors generated
validating test vectors should handle this scenario. Some using scan-based methodology is the timing closure of the
of the ATEs have restriction on the maximum number of scan path. In Fig 3 the scan path is ABCDEF. The scan
timing sets . path need not function at the speed of the device. In
3.2.3 Broadside load particular, the delay in the path between the periphery and
first flip-flop (AB) and the last flip-flop and the periphery
If the simulations of ATPG vectors based on scan (EF) could exceed the period boundary. Hence the scan
methodology do not have the feature of broadside load, out pin (F) should be strobed at a time more than the
runtimes could easily hit the roof. Broadside load refers period after the clock. This is aggravated more by the
to the feature of loading all the scan flops in one cycle skew of the clock (J). The issue can be resolved by
rather than serially loading through the peripheral pin. As changing the strobe timing of the scan out pin but this can
shown in Fig 3 for a simple design with three flip-flops, be done only after the patterns are generated. ATPG tools
instead of scanning in through A (three cycles), the flops typically work on a data-strobe-clock methodology and
are forced with the values directly at B, C and D, the clock hence expect the strobe to be placed before the clock,
is pulsed once and the flops are released. Considering the which is not feasible in the case discussed above. So, the
number of flipflops in any big design, the runtime ATPG tool has to be generated using data-strobe-clock
improvement is very obvious. As mentioned earlier, methodology while the simulation has to be done with
ATPG tools suffer from the lack of knowledge of timing data-clock-strobe methodology by appropriately changing
in the design. the timing.
The broadside load methodology can be employed only if
the scan chain is timing clean. The chain test patterns
(applying two lows followed by two highs [0011]) that
check the timing integrity of scan chain can be simulated
using a partial broadside load by loading all but 5 flops in
parallel and then giving 5 serial shifts. The five serial
shifts cover all the possible transitions given the 0011
Figure 4 Force and Release Mechanisms
pattern. Applying this methodology can reduce runtimes.

Paper 38.3
1104
Figure 5 Simulating multiple vector files in parallel
3.3 Performance issues have to be adopted to reduce the amount of access given
Major concerns with regard to simulations are the runtime to the entire netlist. For example, in case of broadside
performance, memory consumption and the disk space load, the usage of force and release constructs in
requirements. Any simulation flow to validate the test Verilog specified with the internal nets of the flipflops
vectors has to address this comprehensively. In this (cross module reference is allowed in Verilog) is quite
paper, we particularly target the ATPG vectors. popular and efficient.

3.3.1 Reading Test Vectors through VPI 3.3.2 Running multiple simulations in parallel
The usage of Verilog testbenches for simulation has been Functional vector files cannot be split arbitrarily into
discouraged because of memory consumption and other independent vector files because each pattern could take
reasons [14]. The reading of test vectors through PLI has the device to a particular state and this information will be
been proposed, instead. The PLI 1.0 had some issues that lost if the patterns are split across files. For ATPG vectors
certain simulators implemented the PLI calls that were not in full-scan designs, each pattern is independent of the
OVI-compliant. Hence, the PLI 2.0 (VPI) standard has other pattern. ATPG tools allow patterns to be split into
been mooted and applications using this standard should different files and this feature can be exploited during
have uniform behavior across all simulators [16]. The simulation of these vectors. The testbench can be
reckless usage of VPI has memory and runtime compiled and elaborated once (a snapshot created) and
ramifications. Usage of string based net search in the VPI simulations of different test vector files using the same
application could cause severe performance degradation. snapshot can be run in parallel as shown in Fig 5, reducing
The testbench has to pass all handles of nets to the VPI total runtime and disk space. Some simulators (eg.
application explicitly. The usage of switches +access+rw Modelsim) allow SDF annotation at run time and the same
for NC-Verilog (Simulator from Cadence) and +acc+2 for snapshot can be reused for different SDFs thereby saving
VCS (Simulator from Synopsys) increase the memory more disk space. Splitting pattern files eases simulation
consumption and runtimes drastically. New techniques debug.

Paper 38.3
1105
3.3.1 Runtime parameters 4. Implementation and Experience
This is one of the critical but understated requirements of A simulation flow was developed based on the
most simulation flows. The ability to specify parameters requirements discussed in Sec 3 for test vectors in TDL
for the testbench at runtime can reduce the number of format. For performance reasons, the VPI application
times a testbench has to be elaborated. This took the core functionality of test vector reading (file i/o is
significantly eases debugging and reduces debug times slow in Verilog). The functionality of vector application
and debug costs. The testbench should be designed in was coded into the Verilog testbench. The VPI
such a manner to allow maximum flexibility without application was developed taking into consideration the
compromising on performance. Some runtime parameters support from various simulators. A subset of VPI calls
that could provide a lot of value are discussed below. given below that were supported by most Verilog
 The methodology of broadside load has been simulators was found to be adequate .
discussed already in Sec 3.2.3. Most ATPG tools vpi_printf
dump different testbenches for serial, partial vpi_handle
broadside and full broadside load simulation. In
case of failure with one testbench, for debugging vpi_iterate
the other testbenches have to be compiled, vpi_scan
elaborated and simulated. The testbench can be vpi_get_value
designed to remove this dependency and the mode
vpi_put_value
of simulation (whether it is full broadside or partial
broadside) can be specified at runtime. This vpi_handle_by_index
reduces debug times and disk space significantly. On a 8 million gate design, test vectors were generated for
 The effect of clock skews on broadside load has stuck-at and transition faults using a commercial ATPG
been discussed already in Sec 3.2.3. The clocks can tool. 42 files, each having 100 scan patterns, were
cross the period boundary and hence the force and dumped out. These patterns were simulated at four
release timings have to be adjusted as per the SDF corners (two SDF files and at min and max delays) in just
under which the simulation is being run. The 2 days (see Table 1). 13 licenses of a commercial
timings for the force and release should be simulator were used and the jobs were submitted on a
programmable and specifiable at run time and computing farm (70 cpus). There were some failures at
should not mandate modification of testbench. It is max condition for some flops. These flops were masked
to be noted that the delay/strobe timings as given in and the ATPG tool was rerun. The vectors generated
the test vector file of the input/output pins should were simulated without any failures. The test vectors
not be modified at runtime. were taken to the ATE and the results correlated very well
with the simulation results. No functional patterns were
 Another important feature is simulation of select used for this design.
patterns in a test vector file. This feature can be
easily implemented for scan vectors, as each scan Gate count (post-layout netlist) 8 million
vector is independent of the other. If a scan pattern Operating System Solaris 5.8
(say mth) failed, then the designer can just rerun the No of CPUs 70
mth pattern to verify and debug. This is very useful Simulator Used NC-Verilog 5.0
when the designer wants to dump the VCD (Value Number of licenses used 13
Change Dump). The VCD dumping for the entire Compilation Time 15 minutes
test vector file simulation could degrade Elaboration Time 117 minutes
performance apart from the risk of hitting file size Number of Test Vector Files 42
limits. Number of patterns in each file 100
Maximum number of jobs running 13
 The testbench should be designed to accept test in parallel
vector files from different sources. These files can Minimum number of jobs running in 5
be specified at runtime (parameter construct in parallel
Verilog can be used) and then simulated. For Total runtime (CPU time) 29478 minutes
example, patterns in STIL can be either in Macro
form or Procedure form. The functional test Total runtime (Wall clock time) 2743 minutes
vectors are created to test different aspects of the
design. Hence a bidirectional pin can be input pin Maximum Memory footprint 3.93 GB
in one test vector file and output pin in another test
vector file. The simulation flow should be able to Disk space usage 17 GB
handle such variances across different test vector
Table 1 Simulation Results for an 8 million gate design
files.

Paper 38.3
1106
On another design, the test vectors failed at the ATE while Another potential issue is with regard to patterns with
they passed simulation. Using selective pattern simulation larger cycles. For example, memory test patterns are
for the pattern on which the device failed at the ATE, it regular for example, S-march algorithm where a
was found that there was high toggling in the device vector is repeated with a high loop count. The file size of
during the capture cycle (this was for a transition fault such patterns is very small but consume a lot of tester
pattern) and due to IR-drop, the delays got aggravated. cycles. Newer ways of reducing simulation time for such
The device did not have a flipchip package and hence patterns need to be developed.
power routing was found to be the issue. The debug was
done through simulation and the failing flop was masked. 6. Glossary
The regenerated patterns passed at the ATE without any ATE Automated Test Equipment
issue. The usage of this simulation methodology for ATPG Automatic Test Pattern Generation
validating test vectors has been found to be of immense PLI Programming Language Interface
use in preventing failures at the ATE and debugging of OVI Open Verilog International
failures at the ATE. TDL Test Description Language
SDF Standard Delay Format
STIL Standard Test Interface Language
VPI Verilog Procedural Interface
7. References
[1] Int. Technology Roadmap for Semiconductors,
Sematech, Nov. 1999.
[2] M. Shimuzu et al., 100 Mhz Algorithmic pattern
generator for memory testing, IEEE Int. Test Conf.,
pp. 56-67, 1980.
[3] U. Schoettmer et al., Challenging the High
performance High cost paradigm in Test, IEEE
Int. Test Conf., pp. 870-879, 1995.
[4] Jerry Katz et al., A new paradigm in test for the
next millennium, IEEE Int. Test Conf., pp. 568-
476, 2000.
[5] M. Roncken, Testing asynchronous chips, Israel
Workshop on Asynchronous VLSI, pp. 336-339,
Figure 6 Logic BIST architecture Mar 1995.
[6] E. C. Crockford, Solutions to the problems of test
5. Limitations/Future Work vector translation, Computer Aided Test and
The methodology of broadside load is only valid for the Diagnosis, IEE Colloquium, pp. 4/1-4/3, 1988.
simple scan methodology. Off late, with a aim to reduce [7] Rochit Rajsuman, Extending EDA Environment
test vector data volume, ATPG methodologies with from Design to Test, 11th Asian Test Symp., 2002.
pseudo random pattern generators along with the scan [8] Standard Test Interface Language, IEEE standard
methodologies have been introduced Logic BIST (see 1450, 1999.
Figure 6). The scan chains are loaded internally and not [9] Texas Instruments, TI TDL Manual.
applied from the periphery. The seed data is loaded from [10] IMS Inc., Waveform Generation Language, TDS
the periphery. The pseudo random pattern generator documentation.
(PRPG) then operates on this seed and generates values to [11] IEEE Standards Association, Extensions to STIL for
be loaded into the flops. After the data has been captured, Tester Target Specification, PAR for P1450.3.
the scan chains are not directly scanned out but the values [12] What do gate-level sims buy you these days?
are aliased into a multiple input signature register (MISR). ESNUG 420, Item 1, 12/10/03, www.deepchip.com
The MISR is scanned out and the comparisons are made. [13] Gregory A. Maston, Considerations for STIL Data
The test vector file does not have any information about Application, IEEE Int. Test Conf., pp. 290-296,
the internal scan structure or the values to be loaded into 2002.
each of the flops in the design. The file has the data to be [14] John Cooley et al., Writing Verilog models for
loaded into the seed and the data to be observed at the Simulation performance, Synthesis and other CAE
MISR only. Test vectors for such methodologies cannot tools, OVI Verilog HDL Conf., Mar 14 1994.
be simulated using broadside load methodologies. Serial [15] Verilog Programming Language Interface, IEEE
simulation of the test patterns offers the only but highly standard. 1364-1995.
unviable solution. New techniques for faster simulation [16] Stuart Sutherland, Transitioning to the new PLI
of these patterns need to be developed. standard, Int. Verilog Conf., Mar 1998.

Paper 38.3
1107

You might also like