You are on page 1of 4

I997 IEEE International Symposium on Circuits and Systems, June 9-12, 2997, Hong Kong

POWER ESTIMATION CONSIDERING STATISTICAL IC PARAMETRIC


VARIATIONS

Sabita PilYi Sachin S. Sapatnekar


Dept. of Electrical and Computer Engineering
Iowa State University
Ames, Iowa 50011 U.S.A.
sabita@cprel.ee.iastate.edu, sac:h.in@iastate.edu

ABSTRACT sipated in glitching. It was also shown in [6] t h a t the haz-


ardous component of power dissipation is more sensitive to
Statistical perturbations of process parameters may change IC parameter fluctuations than t h e power strictly required
propagation delays and alter the switching activity in the to perform the transition between the initial and final state
circuit due to glitches. In this paper, the problem of esti- of each node. It is therefore useful t o determine the change
mating glitch/hazard power in CMOS circuits is addressed. in the switching activity as a function of these statistical
A probabilistic min/max delay model is used, where the perturbatio:ns.
variation of delays between the minimum and maximum de-
This work presents a technique t o probabilistically esti-
lay may follow any given discrete probability distribution.
mate switching activity of individual gates in combinational
T h e first part of this work considers glitching activity as-
CMOS circuits and that due t o hazards, taking into account
suming fixed gate delays with instantaneous rise/fall times.
statiistical perturbations in delay parameters. Signal and
Next, this is refined to incorporate the effects of fixed tran-
transition probabilities are calculated using, both, a zero-
sition times. Experimental results on benchmark circuits delay model and a real-delay model. T h e zero-delay model
show that a significant amount of power is dissipated in
gives an estimate of switching activity due t o only essential
hazards and glitches and that the hazardous part of power
trantritions in the circuit while the real-delay model gives
dissipation is sensitive t o variations in gate delays.
estimates of both essential and spurious (hazards/glitches)
transitions in the circuit. A min/max delay model is used
1. INTRODUCTION by which the gate delays are uncertain but specified to be
lying, betweBen dmin and d,,,. T h e difference in the number
Low power, yet high throughput and computationally in- of tr,ansitions from the two models gives an estimate of haz-
tensive circuits are becoming a critical application domain. ards,/glitches in the circuit. T h e technique is proposed in
An important prerequisite of low power design is accurate two .parts. 'The first part assumes zero rise/fall-time delays,
power estimation and as a result, several researchers have while the second part considers rise/fall-time delays to ac-
addressed the issue of power estimation in the recent years. count for transitions that do not cause a full voltage swing
A direct and simple approach t o estimate power is to simu- of l& at the output. T h e technique assumes that there is
late the circuit for all possible input vectors, called exhaus- no correlation between the internal lines of the circuit. The
tive simulation. However, such a technique cannot be used compllexity of the power estimation algorithm presented is
to simulate long-enough input vector sequences to get mean- linear in the number of gates in the circuit.
ingful power estimates. A Monte Carlo simulation based The rest of the paper is organized as follows. In Section
technique was proposed in [l]. In this technique, the circuit 2 , W I Zreview the notions of signal and transition probabili-
is simulated for a large number of input vectors while gath- ties ,mnd describe the delay and power consumption models.
ering statistics on the average power. However, it is based Section 3 diescribes the power estimation algorithm when
on the assumption that the average power is distributed nor- neglecting itransition times. In Section 4, the algorithm is
mally over a finite time. Recently, other approaches have extended to account for finite transition times. Experimen-
been proposed [2], [3] that require the user to specify typi- tal results itnd conclusions are presented in Sections 4 and
cal behavior at the circuit inputs using probabilities. These 5 respectively.
techniques, referred to as probability-based techniques, are
weakly pattern dependent and allow the user to cover a 2. BACKGROUND AND TERMINOLOGY
large set of possible input patterns with little effort. Hence,
these techniques are much more time efficient than other e Signalprobabilityof a node is defined as the probability
approaches. In [4], a real delay model is used to correctly of the signal being logic ONE at time t and is denoted
compute Boolean conditions that cause glitching, taking by p""'(t). Similarly, the probability of a signal being
into account correlations due to reconvergence fanout. This logic ZERO is denoted by p""'"(t) and is equal to (I -
procedure is exact but suffers from excessive computation pone( t ) ) .
time and storage space. In [5], an efficient but approximate Xransition probabilityof a node is the probability of the
tagged probabilistic simulation approach that accounts for signal making a transition from one state t o another at
reconvergent fanout and glitches is described.
any time t and is denoted by p a b ( t ) , where ( a , b ) E
However, none of the above techniques take into account
(0,1).
the variation in switching activity due to variations in de-
lay values. I t has been shown in [6] that an average of e [d,,,,d,,,]: T h e delay d of a gate is defined as the
15-20% (in some cases, upto 70%) of the total power is dis- time taken to reach 50% of its output value. Gate

0-7803-3583-X/97 $10.00 01997 IEEE 1524


delays are uncertain but specified to lie between d,, Hence we can write:
k
and d,,.
Power dissipation model: A dominant source of power
A N D gate: p r e ( t = 0) = n p ; : ' ( t = 0)
dissipation in CMOS circuits is due to the charging t=l

and discharging of the node capacitances and is given The output y of an A N D gate will have a (0 + 1) tran-
sition at time t , if one or more of its inputs has a (0 -+ 1)
by ~41: transition and the others have a signal value equal to 1 a t
time t = 0'. This can be expressed as follows:
Pa,, = 0.5 Cload (-)v$d E(tTUTLsiti0nS) k
' '
TCYC
'

where Pa,, denotes the average power, C l o a d is the


(1)
$ ( t = 0) = (-1)j-l rI p : y t = 0)

load capacitance, T,,, is the global clock period, V d d is


the supply voltage and E(transitions) is the expected
value of the number of gate output transitions per
n
I=1,
p:;"(t = O + )

global clock cycle. 1#S,


Similarly,
3. POWER ESTIMATION NEGLECTING k
RISE-/FALL-TIME DELAYS
Given a combinational circuit with uncorrelated primary
p F ( t = 0) =
3=1 s,g 1 , 2 . . . k )
(-1)J-l rI
i€Sj
p g ( t = 0)
inputs and no reconvergent fanout, assume all input nodes IF
to be stable before applying transitions at the primary in-
puts. If the transition probabilities at the primary inputs
I-I
I=1,
p::"(t = 0-)
at time t = 0 are specified, a simulation approach based LZS,
on the Critical P a t h Method (CPM) is used to propagate
these probabilities through the circuit: When a probabilis- 3.1.2. Estimation using Real-Delay Model
tic event occurs a t the inputs to some gate g, the appro- To determine the switching activity due to both essential
priate event (shifted by an amount equal to the gate delay and spurious transitions in the circuit , the calculations for
and with corresponding signal and transition probabilities) probabilities arc carried out again using a real-delay model.
is created at the output of g. Prior to this, the transition time points of each gate in the
T h e signal probabilities a t t = 0- at the primary inputs circuit and the longest path delay of the circuit are deter-
are computed using the transition probabilities a t the pri- mined, using CPM. In other words, it is used to determine
mary inputs a t t = 0 as follows: the time intervals during which there is switching activ-
ity for each node in the circuit. Transition probabilities
11
pone(, = 0-) = p y t = 0) + p ( t = 0) are computed only during these intervals, thereby reducing
the number of computations t o be performed in calculating
p Z e r o ( t = 0 - ) = pol ( t = 0) +p 00
( t = 0) switching activity of t h e circuit. We use the n i n / m a x delay
model by which the gate delays are uncertain but specified
Similarly the signal probabilities at t = 0+ are given by, to be varying from d,,, to d,,,. T h e distribution of delay
values is assumed to be binomial. Hence the probability
pone(, = O S ) = p O l ( t = 0) + p l l ( t = 0)
of the delay of the gate being d is given by the following
P = O + ) = plO(t = 0 ) +p 00
( t = 0) formula [7]:
Let A = d,, - d,, +
1, then the probability, P ( d ) , of
T h e initial conditions a t other nodes in the circuit at the delay of a gate being d is given by,
t = 0- are calculated assuming a zero-delay model. We
A-1
illustrate this using an A N D gate. Similar results can be Cd--dm,n
derived for other types of gates such as OR, NAND, NOR, JYd) = p - 1 ('2)
NOT, BUFFER. Consider a gate with k inputs, ~ 1 , ... , Z k For a I;-input AND gate, the signal probability at the
and an output y . T h e signal probability of y a t t = 0- is
found using the signal probabilities a t its inputs at t = 0 - , output of the gate is equal to the product of the probability
as shown: of the delay being d and the intersection of the signal prob-
k abilities of each of its inputs shifted by an amount equal to
A N D gate: pye(t= 0-1 = n p ~ : ~ =( 0-1
t the delay of the gate. T h e total signal probability of the
gate at each time instant is equal t o t h e sum of the signal
t=l
probabilities for each of the delays in the range [ d m t n , d,,,].
3.1. Propagation of Transition Probabilities Thus,
k
3.1.1. Estimation uszng Zero-Delay Model
With this information, the signal and transition probabil-
ities are determined using a zero-delay model for the gates. d=dm,n i=l
These transition probabilities give an estimate of only the Similarly, the transition probabilities are found taking the
steady-state transitions at output nodes in the circuit due delay effects into account. T h e expressions for the transition
to transitions a t the primary inputs. T h e signal probability probabilities for an AND gate, in this case, will be:
of a gate is derived from its Boolean function. Thus, the
probability of a one a t the output of an A N D gate is equal P " y ' (t) =
k
t o the probability of a one at each of its inputs, in other
words, the intersection of the signal probabilities of each
of its inputs, assuming the inputs to be independent.
k
where 6 varies from one to 2 4 and d is gate delay.

For a k-input gate,


1=1,
I#Sj

P:"t) = pspikes = 'n p:: [tl


3ZC
p i : [t + 61 n
lfr,3
P:: [tlf
where (2, j, I ) E z n p z h .
4.2. Calculation of Power Dissipated by the Spikes
k The probability of a spzke is calculated for each time instant
I-IP:'(t
1=1,
- 4.3) in the switching time interval of a gate. T h e output voltage
is approximated from the width of the spike, 6, and the
I#Sj
delay of the gate, d , using the formula, Vg = w a n d
3.2. Power Estimation using Transition Probabil- substihuted in the power dissipation expression,
lar
ities
T h e total switching activity for one clock cycle for each of
d=dm,n 6=1
the gates in the circuit is the sum of transition probabilities
to get the total power dissipated by spikes a t gate g a t
(pol and p l o ) a t each time t , that it was simulated for. Thus,
MaxDly
each time instance in its switching interval. Here the terms
Cload, are the same as in (I).
E,[transitions] = [pOl[t] + p 1 o [ t ] ] If these transitions were assumed t o cause a full voltage
t=o swing of V d d , the power consumed by these transitions will
where M a z D l y is the longest possible path delay of the be,
circuit and is calculated using CPM.
T h e difference in E,[transitions] obtained from the zero- d=dmaz
delay and real-delay model, gives Eg[transztzons]due to Ppreu Cload * vjd ' fclk * P ( d ) PsPike6
' (4)
hazards/glitches a t that gate. Consequently, the average
power dissipated by spurious transitions in the circuit is d=-d,,,
given by:
Hence, the corrected power,' l = Paus Psptke - P,,,,, +
where Pavgis the power estimate obtained in Section 3.
pavg = 0.5 ' v& fclk
' (Cloadg .E g [ t T a n S i t i O ? L S ] ) (3)
Vgates,g
Table 1. Comparison of results from MCS and
Glitch. neglecting transition delays ( t ( s ) is the CPU
time i n seceonds)
4. POWER ESTIMATION CONSIDERING
FINITE RISE-/FALL-TIME DELAYS
T h e algorithm presented in Section 3 assumes that all tran-
sitions propagated t o the outputs of nodes cause a full
voltage swing of V d d when the load capacitances switch.
However, if we consider finite rise/fall-time delays, multi-
ple transitions at outputs, spaced less than transition delay
apart, will not cause a complete voltage swing of V d d . As
a result, the power consumed by such transitions will be
less than that obtained by using (1) which assumes a full
voltage swing of V d d for all transitions.
To correct for the error due to neglecting finite transi-
tion times in the power estimation technique proposed ear-
lier, we developed a method for measuring the widths (in
terms of time) of high and low transitions and approximat- Table 2. Comparison of MCS and Glitch results for
ing it to the fraction of the output voltage, V, that it would finite transition delays
charge/discharge the capacitances to.
4.1. Factors Causing Multiple Transitions
Conditions that cause multiple transitions depend on the
type of the gate. T h e only kind of hazard possible for an
AND gate is a static 0-hazard . This occurs when one or
more inputs of the AND gate make a (0 1) transition
first and one or more inputs make a (1 + 0) transition
-
after a time 6, while all other inputs remain a t a constant
o n e . Since we assume the inputs to be independent, both
temporally arid spatially, the probability of such a transition
occurring; which we define as s p i k e s , . is as follows (for a
two-input AND gate): 5. EXPERIMENTAL RESULTS
Power estimates from the proposed algorithm were verified
p s p i k e , = p?'[t] . p:"t + 61, with tbe results obtained from a 32-bit simulator based on
the Monte-Carlo approach. This approach simulates the times approximately. T h e difference between the MCS and
circuit for different input patterns until convergence oc- Glitch results is small enough to show that this is a rea-
curs, to estimate signal and transition probabilities. The sonable approximation that can be obtained with consider-
proposed algorithm was implemented on DEC 3000 work- able amount of speed-up over other more exhaustive simu-
stations. Experiments were conducted on ISCAS ’85 and lations.
Logic Synthesis ’91 benchmark circuits. In Table I , results
of the proposed power estimator (Glitch) assuming negligi- REFERENCES
ble rise/fall-time delays are compared with those obtained R. Burch, F. Najm, P. Yang, and T. Trick, “A Monte
from Monte-Carlo simulation model (MCS). For both the Carlo approach for power estimation,” IEEE Transac-
models, the capacitance at each node is taken to be equal tions on VLSI Systems, vol. 1, No. 1, pp. 63-71, Mar.
to the fanout of the node. Hence the actual power con- 1993.
sumed will be proportional to the results shown as ~ W in T
the tables. Results for blank entries in the table could not F. Najm, “Transition density: A new measure of
be obtained due to large computation times. The percent- activity in digital circuits,” IEEE Transactions on
age error in power estimates from MCS and Glitch is on an Computer-Aided Design of Integrated Circuits and Sys-
average, 6.74%, which is negligible when compared to the tems, vol. 12, No. 2, pp.310-323, Feb. 1993.
speedup obtained. M. A. Cirit, “Estimating dynamic power consumption
of CMOS circuits,” I E E E International Conference on
Table 3. Percentage variation in Totalpower vs per- Computer-Aided Design, pp. 534-537, 1987.
centage variation in Hazardpower A. Ghosh, S. Devadas, K. Keutzer, and J. White, “Es-
timation of average switching activity in combinational
and sequential circuits,” Proceedings of the 29th Design
Automation Conference, pp. 253-259, 1992.
C-Y. Tsui, M.Pedram, and A.M. Despain, “Efficient
estimation of dynamic power dissipation under a real
delay model,” Proceedings of the International Confer-
ence on Computer Aided Design, pp. 224-228, Novem-
ber 1993.
Though the ratio between the hazard power and total L. Benini, M. Favalli, and B. Ricco, “Analysis of haz-
power varies significantly with the considered circuits (14% ard contribution t o power dissipation in CMOS IC’s,”
to 48%), the average power dissipated by hazardslglitches Proceedzngs of the 1994 Int7 Workshop on Low Power
in static CMOS circuits is 24.95%. These results show that Deszgn, pp. 27-32, April 1994.
a significant amount of power dissipation in static CMOS Y. Deguchi, N.Ishiura, and S. Yajima, “Probabilistic
circuits is due t o glitches/hazards and hence cannot be ne- CTSS: Analysis of Timing Error Probability in Asyn-
glected. chronous logic circuits,” Proceedings of the 28th Design
Table 2 compares the results of Glitch, when it considers Automation Conference, pp. 650-655, June 1991.
rise/fall-time delays with the results from MCS. With only
a slight increase in computation time, this technique gives
more accurate power estimates. Power estimates for all the
circuits using this technique are less than those obtained
with negligible rise/fall-time assumption as expected.
Table 3 shows the percentage variation in total power
dissipated (Pto,) and power consumed by hazards (Phr) as
the percentage variation in delay is varied. The percent-
age variations in power (total and hazard) were calculated
with respect to power when the gate delay is fixed (that is
delay variation is 0%). I t can be seen that the percentage
variation in hazards is higher than the percentage variation
in total power dissipation. These results prove that statis-
tical variations in delay values cause variations in hazard
power dissipation and, in fact, the hazardous component of
power is more sensitive to these variations t,han total power
dissipated.
6. CONCLUSIONS
We presented a linear-time, probability-based algorithm for
estimating glitch/hazard power in CMOS combinational
circuits, taking into account statistical perturbations in de-
lay parameters. Experimental results show that an average
of 28% of total power dissipated is due to hazards/glitches.
It was also found that, in general, the hazardous part of
power dissipation is more sensitive to variations in gate de-
lays than total power dissipation. Since this algorithm as-
sumes fixed gate delays with instantaneous rise/fall times,
it is extended t o incorporate the effects of fixed transition

You might also like