You are on page 1of 5

Microelectronics Journal 43 (2012) 883–887

Contents lists available at SciVerse ScienceDirect

Microelectronics Journal
journal homepage: www.elsevier.com/locate/mejo

Impact of dual-k spacer on analog performance of underlap FinFET


Ashutosh Nandi n, Ashok K. Saxena, S. Dasgupta
Department of Electronics and Computer Engineering, Indian Institute of Technology Roorkee, Roorkee, Uttarakhand 247667, India

a r t i c l e i n f o abstract

Article history: Multigate structures have better short channel control than conventional bulk devices due to increased
Received 9 November 2011 gate electrostatic control. FinFET is a promising candidate among multigate structures due to its ease of
Received in revised form manufacturability. The RF performance of FinFET is affected by gate controlled parameters such as
1 June 2012
transconductance, output conductance and total gate capacitance. In this paper we have used dual-k
Accepted 4 June 2012
Available online 28 June 2012
spacers in underlap FinFETs to improve the gate electrostatic integrity. The inner high-k spacer helps in
better screening out the gate sidewall fringing fields, thereby, increasing transconductance and
Keywords: reducing output conductance with increase in total gate capacitance. At 16 nm gate lengths, we have
Short channel effect (SCE) observed that, the intrinsic gain of dual-k spacer based FinFET can be increased by more than 100%
Dual-k spacer
( 4 6 dB) without affecting cutoff frequency and maximum oscillation frequency, as compared to
Figures of merit (FOM)
conventional single spacer based FinFET. Improvement in cutoff frequency by 11% and maximum
Electrostatic integrity (EI)
Intrinsic gain oscillation frequency by 5% can be achieved, when the gate lengths are scaled down to 12 nm, in
Cutoff frequency addition to 2.75 times (8.8 dB) increase in intrinsic gain.
& 2012 Elsevier Ltd. All rights reserved.

1. Introduction performance by improving gate electrostatic control although


losses due to series parasitic increase [11]. Therefore, analysis is
In recent years battery operated portable devices have seen a carried out at 8 nm of fin thickness (Tfin). High dielectric (High-k)
tremendous growth. The applications of such devices are in the spacers in underlap FinFET are reported to modulate the barrier in
field of cellular phones, wireless receivers, biomedical instru- undoped underlap length of FinFETs [12]. The barrier modulation
ments and others. These devices demand low power, high gain is a direct consequence of increase in gate fringing field which
and low/moderate frequency of operation. Subthreshold/weak shifts the lateral electric field at the gate edge toward drain. The
inversion regime of operation achieves this target [1–4]. Short shift in electric field increases transconductance (gm) and reduces
channel effects (SCEs) are of serious concern in nano-scaled output conductance (gds) thereby improves intrinsic dc gain
devices affecting both digital and analog performance. Among (AV0 ¼gm/gds) [1,2]. With an increase in the underlap extension
the family of multigate structures, FinFET has potential to sup- length (Lext) the doping profile becomes low at the gate edges. The
press short channel effects thereby enhancing the performance. gate electrostatic control can be subsequently improved by limit-
Secondly, single lithography and etch step in fabrication is an ing high-k spacer to undoped/low-doped region. It is reported
attractive feature of FinFET due to its self aligned gates [5–7]. that, this kind of dual-k spacer formation is a better alternative in
FinFETs with source/drain underlap has the potential to enhance controlling direct source to drain tunneling (DSDT) and SCEs
the performance further [8–10]. which limit the device scaling [13,14]. This is because lower
The analog figures of merit (FOM) such as transconductance, portion of the gate sidewall is effectively coupled to source drain
output conductance, early voltage, transconductance-to-current extension regions, thereby increasing the gate electrostatic integ-
ratio, intrinsic dc gain, cutoff frequency and maximum oscillation rity. Virani et al. has analyzed the implications of dual-k spacer in
frequency are affected by short channel effects and in turn improving performance of Tunnel FET with underlap [15,16].
depend upon the effectiveness of gate electrostatic integrity (EI) In the present work, we have analyzed the effect of gate
over channel region. With an increase in the extension length fringing fields on device analog performance by introducing
(Lext) of underlap FinFET these FOM are reported to improve high-k spacer near the gate edges. We have shown that dual-k
further [1,2]. More so, downscaling FinFET is beneficial to analog spacer based FinFETs have potential to offer almost same cutoff
frequency (fT) and maximum oscillation frequency (fmax) as
n
compared to the single low dielectric spacer (low-k) FinFETs in
Corresponding author. Tel.: þ91 9368463183, þ 91 1332 285666;
fax: þ 91 1332 273560.
addition to high increase in intrinsic DC gain (AV0). Rest of the
E-mail addresses: ashutosh.chl@gmail.com (A. Nandi), manuscript is arranged as follows: Section 2 of the manuscript
kumarfec@iitr.ernet.in (A.K. Saxena), sudebdg@gmail.com (S. Dasgupta). deals with device structure and simulation method used. Results

0026-2692/$ - see front matter & 2012 Elsevier Ltd. All rights reserved.
http://dx.doi.org/10.1016/j.mejo.2012.06.001
884 A. Nandi et al. / Microelectronics Journal 43 (2012) 883–887

and discussion is taken up in Section 3. Finally, Section 4 related to the capability of the device to provide maximum
concludes the paper. available power gain at large frequency [19].
Fabrication step of this kind of symmetric FinFET structure is
similar to a standard FinFET as discussed in [5–7] with an
2. Device structure and simulation additional steps of introducing underlap with low-k outer spacer
(Lsp,lk) at both source and drain sides. The methods like asym-
Fig. 1 shows a 3-D underlap FinFET structure with following metric spacer formation or tilt ion implantation can be used for
specifications: p-type SOI layer doping (Na)¼ 1016 cm  3, peak of this kind of additional spacer formation [20,21] Goel et al. [22]
doping profile (Nsd)¼1020 cm  3, gate oxide thickness (TOX)¼1.1 have proposed this kind of extra asymmetric spacer formation at
nm, fin thickness (Tfin) ¼8 nm, fin height (Hfin)¼40 nm. Metal gate drain side by depositing a spacer material with a mask on source
with 4.5 eV gate work function is used to enhance the perfor- side to prevent any material from depositing on source side. Then
mance through elimination of poly-Si depletion, higher carrier using reactive ion etching (RIE) vertically, spacer material can be
mobility, higher transconductance and lower gate leakage [17,18]. etched for required S/D (Source/Drain) doping. In case of sym-
Gate length (Lg) is selected as 16 nm. SiO2 is used as single low-k metric dual-k spacer based design, the inner high-k spacer (Lsp,hk)
spacer (Lsp,lk) dielectric in conventional FinFET, whereas TiO2 can be formed and etched for required dimensions following
(k¼40) as high-k inner spacer (Lsp,hk) and SiO2 as outer spacer usual steps [5,6]. Then low-k outer spacer (Lsp,lk) can be formed by
(Lsp,lk) are used in dual-k spacer based FinFET structures [13]. The similar technique, without any mask at source side. RIE has to be
spacer extension length (Lext ¼Lsp,lk þLsp,hk ) is varied from 12 nm used twice similar to the case of asymmetric design before
( ¼0.75Lg) to 24 nm ( ¼1.5Lg) and source/drain doping gradient required S/D doping profile formation.
(s)¼9d log (Nsd (x))/dx9  1 is varied from 3 to 7 nm/dec as shown Three-dimensional simulations of devices were carried out
in Fig. 2 Cutoff frequency (fT) is extracted from current gain (h21) using TCAD 3-D Sentarus device simulator activating MLDA
through an extrapolation of -20 dB/decade slope, whereas max- quantization model, lombardi mobility model accounting for
imum oscillation frequency (fmax) is extracted from mason’s mobility degradation at semiconductor–insulator interface,
unilateral gain (MUG) through an extrapolation of  20 dB/decade doping dependence SRH recombination/generation for deep
slope. The maximum oscillation frequency is a figure of merit defect levels at the gaps, band to band auger recombination and
old slotboom bandgap narrowing phenomenon [23]. The analog
FOM are extracted at Ids ¼10 mA/mm targeting weak/moderate
inversion regime of operation. Heavily doped raised source/drain
regions are opted for low parasitic resistance [6,7]. However,
these parasitic do not affect the device performance significantly
at such low drive currents [1]. Gate height is chosen to be double
of Hfin in accordance with effective spacer formation step [6].

3. Results and discussion

Increase in underlap extension length (Lext) improves gate


controllability with reduced SCEs because of shift in lateral
electric field from gate edge toward drain. Gate edge is shown
at 78 nm in Fig. 2. Therefore, analog figures of merit such as
transconductance (gm), early voltage (VEA ¼Ids/gds), transconduc-
tance-to-current ratio (gm/Ids), intrinsic dc gain (AV0), cutoff
frequency (fT) and maximum oscillation frequency (fmax) is
reported to improve [1,2]. Fig. 3 shows the variation of Cgg, gm
and gds, normalized to the values obtained at extension length of
12 nm, with Lext. Reduction of gds is due to of reduced dopant
concentration at gate edge with increase in the underlap exten-
sion length, whereas reduction in Cgg is due to increase in the
Fig. 1. 3-D Schematic of underlap FinFET. distance between gate and drain/source electrodes which makes

Fig. 2. Lateral source/drain doping profile (Nsd) along the center of the channel Fig. 3. Variation of transconductance (gm), output conductance (gds) and total gate
showing various doping gradients (s). Notations: s ¼3 nm/dec -, s ¼ 5 nm/dec - - -, capacitance (Cgg) with extension length (Lext) simulated at 10 mA/mm. Lg ¼ 16 nm,
s ¼ 7 nm/dec - . -. s ¼ 3 nm/dec, Vds ¼ 1.1 V. Notations: Cgg....., gds- - -, gm- . -.
A. Nandi et al. / Microelectronics Journal 43 (2012) 883–887 885

the fringing field difficult to be screened out. We observe that


increase in gm can be attributed to high increase in electron
mobility (mn) as gm is directly proportional to mn. Fig. 4 shows the
variation of electron mobility along lateral direction in the
channel. It can be seen that the mobility shows an increase with
extension length within any point in the channel. The increase in
the mobility is due to (1) increase in undoped/lowly doped region
towards source/drain end (2) reduction in electric field at gate
edge towards drain (as shown in the inset of Fig. 4) and resulting
reduced surface scattering thereof [1,24,25].
Gate fringe induced barrier lowering (GFIBL) is observed in
undoped underlap FinFETs with an increase in the dielectric
constant of spacer region (Lext) [12]. The barrier to lateral drain
electric field is lowered in strong inversion because of increase in
the coupling of gate fringing fields to undoped underlap portion
of FinFET. In weak to moderate inversion however, we observe
that restricting the high-k dielectric to undoped/low-doped
underlap portion (Lsp,hk) can strengthen the gate sidewall fringing
field. These fringing fields are virtually normal to the underlap
region which makes the lateral electric field from drain to source
shift away from gate edge toward drain. 3-D poisson equation
governing the device physics of low doped p-type fin is [26].

dEx dEy dEz q


þ þ ¼ ðpn þ Ndþ N
aÞ ð1Þ
dx dx dx eSi
The fringing electric fields contribute towards increasing
Fig. 5. (a) Lateral electric field at the center of the body simulated with Vgs ¼ 0.3 V
Ey and Ez components of Eq. (1). Thereby, the lateral electric field and Vds ¼ 1.1 V (b) OFF-state lateral conduction band profile at the center of the
Ex is reduced as the sum total of charges at the right hand side of body simulated with Vgs ¼Vds ¼ 0 V. Lg ¼16 nm. Notations: Lsp,lk....., Lsp,hk ¼ 2 nm- . -,
Eq. (1) is constant throughout the device. Larger portion of Lext Lsp,hk ¼ 4 nm-—-, Lsp,hk ¼ 6 nm- - -.
near the gate edge of underlap FinFET remain undoped/low-
doped with an increase in its length. High-k dielectric used as
inner spacer (Lsp,hk) can enhance the gate sidewall fringing fields broadening phenomenon. Unlike effective channel length (Leff)
in this low-doped/undoped area. Fig. 5(a) shows the shift in defined at constant doping level, electrical length (Lelec) is defined
lateral electric field at the gate edge toward the drain with at constant energy level. Thus effective increase in Lelec, due to
increase in Lsp,hk. This helps in modulating the energy barrier to depleted silicon region beyond gate edge, will improve the
drain potential and in fact raises the energy barrier at weak/ electrostatic integrity (EI a 1/Lelec) and in turn short channel
moderate inversion regime of operation. The GIFBL concept effects are improved [13]. We observe that this Lelec increases
remains same at strong inversion because of ease in propagation with increase in the screening of gate side wall fringing fields. The
of lateral electric fields at high electron concentration. This variation of Lelec with increase in inner spacer length Lsp,hk is
envisages the barrier modulation capability of dual-k spacer shown in Fig. 5(b). As the spacer length is increased more and
based underlap FinFET devices. At weak/moderate inversion more fringing fields are coupled to the underlap portion, thereby,
regime of operation of devices the barrier modulation capability improving short channel effects at low electron energies.
of gate fringing fields can broaden the energy band profile The improvement in short channel effects transforms into
by depleting the silicon layer beyond the gate edges of FinFET. better gate electrostatic integrity and in turn lead to an increase
The electrical length (Lelec) is a measure of this energy barrier in transconductance (gm) and lowering of output conductance
(gds). This increases the early voltage (VEA ¼Ids/gds) and transcon-
ductance-to-current ratio (gm/Ids) of the device thereby, increas-
ing the intrinsic DC gain AV0 with an increase in current as
AV0 ¼(gm/Ids)  VEA. Furthermore, the influence of lateral drain
field can degrade the gate stack reliability of nano-scale device
which is of serious concern at current technologies. The reliability
issues such as time dependent dielectric breakdown, bias tem-
perature instability, channel hot carrier degradation etc. are
aggravated due to of intrusion of lateral electric field into the
channel region [27]. Dual-k spacer has potential to control the
lateral electric field and can enhance the gate stack reliability
issues thereby.
Fringing field screening is pronounced with an increase in
length of Lsp,hk. This decreases the output conductance (gds) and
increases transconductance (gm), gate to drain capacitance (Cgd)
and total gate capacitance (Cgg). With lower value of Lsp,hk the gm
increase can supersede the increase in Cgg therefore providing
higher fT ( ¼gm/2PCgg). The combined effect of improved fT and gds
Fig. 4. Electron mobility at the center of the channel extracted at 10 mA/mm
can result in higher fmax (fmax is inversely proportional to
pffiffiffiffiffiffiffi
simulated with Vds ¼ 1.1 V, Lg ¼ 16 nm, s ¼ 3 nm/dec. Notations: Lext ¼ 12 nm- - -, g ds þ 2pf T C gd ) [2]. However, while the improvement in both
Lext ¼ 16 nm....., Lext ¼ 20 nm- . -, Lext ¼ 24 nm-——. fT and fmax is not significant at Lsp,hk ¼2 nm, the gain improvement
886 A. Nandi et al. / Microelectronics Journal 43 (2012) 883–887

is limited to E6 dB as shown in Fig. 6 At Lsp,hk ¼4 nm (Lext/6), we


have observed that, the increase in Cgg is almost compensated by
gm increase, therefore fT and fmax of both low-k and dual-k FinFETs
are almost equal wherein AV0 is increased by 7.73 dB
( E2.5 times). With further increase in Lsp,hk ( 4Lext/6), there is
a tradeoff between increase in AV0 and decrease in both fT and fmax
of dual-k FinFET as compared to conventional low-k FinFET.
Further analysis is carried out by varying Lext from 12 nm to
24 nm, doping gradient (s) from 3 nm/dec to 7 nm/dec and with
Lsp,hk ¼Lext/6 in order to observe the improvement in gain without
sacrificing fT and fmax. It is observed that the intrinsic gain (AV0) is
enhanced by more than 100% (46 dB) for all extension lengths
irrespective of doping gradient as enumerated in Table 1. With
doping gradient (s) of 3 nm/dec and Lext ¼24 nm, fT, fmax and AV0
of dual-k spacer based FinFET is observed to be 110 GHz,
202.4 GHz and 41.56 dB respectively. Furthermore, it is observed
that improvement in fT, fmax and AV0 varies linearly with Lext.
The most crucial aspect of barrier modulation is lowering of
gds. Variation of gds and gm is shown in Fig. 7(a) for doping
gradient of 3 nm/dec. It is observed that gds of dual-k spacer
FinFET is reduced by 41.83% at Lext ¼ 12 nm to 51.55% at Fig. 7. (a) Variation of transconductance (gm) and output conductance (gds) with
Lext ¼24 nm. gds improvement increases early voltage (VEA) due extension length (Lext). (b) Variation of total gate capacitance (Cgg) and gate to
to weaker influence of lateral electric field (Ex) on the channel and drain capacitance (Cgd) with extension length (Lext). Lg ¼ 16 nm, s ¼3 nm/dec,
Lsp,hk ¼ Lext/6, Vds ¼1.1 V. Notations: Dual-k-—-, Low-k......
is a measure of enhanced vertical gate coupling of dual-k based
FinFET structure. This large increase in VEA is combined with
improvement in gm to produce high increase in AV0. The dual-k
spacer increases Cgg by 13.37% at Lext ¼12 nm to 12.04% at
Lext ¼24 nm. Increase in gm tends to nullify the Cgg increase
thereby, producing almost same cutoff frequency for both low-k
and dual-k spacer based FinFET structures aspshown in ffiTable 1.
ffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffi
Secondly, as fmax is inversely proportional to g ds þ 2pf T C gd , the

Fig. 8. Variation of gm/Ids ratio and VEA with normalized drain current Ids/(Wg/Lg).
Lext ¼ 24 nm, Lg ¼ 16 nm, s ¼ 3 nm/dec, Lsp,hk ¼ Lext/6, Vds ¼ 1.1 V. Notations: Dual-k-—-,
Low-k......

second term 2pfTCgd is compensated by gds improvement. This


results in negligible reduction in fmax for dual-k FinFETs as
Fig. 6. Variation of intrinsic gain (AV0), cutoff frequency (fT) and maximum
oscillation frequency (fmax) extracted at 10 mA/mm drain current at different inner compared to low-k FinFETs. The variation of Cgg and Cgd with Lext
spacer length Lsp,hk Lg ¼ 16 nm, s ¼3 nm/dec, Lext ¼ 24 nm, Vds ¼1.1 V. AV0, fT and are shown in Fig. 7(b).
fmax of low-k FinFET are 33.83 dB, 110 GHz and 205.8 GHz respectively. Notations: Another important factor in deciding AV0 at different current
AV0-—-, fT....., fmax- - -. levels is transconductance-to-current ratio (gm/Ids) and is a
measure of transconductance generation efficiency of the device.
This expresses the capability of FinFET to amplify a signal under
Table 1
certain dissipated power (Ids). Infact, gm/Ids is a better criterion
Simulated values of intrinsic gain, cutoff frequency and maximum oscillation
frequency with variation in doping gradients and extension lengths. than gm or Ids to assess device performance as it represents the
efficiency of the device to convert DC power into AC frequency
s (nm/dec) Lext (nm) AV0 (dB) fT (GHz) fmax (GHz) and gain performance [28]. More so, multigate device exhibit
Low-k Dual-k Low-k Dual-k Low-k Dual-k higher values of gm/Ids due to improved charge control resulting
7 12 23.36 29.62 52.44 50.49 102.3 101.4
from enhanced coupling between its gates, which lead to reduced
16 26.77 34.48 68.58 67.22 130.6 129.8 body effect as compared to single gate device [25]. As AV0 ¼
20 29.74 37.2 83.39 80.64 156.6 151.1 (gm/Ids)  VEA therefore increase in both gm/Ids and VEA increases
24 32.29 40.48 99.46 94.34 184.5 174.6 AV0 at different current level. Fig. 8 represents these two factors
5 12 25.77 32.57 63.63 62.67 117.7 117.2
with normalized drain currents (Ids/(Wg/Lg)).
16 29.84 36.4 77.8 78.3 145.7 143.8
20 31.05 38.92 93.33 94.73 174.8 169.3 We have further varied the gate lengths keeping Lext ¼1.5  Lg
24 33.19 41.03 107.1 104.9 197.9 190.5 and Lsp,hk ¼Lext/6 to observe the effects of barrier modulation.
3 12 29.1 35.14 71.57 73.62 135 132.3 Fig. 9 shows the variation of analog FOM with varying gate
16 30.63 37.52 83.95 86.2 157.1 158.8 lengths. We observe that fT and fmax of dual-k spacer FinFETs
20 32.13 39.46 98.77 99.84 183.5 180.5
24 33.83 41.56 110 110.4 205.8 202.4
are lower than low-k FinFETs at higher gate lengths. With scaling
of gate lengths beyond 16 nm both fT and fmax of dual-k spacer
A. Nandi et al. / Microelectronics Journal 43 (2012) 883–887 887

[3] Interntional Technology Roadmap for Semiconductor (ITRS) for Radio Fre-
quency and Analog/Mixed-signal Technologies for Wireless Integration.
Available Online /www.itrs.netS, 2005.
[4] A. Nandi, R. Chandel, Design and analysis of sub-DT sub-domino logic circuits
for ultra low power applications, J.Low Power Electron. 6 (4) (2010) 513–520.
[5] Y.K. Choi, L. Chang, P. Ranade, J.S. Lee, D. Ha, S. Balasubramanian, A. Agarwal,
M. Ameen, T.J. King, J. Bokor, FinFET process refinements for improved
mobility and gate work function engineering, IEDM Tech. Dig. (2002)
259–262.
[6] J. Kedzierski, M. Ieong, E. Nowak, T.S. Kanarsky, Y. Zhang, R. Roy, D. Boyd,
D. Fried, H.S.P. Wong, Extension and source/drain design for high-perfor-
mance FinFET devices, IEEE Trans. Electron Devices 50 (4) (2003) 952–958.
[7] A. Dixit, A. Kottantharayil, N. Collaert, M. Goodwin, M. Jurczak, K.D. Meyer,
Fig. 9. Variation of cutoff frequency (fT), maximum oscillation frequency (fmax) Analysis of the parasitic S/D resistance in multiple-gate FETs, IEEE Trans.
and intrinsic gain (AV0) with Lg s ¼3 nm/dec, Lext ¼1.5  Lg, Lsp,hk ¼ Lext/6, Electron Devices 52 (6) (2005) 1132–1140.
Vds ¼1.1 V. Notations: Dual-k-—-, Low-k...... [8] V. Trivedi, J.G. Fossum, M.M. Chodhury, Nanoscale FinFETs with gate-source/
drain underlap, IEEE Trans. Electron Devices 52 (1) (2005) 56–62.
[9] J.G. Fossum, M.M. Chodhury, V.P. Trivedi, T.J. King, Y.K. Choi, J. An, B. Yu,
FinFETs become higher than low-k FinFETs. This is the case when Physical Insights on design and modeling of nanoscale FinFETs, IEDM Tech.
gm increase supersedes the increase in Cgg. We also observe that Dig. (2003) 679–682.
[10] Ji.W. Yang, P.M. Zeitzoff, H.H. Tseng, Highly manufaturable double gate
the intrinsic gain at Lg ¼12 nm is up by 8.8 dB as compared to
FinFET with gate-source/drain underlap, IEEE Trans. Electron Devices 54 (6)
6.8 dB increase at Lg ¼20 nm. Overall decrease of frequencies and (2007) 1464–1470.
gain with Lg, for both low-k and dual-k FinFET, is due to lower [11] S. Nuttinck, B. Parvais, G. Curatola, A. Mercha, Double-gate FinFETs as a CMOS
dimensional value of Lext ( ¼1.5  Lg). Nevertheless, these para- technology downscaling option: an RF perspective, IEEE Trans. Electron
Devices 54 (2) (2007) 279–283.
meters can be improved further with increase in Lext as the gate [12] A.B. Sachid, C.R. Manoj, D.K. Sharma, V.R. Rao, Gate fringe induced barrier
lengths are scaled to Lg ¼12 nm. Considering a typical case of lowering in underlap FinFET structures and its optimization, IEEE Electron
Lg ¼ 12 nm, Lext ¼2  Lg and Lsp,hk ¼Lext/6, we observe fT, fmax and Device Lett. 29 (1) (2008) 128–130.
[13] R.A. Vega, K. Liu., T.J.K. Liu, Dopant segregated schottky source/drain double
AV0 of dual-k FinFET as 114 GHz, 206 GHz and 39.5 dB respec-
gate MOSFET design in the direct source-to-drain tunneling regime, IEEE
tively, which are comparable to the values obtained at Lg ¼16 nm Trans. Electron Devices 56 (9) (2009) 2016–2026.
as discussed in Table 1 for the same values of Lext and Lsp,hk. As [14] R.A. Vega, T.J.K. Liu, Comparative Study of FinFET versus quasi-planner HTI
compared to low-k FinFET the increase in these fT, fmax and AV0 at MOSFET for ultimate scalability, IEEE Trans. Electron Devices 57 (12) (2010)
3250–3256.
Lg ¼ 12 nm are found to be 12 GHz, 8 GHz and 10.5 dB respec- [15] H.G. Virani, R.B.R. Adari, A. Kottantharayil, Dual-k Spacer device architecture
tively. The improvements in both intrinsic gain and frequencies at for the improvement of performance of silicon n-channel tunnel FETs, IEEE
lower gate lengths indicate the pronounced barrier modulation Trans. Electron Devices 57 (10) (2010) 2410–2417.
effect with device scaling. This envisages that, with scaling down [16] H.G. Virani, S. Gundapaneni, A. Kottantharayil, Double dielectric spacer for
the enhancement of silicon p-channel tunnel field effect transistor perfor-
of technology, formation of dual-k spacer is advantageous to mance, Jpn. J. Appl. Phys. 50 (04DC04) (2011) 1–6.
single low-k spacer design in all aspects. [17] J. Kedzierski, E. Nowak, T. Kanarsky, Y. Zhang, D. Boyd, R. Carruthers,
C. Cabral, R. Amos, C. Lavoie, R. Roy, J. Newbury, E. Sullivan, J. Benedict,
P. Saunders, K. Wong, D. Canaperi, M. Krishan, K.L. Lee, B.A. Rainey, D. Fried,
4. Conclusion P. Cottrell, H.-S.P. Wong, M. Ieong, W. Haensch, Metal-gate FinFET and fully
depleted SOI devices using total gate silicidation, IEDM Tech. Dig. (2002)
247–250.
Feasible dual-k spacer formation in underlap FinFET is an [18] J. Kedzierski, M. Ieong, T. Kanarsky, Y. Zhang, H.S.P. Wong, Fabrication of
attractive option in controlling DSDT, SCEs and improving analog metal gated FinFETs through complete gate silicidation with Ni, IEEE Trans.
FOM. The transconductance and output conductance improves in Electron Devices 51 (12) (2004) 2115–2120.
[19] S. Eminente, M. Alessandrini, C. Fiegna, Comaprative analysis of the RF and
all extension lengths irrespective of doping gradient. The most noise performance of bulk and single-gate ultra-thin SOI MOSFETs by
crucial aspect of SCE improvement is reduction of output con- numerical simulation, J. Solid-State Electron. 48 (2004) 543–549, Elesevier.
ductance thereby improving the intrinsic dc gain by more than [20] Tyagi, M. Bohr, Asymmetric source/drain extension transistor structure for
high-performance sub-50-nm gate length CMOS devices, VLSI Symp. Tech.
100% ( 46 dB) for all extension lengths. With Lsp,hk ¼Lext/6, the
Dig. (2001) 17–18.
increase in capacitance of dual-k FinFET is compensated by [21] K. Ohuchi, K. Adachi, A. Hokazono, Y. Toyoshima, Source/Drain engineering
transconductance improvement, thereby, producing almost same for sub-100-nm technology node, Proc. IEEE Int. Conf. Ion Implantation
cutoff and maximum oscillation frequency as compared to low-k Technol. (2002) 7–12.
[22] A. Goel, S.K. Gupta, K. Roy, Asymmetric drain spacer extension (ADSE)
FinFET in addition to high increase in intrinsic gain. Transconduc- FinFETs for low-power and robust SRAMs, IEEE Trans. Electron Devices 58
tance-to-current ratio and early voltage are two important para- (2) (2011) 296–308.
meters in deciding the intrinsic gain at different current levels. [23] Sentarus Device User Guide. Available Online: /http://www.synopsys.comS.
[24] F. Balestra, S. Cristoloveanu, M. Benachir, J. Brini, T. Elewa, Double gate
We observed that these two parameters improve by formation of
silicon-on-insulator transistor with volume inversion: a new device with
dual-k spacer in underlap FinFET. More so, pronounced effect of greatly enhanced performance, IEEE Electron Device Lett. 8 (9) (1987)
barrier modulation is observed as the devices are scaled in nano- 410–412.
scale regime. This would result in an improvement in the [25] A. Kranti, T.M. Chung, J.P. Raskin, Analysis of static and dynamic performance
of short channel double gate silicon-on-insulator metal oxide semiconductor
frequencies (fT and fmax) and percentage increase in intrinsic gain field effect transistor for improved cutoff frequency, Jpn. J. Appl. Phys. 44 (4B)
of dual-k FinFET as compared to low-k FiznFET. (2005) 2340–2346.
[26] Y. Taur, T.H. Ning, Fundamentals of Modern VLSI Devices, Cambridge
University Press Publication, 1998.
References [27] E. Amat, T. Kauerauf, R. Degraeve, A.D. Keersgieter, R. Rodrı́guez, M. Nafrı́a,
X. Aymerich, Guido Groeseneken, Channel hot-carrier degradation in
[1] A. Kranti, G.A. Armstrong, Source/drain extension region engineering in short-channel transistors with high-k/metal gate stacks, IEEE Trans. Device
FinFETs for low-voltage analog applications, IEEE Electron Device Lett. 28 Materials Reliab. 9 (3) (2009) 425–430.
(2) (2007) 139–141. [28] F. Silveira, D. Flandre, P.G.A. Jespers, A GM/IDs based methodology for the
[2] A. Kranti, G.A. Armstrong, Design and optimization of FinFETs for ultra-low design of CMOS analog circuits and its application to the synthesis of a
voltage analog applications, IEEE Trans. Electron Devices 54 (12) (2007) silicon-on-insulator micropower OTA, IEEE J. Solid-State Circuits 31 (9)
3308–3315. (1996) 1314–1319.

You might also like