You are on page 1of 56

INDIA’S SOLAR THE NEW AN INTERNET THE TRANSISTORS

SHANGRI-LA FUSIONEERS IN THE SKY OF FLATLAND


Two gigawatts and Alternative approaches Can it break the 2D semiconductors in
53 square kilometers to power’s holy grail satcom bottleneck? the twilight of silicon
P. 08 P. 30 P. 38 P. 44

FOR THE TECHNOLOGY INSIDER | 02.20

THE
SHADOW
OF A
BLACK
HOLE
How 200 scientists
and engineers
managed to see
the unseeable
Katherine L. Bouman
explains
Challenge us.
What are your requirements for arbitrary waveform generation?
Our mission: providing instrumentation, software, and advice
to get you the best results with minimal time and effort.

HDAWG
Arbitrary Waveform Generator
∏Up to 144 synchronized channels starting at
∏750 MHz, 16 bit, 2.4 GSa/s, $29,950
5 Vpp maximum amplitude
∏LabOne® AWG Sequencer and Compiler
∏Digital modulation at multiple frequencies
∏ NEW Real-time precompensation

Now hiring in the US –


check our open positions!

Zurich Find out more today

Instruments www.zhinst.com
CONTENTS_02.20

22 PORTRAIT OF A

5 BIG IDEAS
BLACK HOLE
What does a black hole
look like? Astronomers

FOR FUSION
now know the answer.
By Katherine L.
Bouman

Small-scale fusion energy


projects aim to leapfrog
38 ROUTERS
mainstream efforts. Page 30 IN SPACE
By Tom Cly nes Satellites acting
as Internet routers
can keep orbiting
spacecraft connected
to Earth.
By Mina Mitry

44 ATOM-THICK
TRANSISTORS
Devices with 2D
semiconductors might
be coming quicker than
you’d think.
By Iuliana Radu

06 NEWS
14 HANDS ON
17 CROSSTALK
52 PAST FORWARD

On the cover
Illustration for IEEE Spectrum
by StoryTK

PHOTOGRAPH BY First Light Fusion

IEEE SPECTRUM
(ISSN 0018-9235) is published monthly by The
Institute of Electrical and Electronics Engineers,
Inc. All rights reserved. © 2020 by The Institute of
Electrical and Electronics Engineers, Inc., 3 Park
Avenue, New York, NY 10016-5997, U.S.A.
Volume No. 57, Issue No. 2. The editorial content
of IEEE Spectrum magazine does not represent
official positions of the IEEE or its organizational
units. Canadian Post International Publications
Mail (Canadian Distribution) Sales Agreement
No. 40013087. Return undeliverable Canadian
addresses to: Circulation Department, IEEE Spectrum,
Box 1051, Fort Erie, ON L2A 6C7. Cable address:
ITRIPLEE. Fax: +1 212 419 7570. INTERNET:
spectrum@ieee.org. ANNUAL SUBSCRIPTIONS:
IEEE Members: $21.40 included in dues. Libraries/
institutions: $399. POSTMASTER: Please send
address changes to IEEE Spectrum, c/o Coding
Department, IEEE Service Center, 445 Hoes Lane,
Box 1331, Piscataway, NJ 08855. Periodicals
postage paid at New York, NY, and additional mailing
offices. Canadian GST #125634188. Printed
at 120 Donnelley Dr., Glasgow, KY 42141-1060,
U.S.A. IEEE Spectrum circulation is audited by
BPA Worldwide. IEEE Spectrum is a member of
the Association of Business Information & Media
Companies, the Association of Magazine Media,
and Association Media & Publishing. IEEE prohibits
discrimination, harassment, and bullying. For more
information, visit https://www.ieee.org/web/
aboutus/whatis/policies/p9-26.html.

SPECTRUM.IEEE.ORG | FEB 2020 |  01
BACK STORY

THE IEEE APP:


Your mobile
gateway to
IEEE.

WHEN ALL REALITY IS VIRTUAL

W
e’r e pleased to a nnounce the debut , in this
issue, of a new column, Macro & Micro. Perhaps
you’ve heard of its author, Mark Pesce. If not, prepare
to be impressed.
An early milestone in his engineering career was
his founding, in 1991, of Ono-Sendai Corp., named
after a fictional company in William Gibson’s science-
fiction classic Neuromancer (Ace, 1984). In the real world, Ono‑Sendai
Download now became the world’s first consumer virtual-reality startup.
Pesce was one of the inventors of the orientation sensor that Sega
and get IEEE at Corp. adopted for its Sega-VR head-mounted display. Also, he and
your fingertips. others developed the Virtual Reality Modeling Language (VRML).
In 1996, Pesce cofounded BlitCom, the first company to use VRML
to deliver streaming 3D entertainment over the Web. Two years later,
Pesce helped create the graduate program in interactive media at the
University of Southern California. Not long afterward, he was invited to
Sydney to develop a postgraduate program in interactive and emerging
media at the Australian Film Television and Radio School. Pesce soon
made his home in Sydney, where he now serves as entrepreneur-in-
residence at the University of Sydney’s Incubate program.
In addition to being an engineer and a teacher, Pesce is also a
popularizer. In 2005, the Australian Broadcasting Corp. invited him
to become a panelist and judge on the television series “The New
Inventors.” In 2012, Pesce published his sixth book, The Next Billion
Seconds (Blurb Books), which explores a world where everyone
is “hyperconnected.” In 2014, he and Jason Calacanis launched the
podcast “This Week in Startups Australia.” Later Pesce started “The
Next Billion Seconds” podcast. And since 2014, he’s been a columnist
for The Register. Somehow, he also finds time to consult on blockchain-
based technologies for banks and fintech firms.
At the end of 2017, the Meanjin Quarterly published Pesce’s essay,
“The Last Days of Reality,” which describes a future in which it
JAMIE MACFADYEN
02.20

becomes impossible to know what is true. Well folks, we’re there. We


hope that Pesce’s columns in IEEE Spectrum will help you to navigate
that new reality. ■

02  | FEB 2020 | SPECTRUM.IEEE.ORG
CONTRIBUTORS

EDITOR IN CHIEF Susan Hassler, s.hassler@ieee.org ADVERTISING PRODUCTION +1 732 562 6334

Katherine (Katie) Bouman


EXECUTIVE EDITOR Glenn Zorpette, g.zorpette@ieee.org ADVERTISING PRODUCTION MANAGER
EDITORIAL DIRECTOR, DIGITAL Felicia Spagnoli, f.spagnoli@ieee.org
Harry Goldstein, h.goldstein@ieee.org SENIOR ADVERTISING PRODUCTION COORDINATOR
Nicole Evans Gyimah, n.gyimah@ieee.org
Bouman is an assistant professor in the
MANAGING EDITOR Elizabeth A. Bretz, e.bretz@ieee.org
SENIOR ART DIRECTOR
departments of electrical engineering and of
EDITORIAL ADVISORY BOARD, IEEE SPECTRUM
Mark Montgomery, m.montgomery@ieee.org computing and mathematical sciences at Caltech.
Susan Hassler, Chair; Steve Blank, David C. Brock, Ronald
SENIOR EDITORS F. DeMara, Shahin Farshchi, Lawrence O. Hall, Jason K. Hui,
Her work in computational imaging includes the
Stephen Cass, cass.s@ieee.org Leah Jamieson, Mary Lou Jepsen, Deepa Kundur, Gianluca development of algorithms used to create the first
Erico Guizzo (Digital), e.guizzo@ieee.org Lazzi, Allison Marsh, Carmen Menoni, Sofia Olhede, Maurizio picture of a black hole, as she describes in this
Jean Kumagai, j.kumagai@ieee.org Vecchione, Edward Zyszkowski issue [p. 22]. Although she has many research
Samuel K. Moore, s.k.moore@ieee.org
EDITORIAL ADVISORY BOARD, THE INSTITUTE interests, this area has long been one of her
Tekla S. Perry, t.perry@ieee.org
Kathy Pretz, Chair; Qusi Alqarqaz, Philip Chen, Roberto Graglia, favorites. As Bouman says, “What’s cooler than
Philip E. Ross, p.ross@ieee.org working on black holes?”
Shashank Gaur, Susan Hassler, Cecilia Metra, San Murugesan,
David Schneider, d.a.schneider@ieee.org
Mirela Sechi Annoni Notare, Tapan K. Sarkar, Joel Trussell,
Eliza Strickland, e.strickland@ieee.org Hon K. Tsang, Chonggang Wang
DEPUTY ART DIRECTOR Brandon Palacio, b.palacio@ieee.org
PHOTOGRAPHY DIRECTOR Randi Klett, randi.klett@ieee.org
ONLINE ART DIRECTOR Erik Vrielink, e.vrielink@ieee.org
MANAGING DIRECTOR, PUBLICATIONS
Michael B. Forster Tom Clynes
NEWS MANAGER Amy Nordrum, a.nordrum@ieee.org EDITORIAL CORRESPONDENCE Clynes is a freelance writer and photojournalist
ASSOCIATE EDITORS IEEE Spectrum, 3 Park Ave., 17th Floor, who covers science and environmental issues. In
New York, NY 10016-5997
Willie D. Jones (Digital), w.jones@ieee.org this issue, he writes about new efforts to build
Michael Koziol, m.koziol@ieee.org TEL: +1 212 419 7555 FAX: +1 212 419 7570
practical nuclear fusion reactors [p. 30]. His 2015
SENIOR COPY EDITOR Joseph N. Levine, j.levine@ieee.org BUREAU Palo Alto, Calif.; Tekla S. Perry +1 650 752 6661
book, The Boy Who Played With Fusion, tells the
COPY EDITOR Michele Kogon, m.kogon@ieee.org DIRECTOR, BUSINESS DEVELOPMENT,
tale of a 14-year-old who built a working fusion
EDITORIAL RESEARCHER Alan Gardner, a.gardner@ieee.org MEDIA & ADVERTISING Mark David, m.david@ieee.org
ADMINISTRATIVE ASSISTANT
reactor. “But the challenge of harnessing a fusion
ADVERTISING INQUIRIESNaylor Association Solutions, reaction to produce electricity for the grid will
Ramona L. Foster, r.foster@ieee.org
Erik Henson +1 352 333 3443, ehenson@naylor.com
CONTRIBUTING EDITORS Evan Ackerman, Mark Anderson, likely continue to vex the best engineering minds
REPRINT SALES +1 212 221 9595, ext. 319 for many years to come,” Clynes says.
Robert N. Charette, Peter Fairley, W. Wayt Gibbs, Tam Harbert,
REPRINT PERMISSION / LIBRARIES Articles may be
Mark Harris, David Kushner, Robert W. Lucky, Prachi Patel,
photocopied for private use of patrons. A per-copy fee must
Morgen E. Peck, Richard Stevenson, Lawrence Ulrich
be paid to the Copyright Clearance Center, 29 Congress
EDITOR IN CHIEF, THE INSTITUTE
Kathy Pretz, k.pretz@ieee.org
St., Salem, MA 01970. For other copying or republication,
contact Managing Editor, IEEE Spectrum. Allison Marsh
ASSISTANT EDITOR, THE INSTITUTE
COPYRIGHTS AND TRADEMARKS IEEE Spectrum is a Marsh is a historian at the University of South
Joanna Goodrich, j.goodrich@ieee.org
registered trademark owned by The Institute of Electrical and Carolina, where she codirects the Ann Johnson
DIRECTOR, PERIODICALS PRODUCTION SERVICES Peter Tuohy Electronics Engineers Inc. Responsibility for the substance Institute for Science, Technology & Society. In
EDITORIAL & WEB PRODUCTION MANAGER Roy Carubia of articles rests upon the authors, not IEEE, its organizational researching this month’s Past Forward, about
SENIOR ELECTRONIC LAYOUT SPECIALIST Bonnie Nani units, or its members. Articles do not represent official
a radioactive science kit for kids [p. 52], Marsh
PRODUCT MANAGER, DIGITAL Shannan Dunlap positions of IEEE. Readers may post comments online;
ordered a copy of the 1966 movie U-238 and the
WEB PRODUCTION COORDINATOR Jacqueline L. Parker comments may be excerpted for publication. IEEE reserves
MULTIMEDIA PRODUCTION SPECIALIST Michael Spector the right to reject any advertising.
Witch Doctor. “It’s about a group of American
mining engineers trying to negotiate uranium
rights in Africa,” Marsh explains. “It was too
painful to watch.”

IEEE  BOARD OF DIRECTORS PUBLICATIONS Michael B. Forster


PRESIDENT & CEO Toshio Fukuda, president@ieee.org
+1 732 562 3928 FAX: +1 732 981 9515
+1 732 562 3998, m.b.forster@ieee.org
CHIEF MARKETING OFFICER Karen L. Hawkins
Mina Mitry
+1 732 562 3964, k.hawkins@ieee.org Mitry is an aerospace engineer and cofounder
PRESIDENT-ELECT Susan K. “Kathy” Land
CORPORATE ACTIVITIES Donna Hourican and CEO of Kepler Communications. With the
TREASURER Joseph V. Lillie SECRETARY Kathleen A. Kramer
+1 732 562 6330, d.hourican@ieee.org rest of Kepler’s engineering team, he’s building
José M.F. Moura
PAST PRESIDENT MEMBER & GEOGRAPHIC ACTIVITIES Cecelia Jankowski a constellation of satellites for low Earth orbit
VICE PRESIDENTS +1 732 562 5504, c.jankowski@ieee.org that will function as “routers” to move data from
Stephen M. Phillips, Educational Activities; Tapan K. Sarkar, STANDARDS ACTIVITIES Konstantinos Karachalios
other satellites back to Earth. In this issue [p. 38],
Publication Services & Products; Kukjin Chun, Member & +1 732 562 3820, constantin@ieee.org
Geographic Activities; Kazuhiro Kosuge, Technical Activities; EDUCATIONAL ACTIVITIES Jamie Moesch
Mitry explains how such a space-based Internet
Robert S. Fish, President, Standards Association; James M. +1 732 562 5514, j.moesch@ieee.org will replace aging infrastructure and allow new
Conrad, President, IEEE-USA GENERAL COUNSEL & CHIEF COMPLIANCE OFFICER generations of Earth-imaging and communications
DIVISION DIRECTORS Sophia A. Muirhead +1 212 705 8950, s.muirhead@ieee.org satellites to reach their full potential.
Alfred E. “Al” Dunlop (I); David B. Durocher (II); Sergio CHIEF FINANCIAL OFFICER Thomas R. Siegert
Benedetto (III); John P. Verboncoeur (IV); Thomas M. Conte (V); +1 732 562 6843, t.siegert@ieee.org
Manuel Castro (VI); Miriam P. Sanders (VII); Elizabeth L. “Liz” TECHNICAL ACTIVITIES Mary Ward-Callan
Burd (VIII); Rabab Kreidieh Ward (IX); Ljiljana Trajkovic (X)
REGION DIRECTORS
+1 732 562 3850, m.ward-callan@ieee.org
MANAGING DIRECTOR, IEEE-USA Chris Brantley
Iuliana Radu
Eduardo F. Palacio (1); Wolfram Bettermann (2); +1 202 530 8349, c.brantley@ieee.org Radu is program director at Imec, in Leuven,
Jill I. Gostin (3); David Alan Koehler (4); James R. Belgium, where she leads the Beyond CMOS
IEEE  PUBLICATION SERVICES & PRODUCTS BOARD
Look (5);  Keith A. Moore (6); Jason Jianjun Gu (7);
Tapan K. Sarkar, Chair; Sergio Benedetto, Edhem Custovic,
program and quantum-computing activities. The
Magdalena Salazar-Palma (8); Alberto Sanchez (9);
Stefano Galli, Lorena Garcia, Ron B. Goldfarb, 2D semiconductors she writes about in “Atom-Thick
Akinori Nishihara (10) Transistors” [p. 44] are hardly the strangest things
Lawrence O. Hall, W. Clem Karl, Hulya Kirkici, Paolo Montuschi,
DIRECTOR EMERITUS Theodore W. Hissey Sorel Reisman, Gaurav Sharma, Maria Elena Valcher, she’s worked with. In grad school, she searched
IEEE STAFF
John P. Verboncouer, John Vig, Bin Zhao for mysterious, and as yet unseen, quasiparticles
EXECUTIVE DIRECTOR & COO Stephen Welby IEEE OPERATIONS CENTER that appear only in two-dimensional systems.
+1 732 562 5400, s.p.welby@ieee.org 445 Hoes Lane, Box 1331 Compared to that, Radu says, “the physics of
CHIEF INFORMATION OFFICER Cherif Amirat Piscataway, NJ 08854-1331 U.S.A. transistors with 2D materials is a walk in the park.”
+1 732 562 6017, c.amirat@ieee.org Tel: +1 732 981 0060 Fax: +1 732 981 1721

SPECTRUM.IEEE.ORG | FEB 2020 |  03
SPECTRAL LINES 02.20

JOHN DEERE’S
millions of pictures of kernels. Kernels harvested in
central Illinois might have one color. But kernels of
the same hybrid from a different farm 5 miles away

QUEST TO SOLVE might look slightly different. Now imagine solving


that challenge for dozens of grain varieties—some of

AGRICULTURE’S
which, like canola, are nearly microscopic.
Rostapshov points out that the scale of agricultural
data is also daunting. John Deere is one of the larg-

DEEP-LEARNING est users of cloud computing in the world. It gath-


ers 5 million to 15 million measurements per second

PROBLEMS
from 130,000 globally connected machines. The
company has over 150 million acres in its databases,
and it processes more data than Twitter.
Much of this information is so-called dirty data that
Farming poses unique doesn’t share the same format or structure because
and difficult AI challenges, it’s coming from some 100 other companies that
according to the green- have access to the John Deere platform, in addition
machine maker to the wide variety of John Deere machines. Those
companies add data about weather conditions, aerial

W
imagery, soil analyses, and so on. As a result, Deere
hat’s the world’s hardest machine-learning challenge? has had to make tremendous investments in back-
Autonomous vehicles? Robots that can fall over and get end data cleanup.
back up? Cancer detection? ¶ Julian Sanchez believes it’s Company-wide, Deere employs thousands of soft-
agriculture. ¶ He may be a little biased. Sanchez is the ware engineers, with many using machine-learning
director of precision agriculture for John Deere, and he’s tools in their work, and about the same number of
in charge of adding intelligence to traditional farm vehi- mechanical and electrical engineers. Ten years ago,
cles. I met with Sanchez and Alexey Rostapshov, head Deere’s hiring was heavily weighted toward mechani-
of digital innovation at John Deere Labs, in San Francisco, where John cal engineers, but now the large majority of Deere
Deere launched the spin-off in 2017 to take advantage of Silicon Valley’s engineers are working in the software space. As San-
tech expertise. ¶ Sanchez believes agriculture is the biggest challenge for chez says, mechanical engineers are still critical—
artificial intelligence because it’s not just about driving tractors around, Deere builds green machines, after all—but if you look
although autonomous driving is certainly part of the mix. According to at Deere’s tech-talent footprint, it’s pretty safe to call
Sanchez, the more complex problems revolve around issues such as crop John Deere a software company. —Tekla S. Perry
classification. John Deere would like to create an AI system that allows
An extended version of this article appears in our View
farmers to know, for example, whether a grain being harvested is high
From the Valley blog.
quality or low quality. The many differences between grain types, and
between grains grown under different conditions, make this a tough POST YOUR COMMENTS AT spectrum.ieee.org/spectrallines-feb 2020

task for machine learning. ¶ Sanchez uses corn as an example. To build CORRECTION: In “Transgenic Salmon Hits U.S. Shelves” (January 2020), we misstated
the timing of AquaBounty Technologies’ first harvest of its U.S.-raised transgenic salmon.
a deep-learning algorithm to analyze corn kernel quality, you’d feed it The fish will debut during the final months of this year.

STOP THAT TRACTOR!


RIGHT: SCHMUECKER RENEWABLE ENERGY SYSTEM

The cover of our November 2019 issue [left] featured an illustra-


tion of a rogue tractor rolling over tender crops in a field. Many
readers took the time to call our attention to this incongruity. It’s
the kind of mistake that can occur when well-intentioned people—
in this case, magazine editors and art directors—work well out-
side their area of expertise. Photos of the actual hydrogen- and
ammonia-powered tractor [right] described in the November cover
article are available on our website. Historically, many engineers
grew up on farms and first grew interested in p ­ roblem-solving
and innovation within that setting. That’s the spirit we hoped to
highlight in our November issue, and we regret that our e­ rroneous
cover illustration overshadowed a worthy project.

04  | FEB 2020 | SPECTRUM.IEEE.ORG
Antenna design optimization is crucial to
launching the Internet of Space.

Visualization of the electric field, power flow, and sharp far-field


radiation pattern of a parabolic reflector antenna.

The wired and wireless networks that currently connect


people around the world cannot reach everywhere on
Earth. To solve the problem, engineers are turning their eyes
toward space. The goal is to form a suborbital high-data-rate
communications network to revolutionize how data is shared
and collected. Before this Internet of Space can be built, design
engineers need to optimize their antenna designs.
The COMSOL Multiphysics® software is used for simulating
designs, devices, and processes in all fields of engineering,
manufacturing, and scientific research. See how you can apply
it to advanced antenna designs.
comsol.blog/IoS
NEPAL
News BHUTAN
This 765-kilovolt circuit could
boost power imports to Bangladesh,
including hydropower generated in
Bhutan and Nepal.
ELECTRIC THREE-WHEELERS
ferry people and goods around
Bangladesh but are banned in its capital.
INDIA
Batteries and motors could accelerate the
PROJECT STATUS bicycle rickshaws that gum up D ­ haka’s
AC Substation Completed
traffic and eliminate exhaust from
RANGPUR
Ongoing/planned tuk tuks, gas-powered three-­wheelers.
Under discussion But charging such EVs would further bur-
den already strained power lines.
That’s just one of many opportunity
costs that Bangladesh pays for a weak
INDIA
SY L H E T
MYMENSINGH
electrical grid. Frequent power outages
hurt businesses and deter foreign invest-
I NDI A
ment. A sweeping grid-­modernization
RAJSHAHI

HVDC back-to-back DHAKA


program promises to alleviate such
converter station
troubles.
Dhaka
In 2018, the government-run Power
Grid Company of Bangladesh (PGCB) dou-
This 400-kilovolt bled the capacity of its first ­international
line will reach more transmission link—a high-voltage DC con-
than 80 kilometers
into India, delivering nection delivering 1 gigawatt from India.
1.6 gigawatts of C H I TTAGO NG This month, it hopes to finalize require-
KHULNA
coal-fired power ments for generators that promise to sta-
from a plant built to BARISAL

supply Bangladesh. bilize the voltage and frequency of the


grid’s alternating current.
And next year, Bangladesh expects to
achieve universal electricity access for
Bangladesh
the country’s 160 million people, only
MYANM AR half of whom had electricity a decade
ago. “It’s a whole social transformation,”
says Tawfiq-e-Elahi Chowdhury, special
advisor on energy to Bangladesh prime
minister Sheikh Hasina.
However, it’s not clear what the grid

BANGLADESH SCRAMBLES
revamp will mean for Bangladesh’s
energy mix. Domestic natural gas is
running out, and the country is scram-
bling to replace it and maintain rapid

TO GROW POWER SUPPLY economic growth.


A nuclear power plant is now under
ISTOCKPHOTO

construction, and Bangladesh is import-


The country has promised universal electrification in 2021 ing liquefied natural gas. But the gov-

06  | FEB 2020 | SPECTRUM.IEEE.ORG
ernment sees coal-fired and imported
electricity as its cheapest options, and
both come with challenges and risks.
Coal delivered less than 2 percent of
Bangladesh’s electricity last year, but
plants burning imported coal could soon
match the scale of its gas-fired genera-
tion. Three coal plants under construc-
tion are each capable of serving about OPPORTUNITY COST: Bangladesh’s economy has grown by an impressive 6 percent per
­10 percent of the country’s current 13-GW year for the past decade despite a nagging electricity supply gap.
peak power demand. And Chowdhury
expects similar projects in development
to lift total coal capacity to about 10 GW Sahbun Nur Rahman, PGCB’s execu- off-grid solar systems, and millions still
by 2030. tive engineer for grid planning, says most do. But Mahmood Malik, CEO of the
The government expects to boost private generators don’t properly adjust country’s Infrastructure Development
imports fivefold, to 5 GW, by 2030. the power they produce to maintain the Company, says the expanding national
Importing more electricity will provide grid’s voltage and frequency. Stability has grid means there’s “not much need” to
access to relatively low-cost and renew- improved over the last two years, how- build more.
able hydropower. A deal struck with ever, as government plants have stepped Off-grid solar still contributes more
Nepal should provide 500 megawatts, up. He says the grid could be ready for than half of Bangladesh’s renewable
and more interconnections to India, as synchronization in as little as five years. electricity, which makes up less than
well as Bhutan, China, and Myanmar, are Coal power will push the country’s 3 percent of its power supply. Mean-
under discussion. annual per capita greenhouse gas emis- while on-grid solar is growing slowly, and
To convey these new power flows sions up to about 1 metric ton—still tiny, wind development has barely begun. As
around the country, PGCB is building Chowdhury says, since the average devel- a result, the government will miss its
a network of 400-kilovolt lines atop its oped economy generates 12 metric tons. commitment to source 10 percent of
existing 230-kV and 130-kV lines, with Still, betting on coal is controversial for the nation’s electricity from renewable
several 765-kV circuits on the drawing a low-lying country contending with cli- sources by 2021.
board [see map]. The firm is simultane- mate change. By some estimates, global Abdul Hasib Chowdhury, a grid expert
ously improving power quality—which coal use needs to drop by 80 percent at the Bangladesh University of Engi-
will allow Bangladesh to accommodate within a decade to hold global warming neering and Technology, in Dhaka, says
more imported power and operate the to 1.5 °C this century. And one of Bangla- the best long-term bet for Bangladesh
nuclear plant. desh’s first coal-plant projects is 14 kilo- is imported power from beyond South
Imports will be costlier if high-voltage meters upstream from the Sundarbans, Asia. He looks to the rich winds and sun-
DC converter stations must be erected at the world’s largest contiguous mangrove shine in sparsely populated Central Asia.
each border crossing. Instead, the gov- forest, which serves as a buffer against “South Asia is nearly 2 billion people
ernment has agreed to synchronize its cyclones and sea level rise. crammed into this small space,” says
AC grid with India’s, enabling power to What’s missing from the grid push, say A.H. Chowdhury. “They will require a
flow freely between the two. Synchroni- critics, is wind and solar. ­Bangladesh lot of energy in the next 50 years.”
zation will not be possible, however, until pioneered the use of solar power to elec- —Peter Fairley
PETER FAIRLEY

PGCB eliminates its grid’s large voltage trify rural communities. At the peak, at
POST YOUR COMMENTS AT
and frequency deviations. least 20 million Bangladeshis relied on spectrum.ieee.org/bangladesh-feb2020

NEWS

SPECTRUM.IEEE.ORG | FEB 2020 |  07
JOURNAL WATCH

Smart Headphones Warn


of Nearby Cars
HOW CAN PEDESTRIANS safely tune out
the world? Perhaps with a pair of intelligent
headphones that alert them to oncoming vehicles.
The number of pedestrians killed on U.S.
roads reached a three-decade high in 2018.
Smart headphones are unlikely to prevent most
pedestrian deaths—but a few seconds’ warning
might spare some lives.
Xiaofan Jiang, an assistant professor of
electrical engineering at Columbia University,

INDIA GRAPPLES WITH


developed the Pedestrian Audio Wearable System
(PAWS) with collaborators at the University of
North Carolina at Chapel Hill and Barnard College.
They published their work in the October 2019
IEEE Internet of Things Journal.
Many cars with collision warning systems rely on
VAST SOLAR PARK
cameras, radar, or lidar to detect objects. But Jiang The pros and cons of the
and his colleagues decided to use inexpensive 2-gigawatt Pavagada installation
microphones to serve as low-power sensors for
their system.
The group placed four microphones in different I T ’ S 10 A . M . A N D whereby governments provide
spots in a pair of commercial headphones. The Indian peanut farmer multiple companies land and
system’s main hardware fits inside the left ear Venkeapream is relax- transmission—two big hurdles
housing and draws power from a rechargeable ing at his family compound in that slow solar development.
lithium-ion battery. A custom integrated circuit Pavagada, an arid area north Solar parks account for much
saves on power by extracting only the most of Bangalore. The 67-year-old of the 25.5 GW of solar capacity
relevant sound features from captured audio. retired three years ago upon India has added in the last five
It transmits that information to an app, which leasing his land to the ­Karnataka years. The states of Rajasthan
hosts machine-learning algorithms trained state government. That land and Gujarat have, respectively,
on audio from 60 types of vehicles in different is now part of a 53-square-­ 2.25-GW and 5.29-GW solar
environments. kilometer area festooned with parks under way, and Egypt’s
The latest prototype can pinpoint the location millions of solar panels. As his 1.8-GW installation is one of sev-
of a single vehicle from up to 60 meters away— fields yield carbon-free electric- eral new international projects.
but it can’t plot the vehicle’s or pedestrian’s ity, Venkeapream pursues his Alas, even as they speed the
trajectories. The system also has trouble passion full time: playing the growth of renewable energy,
estimating how many vehicles are present and electric harmonium, a portable solar parks also concentrate
MANJUNATH KIRAN/AFP/GETTY IMAGES

tends to focus on the loudest vehicle, which may reed organ. some of solar energy’s liabilities.
not be the one closest to the pedestrian. With a capacity of 2 giga- Sheshagiri Rao, an agricul-
“We have to take into account other information watts and counting, Pavagada’s tural researcher and farmer
to make this collision detection more useful,” Jiang arrays represent the world’s based near Pavagada, says lease
explains. The project has received US $1.3 million largest cluster of photovoltaics. payments give peanut farmers
from the National Science Foundation. It’s also one of the most success- such as Venkeapream a steadier
—JEREMY HSU ful examples of a solar “park,” income. But Rao says shep-

An extended version of this article appears on our


website in the Journal Watch section. NEWS

08  | FEB 2020 | SPECTRUM.IEEE.ORG
4 WAYS TO
BIG SOLAR: Construction on Pavagada able energy arm was acquiring land
began in October 2016. The land was for three 2.5-GW solar megaparks.
leased for 28 years from 2,300 farmers.
The state’s move may reflect pres-
herds who held traditional rights
to graze their fields were fenced out
sure from the national government
to accelerate solar installations, as HANDLE MORE
QUBITS
without compensation, and many well as confidence that Pavagada’s
have sold out. In Venkeapream’s vil- shortcomings can be fixed.
lage, flocks once totaled 2,000 to Instead of harming shepherds,
3,000 sheep. There are now only for example, solar operators could Cryochips, microrelays,
about 600 left. open their gates. Grass and weeds and other tech could help
The constant need to keep dust growing amidst the panels pose quantum computers scale
off the panels, meanwhile, has a serious fire risk, according to
put more strain on already over- Acme’s Prabhakar. Increasingly,
tapped groundwater supplies. Local operators in other countries rely
farmers bring water to clean the on sheep to keep vegetation down. AS RESEARCHERS STRIVE TO
more than 400,000 panels at the Higher-tech solutions may ulti- boost the capacity of quantum
­Pava­gada site of Indian energy devel- mately address Pavagada’s water computers, they’ve run into a problem
oper Acme Cleantech Solutions. “At consumption and cloud-induced that many people have after a big holi-
least 2 liters of water is required to power swings. Israeli robotics firm day: There’s just not enough room in
clean one panel. This is huge,” says Ecoppia is already providing what the fridge.
B. Prabhakar, Acme’s site manager. it calls “water free” cleaning at the Today’s quantum-computer processors
Robotic dusters allow Acme to clean Pavagada site operated by Fortum, must operate inside cryogenic enclosures
just twice a month, but most opera- a Finnish energy company. at near absolute zero, but the electron-
tors lack such equipment. Karnataka’s solution for power ics needed for readout and control don’t
Then there are the power surges swings at its new megaparks, mean- work at such temperatures. So those cir-
and drops created as clouds pass while, is to plug the parks straight cuits must reside outside the refrigera-
over Pavagada—generation swings into the national grid’s biggest tor. For today’s sub-100-qubit systems,
that must be countered with coal- power lines. The trio of plants are there’s still enough space for specialized
fired and hydropower plants. Bal- a joint project with the national- cabling to make the connection. But for
ancing renewable energy swings is government-owned Solar Energy future million-qubit systems, there just
a growing challenge for grid opera- Corporation of India, and designed won’t be enough room. Such systems
tors in Karnataka, which leads India to export renewable electricity to will need ultralow-power control chips
in solar capacity and also has more other states. Power stations out- that can operate inside the refrigera-
than 4 GW of variable wind power. side of Karnataka will balance the tor. Engineers unveiled some potential
Karnataka capped new solar solar parks’ generation, according solutions in December during the IEEE
parks at 0.2 GW after launching to Ghosh’s colleague, CSTEP senior Inter­national Electron Devices Meeting
Pava­gada. Analysts heralded the research engineer and power-grid (IEDM), in San Francisco. They ranged
state’s apparent shift toward distrib- specialist Milind R. from the familiar to the truly exotic.
uted installations, such as rooftop India’s government is eager to
solar systems, during a November help, having promised to boost CryoCMOS
2019 meeting on sustainable energy renewable capacity to 175 GW by Perhaps the most straightforward way
in neighboring state Tamil Nadu. As March 2022 and to 450 GW by 2030. to make cryogenic controls for quantum
Saptak Ghosh, who leads renewable As Thomas Spencer, research fellow computers is to modify CMOS technol-
energy programs at the Bangalore- at the Energy and Resources Insti- ogy. Unsurprisingly, that’s Intel’s solution.
based Center for Study of Science, tute, a New Delhi–based nonprofit, The company unveiled a cryogenic CMOS
Technology & Policy (CSTEP), put noted at the November meeting in chip called Horse Ridge that translates
it: “Pavagada will be the end of big Tamil Nadu, India is “well off the quantum-computer instructions into
solar parks in Karnataka. Smaller track” for meeting either target. basic qubit operations, which it delivers
is the future.” —Peter Fa ir ley to the processor as microwave signals.
Just a few days later, though, Horse Ridge is designed to work at
POST YOUR COMMENTS AT
news broke that Karnataka’s renew- spectrum.ieee.org/india-feb2020 ­4  kelvins, a slightly higher tempera-
ture than the qubit chip itself, but low
enough to sit inside the refrigerator with
it. The company used its 22-nanometer
FinFET manufacturing process to build

SPECTRUM.IEEE.ORG | FEB 2020 |  09
the chip, but the transistors that make suffer some mechanical peculiarities. In RSFQ and its quantum version,
up the control circuitry needed substan- First, ambient oxygen can react with SFQuClass logic, quantized pulses of
tial reengineering. the relay’s electrode surfaces. Over time, voltage are blocked, passed, or routed
“If you take a transistor and cool it to this reaction can form a high-resistance by Josephson junctions, the same type
4 K, it’s not a foregone conclusion that layer, limiting the device’s ability to con- of superconducting devices that make
it will work,” says Jim Clarke, director of duct current. But at cryogenic tempera- up most of today’s quantum computer
quantum hardware at Intel. “There are tures, oxygen freezes out of the air, so chips. In 2014 physicists at University of
a lot of fundamental characteristics of that problem doesn’t exist. Wisconsin–Madison first suggested that
devices that are temperature dependent.” Second, the contacts in microscale these pulses could be used to program
Others are working along the same relays tend to stick together. This shows qubits, and Seeqc’s scientists have been
lines. Google presented a cryogenic up as a hysteresis effect: The relay opens at collaborating with them and Syracuse
CMOS control circuit earlier in 2019. a slightly different voltage than the one at University scientists since 2016.
In research that was not yet peer- which it closes. But because the adhesive Seeqc is now designing an entire system
reviewed at press time, Microsoft and forces are weaker at cryogenic tempera- using the technology: a ­digital-control,
its collaborators say they have built a tures, the hysteresis is less than 5 percent error-correction, and readout chip
­100,000-transistor CMOS control chip of what it is at room temperature. designed to work at 3 to 4 K and a separate
that operates at 100 millikelvins. “We didn’t suspect ahead of time that chip designed to work at 20 millikelvins
these devices would operate so well at to interface with the quantum processor.
Microrelays cryogenic temperatures,” says Liu, who
In logic circuits, transistors act as led the research presented at IEDM by Weyl semimetals
switches, but they aren’t the only her graduate student Xiaoer Hu. ­“ In Quantum computing is already strange,
devices that do so. Engineers in Tsu-Jae ­retrospect, we should have.” but it might take some even stranger
King Liu’s laboratory at the University tech to make it work. Scientists at Lund
of California, Berkeley, have developed Single-flux quantum logic University, in Sweden, and at IBM
­m icrometer-scale electromechanical Hypres, in Elmsford, N.Y., has been com- Research–Zurich have designed a new
relays as ultralow-power alternatives to mercializing cryogenic ICs for several device called a Weyl semimetal ampli-
transistors. They were surprised to dis- years. Seeking to steer its rapid single-flux fier that they say could bring readout
cover that their devices operate better at quantum (RSFQ) logic tech into the realm electronics closer to the qubits. Don’t
4 K than at room temperature. of quantum computing, the company worry if you don’t know what a Weyl
At room temperature, the devices recently spun out a startup called Seeqc. semimetal is. There are things about
these materials that even the scientists
trying to make devices from them don’t
fully understand.
What they do know is that these materi-
als, such as tungsten diphosphide, exhibit
extremely strong, t­ emperature-dependent
magnetoresistance when chilled to below
about 50 K. The device they simulated has
a gate electrode that produces a magnetic
field inside the Weyl semimetal, causing
its resistance to go from tiny to huge in a
matter of picoseconds. Connecting the
input from a qubit to the device could
make a high-gain amplifier that dissipates
a mere ­40 microwatts. That could be low
enough for the amplifier to live in the part
of the fridge close to where the qubits
themselves reside.
—Sa muel K. Moor e

DEEP FREEZE: Intel Labs principal engineer Stefano Pellerano holds


INTEL

POST YOUR COMMENTS AT


Horse Ridge, a new cryogenic control chip for quantum computers. spectrum.ieee.org/qubits-feb2020

NEWS

10  | FEB 2020 | SPECTRUM.IEEE.ORG
QUANTUM-DOT
a nanoparticle with a copper core and
a shell of aluminum and zinc sulfide,
which is safe and stable in the skin and

TATTOOS STORE resists bleaching from the sun, says


Jaklenec.

VACCINE HISTORY
The dots were then loaded into a
microneedle patch, a square with
an array of tiny needles that deliver
Invisible to the eye, the dots glow nanoparticles into the skin in a desired
under infrared light pattern and then dissolve.
The scientists applied the patch to the
skin of rats and were able to detect the
dots using a smartphone modified with
I R E M E M B E R A FA D E D a filter to sense near-infrared light. The
yellow booklet about the quantum-dot signal remained strong and
size of a wallet that my mother used detectable in the rats for nine months after
to pull out at the doctor’s office to application (when the experiment ended),
record my vaccines. Today, nurses and the rats showed no side effects.
document my children’s vaccina- The team also applied the patches to
tions in electronic health records pieces of pig and human cadaver skin of
that will likely follow them to various tones. The light from the dots was
adulthood. stable and detectable even after a stint
To eradicate diseases such as in a solar simulator, which imitated five
polio or measles, health care work- years of sun exposure.
ers need to know who was vacci- Finally, the researchers codelivered
nated and when. Yet in developing a polio vaccine with the quantum dots
countries, vaccination records in rats, and found that the dye did not
are sparse and, in some cases, interfere with the vaccine’s function—
nonexistent. the rats still produced protective immune
Now, a team of MIT bioengineers responses.
has developed a way to keep invis- The team is now working on a way to
ible vaccine records under the skin. encode data, such as the date of appli-
Delivered through a microneedle cation, into the quantum-dot array, says
patch, biocompatible quantum Jaklenec. The group is also planning a
dots embed in the skin and fluo- study, expected to begin early this year,
resce under near-infrared light— to survey people in Bangladesh, Kenya,
creating a glowing trace that can and Malawi about how and where this
be detected at least five years after SKIN GLOW: With a microneedle array method could be most useful and if par-
vaccination. The work was recently [top], researchers placed quantum dots ents will be on board.
under the skin of rats. A smartphone filter
described in Science Translational detects light from the dots [bottom]. But before quantum dots can ever
Medicine and is funded by the Bill & be used in an actual clinic, a toxicol-
Melinda Gates Foundation. ogy study in rodents and human trials
K.J. MCHUGH/SCIENCE TRANSLATIONAL MEDICINE (2)

“We started thinking about using cal and physical properties. Notably, must demonstrate the long-term safety
a dye that’s not visible by the naked they absorb light of one wavelength of the technology. “If funding is there,
eye” but that would be persistent and efficiently convert it to light of that could happen in the next one to two
and inexpensive to detect, says another wavelength. Quantum dots years,” says Jaklenec.
Ana Jaklenec, a research scientist are being explored for medical use While Jaklenec and the team are devel-
at MIT’s Koch Institute for Integra- as biological sensors and probes, oping the quantum-dot technology for
tive Cancer Research. Together with and commercially in solar panels, medical use, she admits there is probably
MIT’s Robert Langer, she came up displays, and televisions. a commercial market too: When people
with a solution: quantum dots. To create a safe, lasting dye to hear about the technology, they often ask
Quantum dots are small, semi- inject under the skin, the scien- about getting an invisible tattoo.
conducting nanoparticles, rang- tists rejected traditional lead or —Mega n Scudell a r i
ing in diameter from 2 to 10 cadmium quantum dots, which
POST YOUR COMMENTS AT
­nanometers, with unique chemi- can be toxic, and instead created spectrum.ieee.org/quantumdots-feb2020

SPECTRUM.IEEE.ORG | FEB 2020 |  11
12 | FEB 2020 | SPECTRUM.IEEE.ORG PHOTOGRAPH BY Andrey Rudakov/Bloomberg/Getty Images
LOCATION,
LOCATION
BITCOIN MINING
is energy-intensive
work. Pictured is part
of the BitRiver facility
in Bratsk, Russia—one
of the world’s largest
data centers dedicated
to doing the complex
calculations that keep
the blockchain humming
along. There were two
solid reasons for BitRiver
to open up shop there.
Keeping this huge
facility running requires
nearly 100 megawatts
of electric power. But
because the site is a
stone’s throw from the
Bratskaya hydropower
plant on the Angara
River, it takes advantage
of some of the cheapest
electricity rates in the
world. The data center
depends on dozens of
industrial fans that blow
on the racks to keep the
20,000 mining devices
cool. But Siberia’s bitter
cold climate means
that BitRiver can get
away with just those
fans instead of a fancy
and expensive cooling
system, further slashing
its energy budget.

THE BIG
THE BIG PICTURE
PICTURE news
NEWS

SPECTRUM.IEEE.ORG | FEB 2020 | 13
Hands On

HANDS ON
HANDS ON DAVID
BY STEPHEN CASS
SCHNEIDER

14  | FEB 2020 | SPECTRUM.IEEE.ORG ILLUSTRATIONS BY James Provost


A DIY AUDIO
INDUCTION LOOP
HERE’S A SIMPLE
WAY TO TRANSMIT
AUDIO TO PEOPLE
WHO WEAR
T-COIL-EQUIPPED
HEARING AIDS
I REGULARLY ATTEND AN
unprogrammed Quaker meeting,
where a lot of the folks are older
and have hearing impairments. This style of
worship poses a special challenge for these
people because, unlike the convention at
many other religious gatherings, there is no
one person who addresses the congregation.
At an unprogrammed Quaker meeting, any- IN THE LOOP: A multiturn loop of wire [blue line] energizes the T-coils in suitably equipped hearing aids
by virtue of the magnetic field it creates in its vicinity [blue circles]. Voices are picked up by microphones
one may speak from anywhere in the room. whose signals are sent to an ordinary stereo amplifier, to which this 8-ohm wire loop is attached.
Some years ago, the congregation in-
stalled microphones in the room, which pick
up voices and amplify them. These signals
are then transmitted by FM radio over special
frequencies near the radio broadcast band. pick up audio-frequency signals electron- and-white sign that looks like an ear with a
The thing is, a person who needs help hear- ically instead of using their built-in micro- “T” next to it.
ing others must use a small FM receiver and phones. This system for passing the signal Many of the hearing-aid wearers who at-
earphones, which is a little awkward, in part wirelessly doesn’t involve radio transmis- tend our Quaker meeting live in a nearby re-
because it makes you stand out. sions—it just uses magnetic induction. tirement community where induction loops
One way to mitigate this problem is to Suitable audio induction loops for energiz- have been installed to help hearing-impaired
take advantage of the fact that some of ing T-coils are found in all sorts of places, in- residents enjoy lectures and films. These
these people wear hearing aids equipped cluding museums and theaters; even some people have had good experiences in such
with a telecoil (or T-coil). These hearing aids taxicabs are equipped with them. You may settings, and so they have been hoping that
can be switched to a mode whereby they see their presence advertised with a blue- an audio induction loop would help them to

DEPARTMENTS

SPECTRUM.IEEE.ORG | FEB 2020 |  15
HANDS ON BY DAVID SCHNEIDER

AMP IT UP: This project augments an existing


microphone multiplexer and FM transmitter [smaller
units] with a stereo receiver attached to the wire loop.

hear what’s being said at our Quaker meet- ceiver, one that was collecting dust in the ing showed the signal-pickup range to be
ing, too. back of my garage. about 5 meters.
I worried, though, that their disappoint- Using that arrangement, I was able to con- Encouraged by those initial tests, anoth-
ment with our current system reflected the vey audio to the T-coil in his hearing aid with er friend and I recently placed a similar wire
difficulty of picking up voices cleanly in a his head about a meter and a half away (on loop in the attic of the building—which was a
reverberant room using microphones po- axis) from my coil. This test was tougher than lot harder than laying it on the floor because
sitioned at the periphery. And commercial in- needed to assess requirements, because the we had to snake the wire around an obstacle
stallers of this equipment charge thousands magnetic field at my friend’s head was m ­ ostly course of roof trusses and HVAC ducting. We
of dollars—a lot for something that’s proba- horizontal, and my understanding is that ended up with a loop that’s got a rather com-
bly not going to solve our particular problem. ­T-coils are positioned to pick up vertical fields. plicated geometry and doesn’t lay flat on the
But for very little money, I figured, we could Based on that test (and the Biot-Savart joists in many places.
install a DIY audio induction loop and at least Law), I figured out that I should be able to Nevertheless, this cobbled-together in-
let people try it out. create a magnetic field of the same strength duction loop appears to convey signals just
A little searching online uncovered about 3 meters away from a long wire, which fine to hearing-aid wearers below. At least
some brief commentary posted by some- is exactly what you would need if said long that’s what my retired electrical engineer
one who installs such systems at music wire were part of a loop installed in the at- friend reported when I set the stereo receiv-
festivals. What he described was quite tic of the building where the meeting is held. er attached to the loop to output a program
simple—­creating a multiturn loop using a Next I used a wire-resistance table to from our local public-radio station. The only
multiconductor wire (one for which the total determine that 240 meters of 20-gauge dead spots he found as he walked around
resistance is between 4 and 8 ohms), and at- wire should be around 8 ohms. That would the room were in the far corners.
taching it to a 200-watt audio amplifier, just be long enough for me to create a six- That said, I don’t expect the addition of this
as you would an 8-ohm speaker. turn loop in the attic. So I bought a roll of informally constructed induction loop—or
Before diving into this, I enlisted an old- 1,000 feet (304 meters) of two-conductor even a professionally installed one—could
er friend (a retired electrical engineer) who 20-gauge wire for about US $125 on Ama- ever make up for the weak link in our system:
wears a T-coil hearing aid to perform an ex- zon. Using two conductors would allow me the mounting of the microphones on the walls
periment. I constructed an induction coil to create two parallel loops, powering each of the room, far from the people speaking. Un-
from a six-turn square loop of magnet wire through one channel of my stereo receiver. fortunately, there’s not much of an alternative,
that was about a half meter on a side (I taped Because each channel of the receiver can short of giving everyone TED-talk-style micro-
the wire to a flattened cardboard box), using output as much as 80 watts, I figured I’d be phones to wear, which wouldn’t really mesh
wire of the right diameter to make the loop close to the 200-watt figure I saw quoted with the Quaker penchant for simplicity. n
resistance 8 ohms. I then attached it to the online. Preliminary tests with six turns of
POST YOUR COMMENTS AT
speaker terminals of an ordinary stereo re- this wire laid out on the floor of the build- spectrum.ieee.org/tcoil-feb2020

16  | FEB 2020 | SPECTRUM.IEEE.ORG
CrossTalk

ELECTRICITY PRICES:
this form of power has become ubiquitous
in the modern world. When expressed in
constant 2019 dollars, the average price

A CHANGING BARGAIN of electricity in the United States fell from


$4.79 per kilowatt-hour in 1902 (the first
year for which the national mean is avail-
able) to 32 cents in 1950. The price had
TH E G E N E R ATI O N S - O LD TR E N D turn of the century, and they continue dropped to 10 cents by 2000, and in late
toward lower electricity prices now to rise, even after adjusting for inflation. 2019 it was just marginally higher, at about
appears to have ended. In many afflu- Even so, the price we pay for electricity 11 cents per kilowatt-hour. This represents
TONY CORDOZA/GETTY IMAGES

ent countries, prices tilted upward at the is an extraordinary bargain, and that’s why a relative decline of more than 97 percent.

NUMBERS DON’T LIE BY VACLAV SMIL CROSSTALK

SPECTRUM.IEEE.ORG | FEB 2020 |  17
A dollar now buys nearly 44 times as much will bring a new era of falling electricity over an 18-year period (2000 to 2018)
electricity as it did in 1902. prices. Just the opposite has been true. electricity prices more than doubled, to
Because average inflation-adjusted Before the year 2000, when Germany €0.31/ kWh. The E.U.’s largest economy
manufacturing wages have quadrupled embarked on its large-scale and expen- thus has the continent’s highest elec-
since 1902, blue-collar households now sive Energiewende (energy transition), tricity prices, followed by heavily wind-
find electricity about 175 times as afford- that country’s residential electricity dependent Denmark, at €0.3/kWh.
able as it was nearly 120 years ago. And prices were low and declining, bottoming A similar contrast can be seen in the
it gets better: We buy electricity in order at less than €0.14/kWh ($.13/kWh, using United States. In California, where the
to convert it into light, kinetic energy, or the prevailing exchange rate) in 2000. new renewables have taken an increas-
heat, and the improved efficiency of such By 2015, Germany’s combined solar ing share, electricity prices have been
conversions have made the end uses of and wind capacity of nearly 84 gigawatts rising five times as fast as the national
electricity an even greater bargain. had surpassed the total installed in fossil- mean and are now nearly 60 percent
Lighting offers the most impressive fueled plants, and by March 2019 more higher than the countrywide average. n
gain: In 1902, a lightbulb with a tanta- than 20 percent of all electricity came
POST YOUR COMMENTS AT
lum filament produced 7 lumens per from the new 10,000
renewables. However, spectrum.ieee.org/electricityprices-feb2020

watt; in 2019 a dimmable LED light deliv-


ered 89 lm/W (see “Luminous Efficacy,”
IEEE Spectrum, April 2019). That means a
lumen of electric light is now three orders
of magnitude (approximately 2,220
times) more affordable for a working- 2,300
class household than it was in the early
20th century. Lower but still impressive
reductions in end-use costs apply in the 1,000
case of electric motors that run kitchen ELECTRICITY
appliances and force hot air into the ducts
to heat houses using natural-gas furnaces.
PRICE (2019 U.S.
479 cents per kilowatt-hour)
An international perspective shows
some surprising differences. The United
States has cheaper residential electric-
ity than other affluent nations, with the
exception of Canada and Norway, which
derive high shares of their power from 100
hydroelectric generation (60 percent 89
and 95 percent, respectively).
When using prevailing exchange rates,
the U.S. residential price is about 45 per- LUMINOUS
cent of the European Union’s mean, EFFICACY 28
about half the Japanese average, and (lumens per watt)

about a third of the German rate. Electric-


ity prices in India, Mexico, Turkey, and
South Africa are lower than in the United 10 10.5
States in terms of the official exchange
rates, but they are considerably higher 6.88
7
MANUFACTURING
in terms of purchasing power parity— WAGE
(2019 dollars per hour)
more than twice the U.S. level in India
and nearly three times as much in Turkey.
A naive observer, reading the reports AFFORDABILITY
of falling prices for photovoltaic cells and
wind turbines, might conclude that the
INDEX
rising shares of solar and wind power 11
1900 2020

NUMBERS DON’T LIE BY VACLAV SMIL CROSSTALK

18  | FEB 2020 | SPECTRUM.IEEE.ORG
INTERNET OF EVERYTHING BY STACEY HIGGINBOTHAM CROSSTALK

the user, so that when consumers power


their devices on, they automatically try
to join their network.
However, device manufacturers still
have to implement both Amazon’s and
Google’s programs separately, and that
requires know-how that some compa-
nies don’t possess. Thankfully, Amazon,
Apple, and Google are now working on a
smart-home standard that may simplify
things. But the details are scant, and any
solution they develop won’t be available
until 2021 at the earliest.
When you’re faced with multiple
Wi-Fi ecosystems, cellular is just easier,
­Hussain says. Cellular networks cost
more now because you have to install
radios on the devices and pay a subscrip-
tion to use the cellular network. Hussain
sees those costs coming down, poten-
tially even disappearing, given time.
That’s because he’s anticipating a

WI-FI’S LONG GOODBYE future where universities, businesses,


and municipalities set up their own
­c ellular net works using spectrum
obt a i ned t h roug h new spec t r u m
­auctions, such as the Citizens Broadband
IN TEN YEARS, we won’t half of the IoT devices in Particle’s cloud Radio ­Services (CBRS) auctions occur-
need Wi-Fi. that use cellular connections are also ring in the United States in June. Cellular
At least, that’s what Azhar within range of a Wi-Fi network. Supalla ­equipment makers are already building
Hussain, the CEO of IoT says that companies choose cellular gear and testing these private networks
company Hanhaa, told me on a phone modules over Wi-Fi because the modules in factories and offices. If new roaming
call late last year. He thinks the end of are easier to set up and businesses can plans are developed to allow devices
Wi-Fi is nigh because he believes that better control the consumer experience. to come onto these local networks eas-
allocating spectrum in smaller chunks Wi-Fi devices are notoriously difficult ily, similar to joining a Wi-Fi hotspot,
will let municipalities, universities, and to connect to one another, or pair. To ­cellular connectivity will become prac-
companies create private 5G cellular get a connected product on their home tically free.
networks. The convenience of those net- Wi-Fi network, consumers must often Even if Hussain’s vision doesn’t come
works will impel companies to choose pair with a software-based access point to pass in the next 10 years, the costs
cellular connections over Wi-Fi for their before switching the device over to their of low-data-rate cellular contracts will
IoT devices. own network. continue to drop, and that could still
There’s reason to think Hussain is right, This process can be fraught with eventually put the nail in the coffin for
at least for higher-value devices, such as errors. Even I, a reporter who has tested Wi-Fi. And I mostly agree: I think there
medical devices, home appliances, and hundreds of connected devices, fail to are plenty of reasons to believe that
outdoor gear like pool-­cleaning robots. get a device on my network on the first Wi-Fi will never disappear entirely, but
Zach Supalla, the CEO of Particle, a com- try roughly a third of the time. To make I do think small cellular networks will
pany that supplies IoT components to it easier, Amazon and Google have both take its place in our lives. n
businesses with little experience build- created proprietary onboarding pro-
POST YOUR COMMENTS AT
ing connected products, says more than cesses that handle the setup on behalf of spectrum.ieee.org/goodbyewifi-feb2020

ILLUSTRATION BY Dan Page SPECTRUM.IEEE.ORG | FEB 2020 |  19


MACRO & MICRO BY MARK PESCE CROSSTALK

of what is real. To reproduce that sense,


an AR system must hitch a ride on the
mind’s innate workings. AR systems focus
on vision and hearing. Stimulating our
eyes and ears is easy enough to do with
a display panel or a speaker situated
meters away, where it occupies just a
corner of our awareness. The difficulty
increases exponentially as we place these
synthetic information sources closer to
our eyes and ears.
Although virtual reality can now trans-
port us to another world, it does so by
effectively amputating our bodies, leav-
ing us to explore these ersatz universes
as little more than a head on a stick. The
person doing so feels stranded, isolated,
alone, and all too frequently motion sick.

SENSE AND SENSORIBILITY


We can network participants together in
these simulations—the much-promised
“social VR” experience—but bringing even
a second person into a virtual world is
still beyond the capabilities of broadly
I’M WRITING A BOOK about essence of augmented reality—the manip- available gear.
augmented reality, which forced ulation of a person’s perception—cannot Augmented reality is even harder. It
me to confront a central question: When be achieved by brute computation alone. doesn’t ask us to sacrifice our bodies or
will this technology truly arrive? I’m not Connecting what’s inside our heads our connection to others. An AR system
talking about the smartphone-screen ver- to what is outside our bodies requires a must measure and maintain a model
sions offered up by the likes of Pokémon holistic approach, one that knits into a of the real world sufficient to enable
Go and Minecraft Earth, but in that long- seamless cloth the warp of the compu- a smooth fusion of the real with the
promised form that will require nothing tational and the weft of the sensory. VR synthetic. Today’s technology can just
more cumbersome than what feels like and AR have always lived at this intersec- barely do this, and not at a scale of bil-
a pair of sunglasses. tion, limited by electronic sensors and lions of units.
Virtual reality is easier. It can now be their imperfections—all the way back to Like autonomous vehicles (another
delivered, in reasonable quality, for a few the mechanical arm that dangled from blend of sensors and computation that
hundred dollars. The nearest equivalent the ceiling and connected to the headgear looks easier on paper than it proves in
for AR, Microsoft’s second-generation in Sutherland’s first AR system, inspir- practice), augmented reality continues
HoloLens, costs an order of magnitude ing its name. to surprise us with its difficulties and
more while visually delivering a lot less. Today’s AR technology is much more dilemmas. That’s all to the good. We
Ivan Sutherland’s pioneering Sword of sophisticated than Sutherland’s con- need hard problems, ones that can’t be
Damocles AR system, built in 1968, is traption, of course. To sense the user’s solved with a straightforward technologi-
more than a half-century old, so you surroundings, modern systems employ cal fix but require deep thought, reflec-
might expect that we’d be further along. photon-measuring time-of-flight lidar or tion, insight, even a touch of wisdom.
Why aren’t we? process images from multiple cameras Getting to a solution means more than
Computation proved to be less of a in real time—computationally expen- building a circuit. It means deepening
barrier to AR than anyone believed back sive solutions even now. But much more our understanding of ourselves, which
in the 1960s, as general-purpose proces- is required. is always a good thing. n
sors evolved into application-specific ICs Human cognition integrates various
POST YOUR COMMENTS AT
and graphics processing units. But the forms of perception to provide our sense spectrum.ieee.org/macromicro-feb2020

20  | FEB 2020 | SPECTRUM.IEEE.ORG ILLUSTRATION BY Greg Mably


ILLUMINATE EDUCATE ENGAGE ENERGIZE
the possibilities of the next generation a wider audience innovation by
technology by using of innovators and in appreciating the celebrating
it to address global engineers value and importance technological
challenges of engineering and excellence
technology

The world’s most daunting challenges The IEEE Foundation is leading a special
require innovations in engineering, and campaign to raise awareness, create
IEEE is committed to finding the solutions. partnerships, and generate financial resources
needed to combat these global challenges.

Our goal is to raise $30 million by 2020.

DONATE NOW
ieeefoundation.org
SPECTRUM.IEEE.ORG | FEB 2020 | 22

PORTR A IT OF A BL ACK HOLE


HERE’S HOW THE EVENT HORIZON TELESCOPE TEAM PIECED TOGETHER
A NOW-FAMOUS IMAGE B y Ka t h er i n e L . B o u m a n
SEEING BY RADIO: Last year, scientists published images of a black hole called M87* [left]. The data that went into constructing those images
came from seven radio telescopes spread over the globe, including the James Clerk Maxwell Telescope, in Hawaii [top]. Another telescope, at
the South Pole [bottom], aided in the calibration of the telescope network and is used for observing other astronomical sources.
PEOPLE AND ­EQUIPMENT:
The scientific
collaboration that
resulted in the first
images of a black hole
involved hundreds of
people, giant radio
telescopes, and
supercomputer facilities
spread around the world.
In addition to the James
Clerk Maxwell Telescope
and the South Pole
Telescope [shown on the
previous page], six more
radio telescopes were
involved in the effort to
produce pictures of a
black hole.

L
AST APRIL, A RESEARCH TEAM THAT I’M PART OF UNVEILED A PICTURE Breakthrough Prize in Fundamental Physics was
that most astronomers never dreamed they would see: awarded to the entire collaboration.
one of a massive black hole at the center of a distant gal- Now we are adding more giant dish antennas to
axy. Many were shocked that we had pulled off this feat. sharpen our view of such objects. Thanks to an infu-
To accomplish it, our team had to build a virtual tele- sion of new funding from the U.S. National Science
scope the size of the globe and pioneer new techniques Foundation and others, we have set an ambitious goal:
in radio astronomy. to make a movie of the swirling gravitational monster
Our group—made up of more than 200 scientists that forms the black heart of our own Milky Way galaxy.
and engineers in 20 countries—combined eight of the
world’s most sensitive radio telescopes, a network of synchro- THE EXISTENCE OF BLACK HOLES was a dubious prediction of
PREVIOUS PAGES, CLOCKWISE FROM LEFT: EHT COLLABORATION; WILLIAM

nized atomic clocks, two custom-built supercomputers, and sev- the general theory of relativity, which Einstein devel-
MONTGOMERIE/JCMT; DANIEL MICHALIK/SOUTH POLE TELESCOPE

eral new algorithms in computational imaging. After more than oped a little over a century ago. Astronomers debated
10 years of work, this collective effort, known as the Event Hori- for decades later whether massive stars would cre-
zon Telescope (EHT) project, was finally able to illuminate one ate black holes when they collapse under their own
of the greatest mysteries of nature. weight at the end of their lives. Even more mysteri-
Within weeks of our announcement (and the publication of six ous were supermassive black holes, hypothesized to
journal articles), an estimated billion-plus people had seen the pic- lurk in the hearts of galaxies. Astronomers observed
ture of the light-fringed shadow cast by M87*, a black hole at the extraordinarily bright, compact objects and powerful
center of Messier 87, a galaxy in the Virgo constellation. It is likely galactic-scale jets beaming from the centers of many
among the most labor-intensive scientific images yet created. In galaxies—including Messier 87—as well as stars and gas
recognition of the global teamwork required to combine efforts clouds orbiting hidden central objects. Supermassive
in black-hole theory and modeling, electronic instrumentation black holes could account for such observations, and
and calibration, and image reconstruction and analysis, the 2020 no other explanation seemed very plausible.

24  | FEB 2020 | SPECTRUM.IEEE.ORG
YET MORE TELESCOPES:
These were the Atacama
Large Millimeter/
submillimeter Array
(ALMA), in Chile [top
left]; the Large Millimeter
Telescope (LMT), in
Mexico [top center];
the IRAM Pico Veleta
telescope, in Spain [top
right]; the Atacama
Pathfinder EXperiment
(APEX), in Chile [bottom
left]; the Submillimeter
Array (SMA), in Hawaii
[bottom center]; and the
Submillimeter Telescope
(SMT) [bottom right], in
Arizona.

By the turn of the 21st century, astronomers had black holes more than a billion light-years away—offered yet another
come to believe that black holes must be common. piece of evidence that black holes are real. It took an incredible
Most black holes are probably far too small and dis- feat of technology to “hear” the vibrations of that cosmic crash:
tant for us ever to observe. These “ordinary” black two laser interferometers, each 4 kilometers on a side and able to
holes form when a star 10 times as massive as the detect a change in the lengths of their arms less than 0.01 percent
sun or heavier collapses into something so dense the width of a proton. But hearing is not seeing.
that it bends the very fabric of space-time enough to Encouraged by the success of initial pilot studies in the late 1990s
form a spherical trap. Any matter, light, or radiation and early 2000s, an international team of astronomers proposed
crossing the edge of this trap, known as the event a bold plan to make an image of a supermassive black hole. They
CLOCKWISE FROM TOP LEFT: HELGE ROTTMAN; ANA TORRES CAMPOS; SALVADOR

horizon, disappears forever. believed that advances in electronics now made it possible to see
SANCHEZ; JUNHAN KIM/ESO; JONATHAN WEINTROUB; BABAK A. TAFRESHI

But the gargantuan black holes that we now think these bizarre objects for the first time and to open a new window
inhabit the centers of most galaxies—like the 4-million- on the study of general relativity. Teams from six continents came
solar-mass Sagittarius A* (Sgr A* for short) cloaked together to form the Event Horizon Telescope project.
behind a veil of dust in the Milky Way and the 6.5-billion- Creating such a picture would require another giant leap in astro-
solar-mass M87*—are different beasts altogether. Fed by nomical interferometry. We would have to virtually link observa-
matter and energy spiraling in from their host galaxies tories across the planet to function together as one giant virtual
over eons, these are the most massive objects known, telescope. It would have the resolving power of a dish almost as
and they create around them the most extreme con- wide as Earth itself. With such an instrument, it was hoped, we
ditions found anywhere in the universe. could finally see a black hole.
So says the math of general relativity. And the
Nobel Prize–winning detection in 2015 of gravita- WHILE M87* ITSELF IS BLACK, it is backlit by a blinding glow of radiation
tional waves—essentially, a chirp of rippling space- emanating from the material swirling around it. Friction and mag-
time created by the whirling merger of two ordinary netic forces heat that matter to hundreds of billions of degrees. The

SPECTRUM.IEEE.ORG | FEB 2020 |  25
RINGING SUCCESS: Four
teams of researchers, working
independently, produced
the first images of the M87
black hole. Two of the four
imaging teams used traditional
algorithms from radio
astronomy to produce images
of the black hole [top two]. The
other two teams used a more
modern class of algorithms
developed for the Event Horizon
Telescope data [bottom
two]. While the four images
produced by these teams differ
in many details, they each
show the same fundamental
structure—a luminous ring of
about 40 microarcseconds
in diameter, one that is
asymmetrical with the
brighter portion at the bottom.
The diameter of the black
hole shadow is particularly
important to astronomers in
confirming an earlier estimate
of the mass of the object, which
appears to be about 6.5 billion
times the mass of the sun.

result is an incandescent plasma that emits light and radio waves. observed to the instrument’s diameter. To resolve
The massive object bends those rays, and some of them head our way. M87* using 1.3-mm radio waves, we would need a
A shadow of the black hole, just bigger than the object and its radio dish 13,000 km across.
event horizon, is imprinted on that radiation pattern. Measuring Although that might seem like a showstopper, inter-
the size and shape of this eerie shadow could tell us a lot about ferometry offers a way around that problem—but only
M87*, settling arguments about its mass and whether it is a black if you can collect pieces of the same radio wave front
hole or something more exotic still. Unfortunately, the cores of gal- as it arrives at different times at all our telescopes in
axies are obscured by giant, diffuse clouds of gas and dust, which far-flung locations, stretching from Hawaii and the
leave us no hope of seeing M87* with visible light or at the very Americas to Europe. To do that, we have to digitally
long wavelengths typically used in radio astronomy. time-stamp our measurements and then combine
Those clouds become more transparent, however, at short radio them with enough precision to extract the relevant sig-
wavelengths of around 1 millimeter; we chose to observe at 1.3 mm. nals and convert them into an image. This technique
Such radio waves, at the extremely high frequency of 230 gigahertz, is called very-long-baseline interferometry (VLBI), and
also pass through air largely unimpeded, although atmospheric the members of the Event Horizon Telescope project
water vapor does attenuate and delay them somewhat in the last believed it could be used to image a black hole.

T
few miles of their 55-million-year journey from the periphery of
LEFT: EHT COLLABORATION; RIGHT: CHI-KWAN CHAN

M87* to our radio telescopes on Earth. HE DETAILS PROVED TRULY DEVILISH, HOWEVER. By the
The brightness and relative proximity of M87* worked in our time the radio signals from M87* bounce
favor, but on the other side were some formidable technical chal- into a receiver connected to one of our 6-
lenges, starting with that wobbly signal delay caused by water vapor. to 50-meter dish antennas on Earth, the
Then there was the size problem. Although M87* is ultramassive, signal power has dropped to roughly 10-16 W
it is comparatively small—the shadow this black hole casts is only (0.1 femtowatt). That’s about a billionth of
around the size of our solar system. Resolving it from Earth is the strength of the signals a satellite TV
like trying to read a newspaper from 5,000 km away. The resolv- dish typically picks up. So the very low signal-to-
ing power of a lens or dish is set by the ratio of the wavelength noise ratio posed one major problem, exacerbated

26  | FEB 2020 | SPECTRUM.IEEE.ORG
by the fact that our largest single-dish telescope, the of our data if cloud cover or technical issues forced even one of
50-meter Large Millimeter Telescope, in Mexico, was the telescopes to drop out.
still being completed and wasn’t yet fully operational But the heavens smiled on us. By the end of the week, we were
when we used it in 2017. preparing 5 petabytes of raw data for shipment to MIT Haystack
The 1.3-mm wavelength, considerably shorter Observatory and the Max Planck Institute for Radio Astronomy,
than the norm in VLBI, also pushed the limits of our in Germany. There, researchers, using specially designed super-
technology. The receivers we used converted the computers to correlate the signals, aligned data segments in
230-GHz signals down to a more manageable fre- time. Then, to counter the phase-distorting influence of turbu-
quency of around 2 GHz. But to get as much infor- lent atmosphere above each telescope, we used purpose-built
mation as we could about M87*, we recorded both adaptive algorithms to perform even finer alignment, matching
right- and left-hand circular polarizations at two signals to within a trillionth of a second.
frequencies centered around 230 GHz. As a result, Now we faced another giant challenge: distilling all those qua-
our instrumentation had to sample and store four drillions of bytes of data down to kilobytes of actual information
separate data streams pouring in at the prodigious that would go into an image we could show the world.
rate of 32 gigabits per second at each of the partici-
pating telescopes. NOWHERE IN THOSE PETABYTES OF DATA were numbers we could simply
Interferometry works only if you can precisely plot as a picture. The “lens” of our telescope was a tremendous
align the peaks in the signal recorded at each pair amount of software, which drew heavily on open-source pack-
of telescopes, so the short wavelength also required ages and now is available online so that anyone can replicate or
us to install hydrogen-maser atomic clocks at each improve on our results.
site that could sample the signal with subpicosec- Radio interferometry is relatively straightforward when you
ond accuracy. We used GPS signals to time-stamp have many telescopes close together, aimed at a bright source, and
the observations. observing at long wavelengths. The rotation of Earth during the
On four nights in April 2017, everything had to night causes the baselines connecting pairs of the telescopes to
come together. Seven giant telescopes (some of sweep through a range of angles and effective lengths, filling in the
them multidish arrays) pointed at the same minus- space of possible measurements. After the data is collected, you
cule point in the sky. Seven maser clocks locked into line up the signals, extract a two-dimensional spatial-frequency
sync. A half ton of helium-filled, 6- to ­8-terabyte pattern from the variations in amplitude and phase among them,
hard drives started spinning. I along with a few and then do an inverse Fourier transform to convert the 2D fre-
dozen other bleary-eyed scientists sat at our screens quency pattern into a 2D picture.
in mountaintop observatories hoping that clouds VLBI is a lot harder, especially when observing with just a hand-
would not roll in. Because of the way interferom- ful of dishes at a short wavelength, as we were for M87*. Perfect
etry works, we would immediately lose 40 percent calibration of the system was impossible, though we used an
eighth telescope at the South Pole to
help with that. Most problematic were
differences in weather, altitude, and
humidity at each telescope. The atmo-
spheric noise scrambles the phase of
the incoming signals.
The problem we faced in observing
with just seven telescopes and scram-
bled phases is a bit like trying to make
out the tune of a duet played on a piano
on which most of the keys are broken
and the two players start out of sync
with each other. That’s hard—but not
impossible. If you know what songs

ON THE SAME PAGE: The author reveals


initial results [above left] of the four
imaging teams, which to their great
satisfaction produced similar images.

SPECTRUM.IEEE.ORG | FEB 2020 |  27
typically sound like, you can often still CRUNCHING NUMBERS INTO PICTURES
work out the tune. The measurements taken to construct these images of a black hole came from seven radio
It also helps that the noise scram- telescopes spread around the world. An eighth (at the South Pole) aided in the calibration
bles the signal in an organized way of these measurements. Newly developed algorithms and supercomputers were used to
that allows us to exploit a terrific trick correlate the observed signals to make measurements and reconstruct the images from
called closure quantities. By multiply- these measurements.
ing correlated data from each pair in
a trio of telescopes in the right order, Submillimeter Array Atacama Large Millimeter/
(SMA), Hawaii submillimeter Array (ALMA), Chile
we are able to cancel out a big chunk
IRAM Pico Veleta
of the noise, though at the cost of add- Submillimeter Telescope Atacama Pathfinder telescope, Spain
ing some complexity to the problem. (SMT), Arizona EXperiment (APEX),
Chile
The longest and shortest baselines
in our telescope network set the limits
of our resolution and field of view, and
they were limited indeed. In effect, we
could reconstruct a picture 160 micro-
arcseconds wide (equivalent to 44 bil-
lionths of a degree on the sky) with
roughly 20 microarcseconds of reso-
lution. Literally an infinite number of
images could fit such a data pattern. James Clerk Large Millimeter South Pole Telescope
Somehow we would have to pick— Maxwell Telescope Telescope (LMT), Mexico
(JCMT) Hawaii
and decide how confident to be in
our choice.

T
O AVOID FOOLING OURSELVES, we cre-
–– Measurements Measurements
ated lots of images from the collected by that, theoretically,
M87* data, in lots of different pairs of radio could be collected
telescopes in the with a radio dish
ways, and developed a rig- Event Horizon the size of Earth
orous process—well beyond Telescope project

what is typically done in


radio astronomy—to deter-
mine whether our reconstructions
were reliable. Every step of this pro- Image created from Image created from Image created from
cess, from initial correlation to final processing pipeline 1 processing pipeline 2 processing pipeline 3
interpretation, was tested in multiple
ways, including by using multiple soft-
ware pipelines.
Before we ever started collecting
data, we created a computer simula-
tion of our telescope network and all
the various sources of error that would
affect it. Then we fed into this simula-
tion a variety of synthetic images—some
derived from astrophysical models of Blurred and averaged

black holes and others we had com- April 5 April 6 April 10 April 11
pletely made up, including one loosely
based on Frosty the Snowman.
Next, we asked various groups to
IMAGES: EHT COLLABORATION

reconstruct images from the synthetic


observations generated by the simula-
tion. So we turned images into obser-
vations and then let others turn those Final images
back into images. The groups all pro-

28  | FEB 2020 | SPECTRUM.IEEE.ORG
duced pictures that were fuzzy and a bit off, but in A DECADE OR TWO FROM NOW, astronomers will no doubt look back at
the ballpark. The similarities and differences among this first snapshot of a black hole and consider it a milestone, but
those pictures taught us which aspects of the image they’ll also smile at how indistinct and uncertain—and unmoving—it
were reliable and which spurious. is compared with what they will probably be able to do. Although
In June 2018, researchers on the imaging team we are confident in the asymmetry of the ring and its size—roughly
split into four squads to work in complete isolation 40 microarcseconds in diameter—the fine structure in that image
on the real data we had collected in 2017. For seven should be taken with a grain of salt.
weeks, each squad worked incommunicado to make But we did see a ring and the shadow of the black hole! That in
the best picture it could of M87*. itself is astonishing.
Two squads primarily used an updated version of Measurements of that shadow add a lot of weight to the argu-
an iterative procedure, known as the CLEAN algo- ment that M87* has a mass equal to 6.5 billion suns, consistent
rithm, which was developed in the 1970s and has with the estimate astronomers had set by measuring the speed
since been the standard tool for VLBI. Radio astron- of stars circling the black hole. (In contrast, estimates made from
omers trust it, but in cases like ours, where the data the complicated effects of M87* on nearby gas were much lower:
is very sparse, the image-generation process often around 3.5 billion solar masses.) The size of the ring is also large
requires a lot of manual intervention. enough to rule out speculation that M87* is not a supermassive
Drawing on my experience with image reconstruc- black hole but rather a wormhole or a naked singularity—even
tion in other fields, my collaborators and I developed stranger objects that appear to be consistent with general relativ-
a different approach for the other two squads. It is a ity but have never been observed.
kind of forward modeling that starts with an image— Perhaps equally important, our initial success
POST YOUR
say, a fuzzy blob—and uses the observational data gives us good reason to believe that with fur- COMMENTS AT
spectrum.ieee.org/
to modify this starting guess until it finds an image ther improvements to both the telescope net- blackhole-feb2020

that looks like an astronomical picture and has a work and the software, we will be able to image
high probability of producing the measurements Sgr A* at the center of the Milky Way. Our nearest s­ upermassive
we observed. black hole is only a few hundred times as bright as the sun, and
I’d seen this technique work well in other contexts, it is less than a thousandth as massive as M87*. But because it is
and we had tested it countless times with synthetic 2,000 times closer than M87*, it would appear a little larger to
EHT data. Still, I was stunned when I fed the M87* us than M87*.
data into our software and, within minutes, an image The biggest challenge in imaging Sgr A* is the speed at which it
appeared: a fuzzy, asymmetrical ring, brighter on evolves. Blobs of plasma orbit M87* every couple of days, whereas
the bottom. I couldn’t help worrying, though, that those around Sgr A* complete an orbit every few minutes. So our
the other groups might come up with something goal is not to snap a still image of Sgr A* but to make a crude movie
quite different. of it spinning like a billion-degree dervish at the center of the gal-
On 24 July 2018, a group of about 40 EHT mem- axy. This could be the next milestone in our quest to further con-
bers reconvened in a conference room in Cambridge, strain Einstein’s theory of gravity—or point to physics beyond it.
Mass. We each put up our best images—and every- And there could be practical spinoffs. The methods we are devel-
one immediately started clapping and laughing. All oping to make movies of Sgr A* are strikingly similar to those needed
four were rings of about the same diameter, asym- to make a medical MRI of a child squirming in a scanner or to image
metrical, and brighter on the bottom. subterranean movements during an earthquake.
We knew then that we were going to succeed, but For future observations, we expect to use 11 or more telescopes—
we still had to demonstrate that we hadn’t all just including a 12-meter dish in Greenland, an array of a dozen 15-meter
injected a human bias favoring a ring into our soft- dishes in the French Alps, and one of Caltech’s radio dishes in Owens
ware. So we ran the data through three separate imag- Valley, Calif.—to increase the number of baselines. We have also dou-
ing pipelines and performed image reconstruction bled the data-sampling rate from 32 Gb/s to 64 Gb/s by expanding
with a wide range of prototype images of the kind the range of radio frequencies we record, which will strengthen our
that we worried might fool us. In one of the imaging signals and eventually allow us to connect smaller dishes to the net-
pipelines, we ran hundreds of thousands of simula- work. Together, these upgrades will boost the amount of data we
tions to systematically select roughly 1,500 of the collect by an order of magnitude, to about 100 petabytes a session.
best settings. And if all continues to go well, we hope that in the years or decades
At the end, we took a high-scoring image from ahead the reach of our computational telescope will grow beyond
each of the three pipelines, blurred them to the the bounds of Earth itself, to include space-based radio telescopes
same resolution, and took the average. The result- in orbit. Adding fast-moving spacecraft into our existing VLBI net-
ing picture made the front page of newspapers work would be a tremendous challenge, of course. But for me, that
around the world. is part of the appeal. n

SPECTRUM.IEEE.ORG | FEB 2020 |  29
FUSION VORTEX: General
Fusion’s magnetized target
reactor injects pulses of plasma
into a sphere filled with swirling
molten lead and lithium.

30  | FEB 2020 SPECTRUM.IEEE.ORG
5
Big Ideas for Fusion Power

Startups, universities, and major


companies are vying to commercialize
a nuclear fusion reactor • By Tom Clynes

THE JOKE HAS BEEN AROUND almost as long as the dream:


Nuclear fusion energy is 30 years away...and always will be. But
now, more than 80 years after Australian physicist Mark ­Oliphant
first observed deuterium atoms fusing and releasing dollops of
energy, it may finally be time to update the punch line.
Over the past several years, more than two dozen research
groups—impressively staffed and well-funded startups, university
programs, and corporate projects—have achieved eye-opening
advances in controlled nuclear fusion. They’re building fusion
reactors based on radically different designs that challenge the two
mainstream approaches, which use either a huge, doughnut-shaped
magnetic vessel called a tokamak or enormously powerful lasers.
What’s more, some of these groups are predicting significant
fusion milestones within the next five years, including reaching
the breakeven point at which the energy produced surpasses the
energy used to spark the reaction. That’s shockingly soon, con-
sidering that the mainstream projects pursuing the conventional
tokamak and laser-based approaches have been laboring for
decades and spent billions of dollars without achieving breakeven.
In Cambridge, Mass., MIT-affiliated researchers at Common-
wealth Fusion Systems say their latest reactor design is on track

I L LU S T R AT I O N S BY C H R I S P H I L P OT

SPECTRUM.IEEE.ORG | FEB 2020 |  31
to exceed breakeven by 2025. In the United Kingdom, a reaction. A DOE report suggested that NIF’s research should
University of Oxford spin-off called First Light Fusion claims shift from investigating laser-sparked ignition to determin-
it will demonstrate breakeven in 2024. And in Southern ing whether such ignition is even possible.
California, the startup TAE Technologies has issued a breath- The same year, the U.S. and several other governments
takingly ambitious five-year timeline for commercialization began debating whether to pull their support from the Inter-
of its fusion reactor. national Thermonuclear Experimental Reactor (ITER). First
Irrational exuberance? Maybe. Fusion research is among proposed in 1985 and now under construction in southern
the most costly of endeavors, depending on high inflows of France, ITER is the world’s biggest fusion experiment. It is
cash just to pay a lab’s electricity bills. In the pursuit of fund- a type of tokamak, which uses magnetic forces to confine
ing, the temptation to overstate future achievements is strong. and isolate the ferociously hot, energetic plasma needed to
And past expectations of impending breakthroughs have initiate and sustain fusion. But the project has been plagued
repeatedly been dashed. What’s changed now is that advances by delays and cost overruns that have quintupled its original
in high-speed computing, materials science, and modeling $5 billion price tag and pushed its projected completion date
and simulation are helping to topple once-recalcitrant tech- to 2035. (And even if it makes that date, it could be decades
nical hurdles, and significant amounts of money are flowing after that before commercial plants based on the design are
into the field. in operation.) The setbacks and enormous expense of NIF
Some of the new fusion projects are putting the newest and ITER had the effect of draining not just money but also
generation of supercomputers to work to better under- enthusiasm from the field.
stand and tweak the behavior of the ultrahigh-temperature Even as the government-backed megaprojects foundered,
plasma in which hydrogen nuclei fuse to form helium. Others alternative fusion-energy research began to gain momentum.
have reopened promising lines of inquiry that were shelved The hope of those pursuing these new efforts is that their
decades ago. Still others are exploiting new superconduc- novel and smaller-scale approaches can accelerate past the
tors or hybridizing the mainstream concepts. decades-long incremental slog. Investors are finally taking
Despite their powerful tools and creative approaches, many notice and pouring money into the field. Over the past five
of these new ventures will fail. But if just one succeeds in build- years, private capitalists have injected about $1.5 billion into
ing a reactor capable of producing electricity economically, small-scale fusion-energy companies. Among those who
it could fundamentally transform the course of human civi- have made significant bets on fusion are Amazon’s Jeff Bezos,
lization. In a fusion reaction, a single gram of the hydrogen Microsoft’s Bill Gates, and venture capitalist Peter Thiel. A
isotopes that are most commonly used could theoretically few major corporations, including Lockheed Martin, have
yield the same energy as 11 metric tons of coal, with helium launched their own small-fusion projects.
as the only lasting by-product. Jesse Treu, a Ph.D. physicist who spent much of his career
As climate change accelerates and demand for electricity investing in biotech and med-tech startups, says he realized in
soars, nuclear fusion promises a zero-carbon, low-waste base- 2016 that “wonderful things were starting to happen in fusion
load source of power, one that is relatively clean and comes energy, but funding wasn’t catching up. It’s clear that private
with no risk of meltdowns or weaponization. This tantaliz- equity and venture capital are part of the solution to develop
ing possibility has kept the fusion dream alive for decades. this technology, which is clearly the best energy answer for
Could one of these scrappy startups finally succeed in mak- the planet.” He cofounded the Stellar Energy Foundation to
ing fusion a practical reality? connect fusion researchers with funding sources and to pro-
vide support and advocacy.
NOT SO LONG AGO, the outlook for fusion power was pretty And public money has started to follow private: U.S.
bleak, with two of the biggest projects seemingly stalled. Department of Energy grant makers, who for decades fun-
In 2016, the U.S. Department of Energy admitted that its neled most nondefense fusion allocations to ITER, are now
US $3.5 billion National Ignition Facility (NIF) had failed to channeling some funding to projects at the fringes of main-
meet its goal of using lasers to “ignite” a self-sustaining fusion stream research. The federal budget includes a $107 million

Key Fusion 1934 Australian


physicist Mark Oliphant
1958 The first tokamak,
the Soviet Union’s T-1,
1985 Mikhail
Gorbachev and Ronald
1997 The Joint
European Torus (JET)
2013 National Ignition
Facility (NIF) implosion
Energy observes atoms fusing begins operation. Reagan agree to a joint reactor in England yields more energy than
PREVIOUS PAGES: GENERAL FUSION

and emitting energy collaboration on fusion outputs 16 megawatts the energy absorbed by
Milestones in his University of research, which leads to of fusion power, still the the fuel.
Cambridge laboratory. 1974 KMS Fusion, a the ITER experiment. world record.
private-sector company,
fires an array of lasers 2019 Construction
1920 British astrono- 1958 Los Alamos at a deuterium-tritium 1995 Princeton 2013 Construction of ITER is two-thirds
mer Arthur Eddington researchers pellet, achieving the Plasma Physics begins on ITER, in complete. It is expected
theorizes that the sun demonstrate the first successful laser- Laboratory’s tokamak southern France. to produce 10 times the
and other stars are first controlled induced fusion. achieves a record input energy.
powered by the fusion thermonuclear fusion. plasma temperature
of hydrogen atoms. of 510 million °C.

32  | FEB 2020 | SPECTRUM.IEEE.ORG
increase for fusion projects in fiscal year 2020, including a the process, some of the mass is released and converted into
research partnership program that allows small companies to energy, as laid out in Albert Einstein’s famous formula: E = mc2.
conduct major experiments at the DOE’s national laboratories. There’s an abundance of fusion energy in our universe—
The U.S. government’s renewed interest stems in part from the sun and other stable stars are powered by thermo­
a perceived need to keep up with China, which recently nuclear fusion—but the task of triggering and controlling a
restarted its fusion-energy program after a three-year mora­ self-­sustaining fusion reaction and harnessing its power is
torium. The Chinese government plans to switch on a new arguably the most difficult engineering challenge humans
fusion reactor in Sichuan province this year. Meanwhile, the have ever attempted.
Chinese energy company ENN Energy Holdings has been To fuse hydrogen nuclei, earthbound reactor designers need
investing in research programs abroad and is building a to find ways to overcome the positively charged ions’ mutual
duplicate of Princeton Fusion Systems’ compact reactor in repulsion—the Coulomb force—and get them close enough
central China, with help from top U.S. scientists. to bind via what’s known as the strong nuclear force. Most
“Now that it’s looking like China will gobble up every idea methods involve temperatures that are so high—­several orders
the U.S. has failed to fund,” says Matthew J. Moynihan, a of magnitude hotter than the sun’s core temperature of
nuclear engineer and fusion consultant to investors, “that’s 15 million °C—that matter can exist only in the plasma state,
serving as a wake-up for the U.S. government.” in which electrons break free of their atomic nuclei and cir-
culate freely in gaslike clouds.
FOR ALL THIS ACTIVITY and investment, fusion power But a high-energy-density plasma is notoriously unstable
remains as tough a problem as ever. and difficult to control. It wriggles and writhes and attempts to
Unlike nuclear fission, in which a large, unstable nucleus break free, migrating to the edges of the field that contains it,
is split into smaller elements, a fusion reaction occurs when where it quickly cools and dissipates. Most of the challenges
the nuclei of a lightweight element, typically hydrogen, col- surrounding fusion energy center around plasma: how to
lide with enough force to fuse and form a heavier element. In heat it, how to contain it, how to shape it and control it. The

Cryostat Vacuum vessel

Superconducting

1. Magnetic-
magnets

Confinement Fusion First wall

(MCF) Heaters

Monitoring
THE BIG IDEA: Powerful electro­ sensors
magnetic fields confine and heat
plasma inside a doughnut-shaped
reactor called a tokamak, a Russian
acronym for “toroidal chamber with
axial magnetic field.” Since the
1960s, more than 200 functional
tokamaks have been built, and the
plasma physics fundamentals are well
established. The most ambitious of
these is the US $25 billion ITER, now
under construction in southern France.

REALITY CHECK: Scientists


are a long way from achieving a
self-sustaining reaction, and from
preventing neutron activation from
destroying the reactor’s walls.

PROJECTS TO WATCH:
Commonwealth Fusion Systems,
Tokamak Energy

SPECTRUM.IEEE.ORG | FEB 2020 |  33
two mainstream approaches are magnetic confinement and get the reaction to self-sustain,” he says. “It’s still going to
inertial confinement. Magnetic-confinement reactors such burn out in a matter of minutes, and that’s obviously not
as ITER attempt to hold the plasma steady within a tokamak, solving the energy problem.” He and other researchers
by means of powerful magnetic fields. Inertial-confinement believe that some of the small-scale efforts are much closer
approaches, such as NIF’s, generally use lasers to compress to achieving a steady-state reaction that could generate
and implode the plasma so quickly that it’s held in place long baseload electricity.
enough for the reaction to get going. Among the most mature of the fusion startups is California-
Scientists have long thought that bigger is better when it based TAE Technologies (formerly Tri Alpha Energy), which
comes to creating stable and energy-dense plasma fields. launched in 1998.
But with recent advances in supercomputing and complex The TAE reactor is designed to make use of what’s called a
modeling, researchers are unraveling more of the mysteries field-reversed configuration (FRC) to create a swirling ring of
underlying plasma behavior and developing new tricks for plasma that contains itself in its own magnetic field. ­(Princeton
handling it without huge, complex machinery. Fusion Systems’ design is also an FRC.) Instead of using
Among the researchers at the forefront of this work is phys- ­deuterium and tritium—the hydrogen-isotope blend that
icist C. Wendell Horton Jr. of the University of Texas Insti- fuels most fusion reactors—the TAE reactor injects beams
tute of Fusion Studies. He uses the university’s ­Stampede of high-energy neutral hydrogen particles into hydrogen-
supercomputer to build simulations of plasma flow and tur- boron fuel, forcing a reaction that produces alpha particles
bulence inside magnetic-confinement reactors. “We’re mak- (ionized helium nuclei). Heat generated in the containment
ing calculations that were impossible just a few years ago vessel caused by the deposit of soft X-ray energy will be con-
and modeling data about plasma in three dimensions and verted into electricity using a conventional thermal conver-
in time,” Horton says. “Now we can see what’s happening sion system, which heats water into steam to drive a turbine.
with much more nuance and detail than we would get with Hydrogen-boron fusion is aneutronic, meaning that the
analytic theories and even the most advanced probes and primary reaction does not produce damaging neutron radia-
diagnostic measurements. That’s giving us a more holistic tion. The drawback is that burning the fuel requires extraor-
picture of what’s needed to improve reactor design.” dinary temperatures, as high as 3 billion °C. “When you’re
Horton’s findings have informed the design of large-scale that hot, the electrons are radiating like crazy,” says William
experiments such as ITER, as well as small-scale projects. Dorland, a physics professor at the University of Maryland.
“The problem with ITER is that no matter how well they “They’re going to cool off the plasma faster than you can heat
get the plasma to behave, they haven’t figured out how to it.” Although FRC machines seem to be less prone to plasma

Vacuum chamber Lenses

2. Inertial-Confinement Laser beams

Fusion (ICF)
THE BIG IDEA: Powerful pulsed laser or
ion beams (or other methods) compress a
small fuel pellet to extremely high densities,
and the resulting shock wave heats the
plasma before it has time to dissipate.

REALITY CHECK: Forces exerted on


the fuel pellet result in laser-plasma
instabilities that produce high-energy
electrons, which heat and scatter much
of the fuel before it can fuse. In addition,
the high cost and complexity of the laser
drivers may make traditional approaches
to ICF unsuitable for energy production.

PROJECTS TO WATCH: First Light


Fusion, General Atomics
Fuel pellet Coolant

34  | FEB 2020 | SPECTRUM.IEEE.ORG
Plasma injector Rotational axis
3. Magnetized Target
Fusion (MTF)
Pneumatic
pistons
THE BIG IDEA: Sometimes called
Cylindrical
vortex cavity
magneto-inertial fusion (MIF), this
hybrid approach uses magnetic fields
to confine a lower-density plasma (as in
magnetic-confinement fusion), which is
Plasma toroid then heated and compressed using an
inertial-confinement method such as lasers
or pistons (as in inertial-confinement fusion).
Rotating liquid
lead and lithium REALITY CHECK: Scientists have yet to
increase the plasma density to a working
level and keep it there long enough for a
significant fraction of the fuel mass to fuse.

PROJECTS TO WATCH: General Fusion,


HyperJet Fusion, Magneto-Inertial Fusion
Technologies

Plasma injector

instabilities than some other magnetic-confinement meth- of magnetically confined plasma fuel into a sphere filled with
ods, no one has yet demonstrated an FRC reactor that can a vortex of molten lead and lithium. Pistons surrounding
create a stable plasma. the reactor drive shock waves toward the center, compress-
TAE cofounder and CEO Michl Binderbauer says the com- ing the fuel and forcing the particles into a fusion reaction.
pany’s latest machine, dubbed Norman (in honor of com- The resulting heat is absorbed in the liquid metal and used
pany cofounder Norman Rostoker), is achieving “significant to produce steam to spin a turbine and generate electricity.
improvements in plasma containment and stability over the “You can think of it in some ways as the opposite of a toka-
previous-generation machine.” What’s driving the improve- mak,” says Laberge. “Tokamaks work with a big plasma
ments are advances in artificial intelligence and machine field that’s [relatively] low density. We’re trying to make a
learning, enabled by a cutting-edge algorithm developed mini-size plasma that’s extremely high density, by squash-
by Google called Optometrist. TAE adapted the algorithm ing it in with the shock waves. Because the field is so dense
in partnership with Google to analyze the plasma-behavior and small, we only need to keep it together for a millisec-
data and home in on the combination of variables that will ond for it to react.”
create the most ideal conditions for fusion. The researchers In the 1970s, the U.S. Naval Research Laboratory experi-
described it in a Nature paper published in 2017. mented with a piston system to trigger nuclear fusion. Those
“We’re doing things we could have never done 10 years experiments failed, due in large part to an inability to pre-
ago, and that’s driving faster and faster cycles of learning,” cisely control the timing of the shock waves. Laberge’s team
says Binderbauer. has developed advanced algorithms and highly precise con-
trol systems to fine-tune the speed and timing of the shock
ADVANCED COMPUTING is also breathing new life into waves and compression.
promising lines of inquiry that were abandoned years ago “In those experiments in the 1970s, the problem was sym-
due to budget cuts or technical roadblocks. General Fusion, metry,” says Laberge. “We’ve now achieved the accuracy
based near Vancouver, was founded by Canadian plasma and force we need, so that part’s solved.”
physicist Michel Laberge. He quit a lucrative job develop- Using liquid metal could solve another of fusion energy’s
ing laser printers to pursue an approach called magnetized primary challenges: Neutron radiation erodes a reactor’s walls,
target fusion (MTF). The company has attracted more than which must be replaced frequently and disposed of as low-
$200 million, including investments from Jeff Bezos and the level radioactive waste. The liquid metal protects the solid
governments of Canada and Malaysia. outer wall from damage. There’s some irradiation of the liquid
General Fusion’s design combines features of magnetic- metal, but there’s no need to regularly replace it, and so the
confinement and inertial-confinement fusion. It injects pulses reactor doesn’t produce a steady stream of low-level waste.

SPECTRUM.IEEE.ORG | FEB 2020 |  35
Neutral beam
injectors

DC magnets

Formation chamber

Plasma gun

Confinement chamber

4. Field-Reversed Configuration (FRC)


THE BIG IDEA: An FRC reactor TAE Technologies’ reactor [pictured] magnetic-confinement methods, no
contains plasma in its own magnetic uses plasma guns to accelerate two lab has yet demonstrated a working
field by inducing a toroidal electric plasmas into each other and then FRC reactor that can create a
current inside a cylindrical plasma. heats them with particle beams. sufficiently dense and stable plasma.
Compared to the direction of an
externally applied magnetic field, the REALITY CHECK: Although PROJECTS TO WATCH: Helion
axial field inside the reactor is reversed FRC machines are less prone to Energy, Princeton Fusion Systems,
by eddy currents in the plasma. instabilities than are some other TAE Technologies

General Fusion’s newest reactor, which generated plasma Tokamaks themselves are also getting a reboot, thanks to
for the first time in late 2018, is the centerpiece of a facility the use of different superconducting materials that could
that Laberge says will demonstrate an end-to-end capability make magnetic confinement more viable. MIT spin-off
to produce electricity from nuclear fusion. “Now that we’ve Commonwealth Fusion Systems is employing yttrium-barium-
successfully created a stable, long-lived plasma, we can see copper oxide (YBCO), a high-temperature superconductor,
that we have a viable path toward having the plasma generate in the magnets on its Sparc reactor.
more energy than it consumes,” he says. “In terms of commer- Commonwealth cofounder Martin Greenwald, who is also
cialization, our timeline is now a matter of years, not decades.” the deputy director of MIT’s Plasma Science and Fusion
Center, calculates that the Sparc reactor’s YBCO magnets
V I R G I N I A- BAS E D H Y P E R J E T F U S I O N C O R P. has an will be able to generate a field of about 21 teslas at their sur-
approach similar to General Fusion’s, but instead of pistons, face and 12 T at the center of the plasma, roughly doubling
some 600 plasma guns fire jets of plasma into the reactor. the field strength of tokamak magnets made of niobium-tin.
The merging of the jets forms a plasma shell, or liner, which Stronger magnetic fields produce a stronger confining force
then implodes and ignites a magnetized target plasma. The on the charged particles in the plasma, improving insulation
system doesn’t need a heating system to bring the fuel to and enabling a much smaller, cheaper, and potentially bet-
fusion temperatures, says HyperJet CEO and chief scientist ter performing fusion device.
F. Douglas Witherspoon. “The imploding plasma liner con- “If you can double the magnetic field and cut the size of
tains the target plasma and provides the energy to elevate the the device in half, with identical performance, that will be a
temperature to fusion conditions. And because we’re using game changer,” Greenwald says.
a much higher-density plasma than a magnetic-confinement Indeed, one advantage of the newer, small-scale fusion
system would, it reduces the size of the fusing plasma from projects is that they can concentrate on the novel aspects
meter scale to centimeter scale.” of their designs, while taking advantage of decades of hard-
Witherspoon says the advantage of the HyperJet approach won knowledge about the fundamentals of fusion science. As
over tokamaks is that it doesn’t require expensive supercon- Greenwald puts it, “We think we can get to commercial deploy-
ducting magnets to generate the enormous magnetic fields ment of fusion power plants faster by accepting the conven-
needed to confine the fusion-burning plasma. tional physics basis developed around the ITER experiment

36  | FEB 2020 | SPECTRUM.IEEE.ORG
5. Stellarator
THE BIG IDEA: The
stellarator’s spiraling ribbon
shape produces high-density
plasma that’s symmetrical and
more stable than a tokamak’s,
allowing the reactor to run for
long periods of time.

Magnetic coil
REALITY CHECK: The
stellarator’s challenging
Vacuum vessel geometry makes it
complicated to build and
Twisted
magnetic field
extremely sensitive to
imperfect conditions.
Plasma
PROJECT TO WATCH:
Wendelstein 7-X at Max Planck
Institute for Plasma Physics

and focusing on our collaborations between physicists and a metal disk-shaped projectile and a cube with a cavity filled
magnet engineers who have been setting records for decades.” with deuterium-tritium fuel. The projectile’s impact creates
shock waves, which produce cavitation bubbles in the fuel.
SOME PROMISING STARTUPS, though, aren’t content to As the bubbles collapse, the fuel within them is compressed
accept the conventional wisdom, and they’re tackling the long enough and forcefully enough to fuse.
underlying physics of fusion in new ways. One of the more rad- Hawker says First Light hopes to initiate its first fusion
ical approaches is that of First Light Fusion. The British com- reaction this year and to demonstrate net energy gain by
pany intends to produce fusion using an inertial-confinement 2024. But he acknowledges that those achievements won’t
reactor design inspired by a very noisy crustacean. be enough. “Fusion energy doesn’t just need to be scientifi-
The pistol shrimp’s defining feature is its oversize pistol- cally feasible,” he says. “It needs to be commercially viable.”
like claw, which it uses to stun prey. After drawing back the No one believes it will be easy, but the extraordinary chal-
“hammer” part of its claw, the shrimp snaps it against the lenge of fusion energy—not to mention the pressing need—
opposite side of the claw, creating a rapid pressure change is part of the attraction for the many scientists and engineers
that produces vapor-filled voids in the water called cavita- who’ve recently been drawn to the field. And increasingly,
tion bubbles. As these bubbles collapse, shock waves pulse they have the resources to finance their work.
through the water at 25 meters per second, enough to take “This notion that you hear about fusion being another 30 or
out small marine animals. 40 or 50 years away is wrong,” says TAE’s Binderbauer,
POST YOUR
“The shrimp just wants to use the pressure wave COMMENTS AT whose company has raised more than $600 million.
spectrum.ieee.
to stun its prey,” says Nicholas Hawker, First Light’s org/fusionpower- “We’re going to see commercialization of this technol-
feb2020
cofounder and CEO. “It doesn’t care that as the cavity ogy in time frames of a half decade.”
implodes, the vapor inside is compressed so forcefully that it Veteran fusion researchers such as Dorland and Horton
causes plasma to form—or that it has created the Earth’s only tend to have a more tempered outlook. They worry that
example of inertial-confinement fusion.” The plasma reaches grand promises that fall short may undercut public and
temperatures of over 4,700 °C, and it creates a 218-decibel bang. investor support, as has happened in the past. Any claims
Hawker focused on the pistol shrimp’s extraordinary claw of commercialization within the decade “are just not true,”
in his doctoral dissertation at the University of Oxford, and says Dorland. “We’re still a lot more than one breakthrough
he began studying whether it might be possible to mimic and away from having a pathway to fusion power.”
scale up the shrimp’s physiology to spark a fusion reaction What few will argue with, though, is the dire need for
that could produce electricity. nuclear fusion in the near future.
After raising £25 million (about $33 million) and teaming up “I think it’s not going too far to say that fusion is having its
with international engineering group Mott MacDonald, First Kitty Hawk moment,” says MIT’s Greenwald. “We don’t have
Light is building an ICF reactor in which the “claw” consists of a 747 jet, but we’re flying.” n

SPECTRUM.IEEE.ORG | FEB 2020 |  37
38  | FEB 2020 | SPECTRUM.IEEE.ORG
ROUTERS
IN
SPACE

Kepler
Communications’
CubeSats will
create an
Internet for
other satellites
By Mina Mitry

ILLUSTRATION BY Eric Frommelt SPECTRUM.IEEE.ORG | FEB 2020 |  39


F
OR DECADES, THE ASTRONOMICAL COST OF LAUNCHING A of our satellites, roughly the size of a loaf of bread, will oper-
satellite meant that only government agencies ate much like an Internet router—except in space. Our first
and large corporations ever undertook such a satellite, nicknamed KIPP after the companion robot from
herculean task. But over the last two decades or the 2014 sci-fi epic Interstellar, launched in January 2018.
so, newer, commercial rocket designs that accom- When fully deployed by 2022, Kepler’s network will include
modate multiple payloads have reduced launch 140 satellites spread equally among seven orbital planes. In
costs dramatically—from about US $54,000 per essence, we’re building an Internet service provider high
kilogram in 2000 to about $2,720 in 2018. That trend in turn above Earth’s surface, to allow other satellites to stay in con-
has fostered a boom in the private satellite industry. Since tact with one another and with ground stations, even if two
2012, the number of small satellites—roughly speaking, those satellites, or a satellite and a ground station, are on opposite
under 50 kilograms—being launched into low Earth orbit sides of the planet. Our customers will include companies
(LEO) has increased 30 percent every year. operating satellites or using satellite communications to
One huge problem with this proliferation of small satellites transfer data, as well as government agencies like the Cana-
is communicating with the ground. Satellites in low Earth orbit dian Department of National Defense, the European Space
circle the planet about once every 90 minutes, and so they Agency, and NASA. None of this would be possible without
usually have only about a 10-minute window during which to the ongoing developments in building tiny satellites.
communicate with any given ground station. If the satellite
can’t communicate with that ground station—because it’s on
the other side of the planet, for example—any valuable data the KEPLER’S SATELLITES ARE what the aerospace community calls
satellite needs to send won’t arrive on Earth in a timely way. CubeSats. In the early 2000s, CubeSats were developed to try
At present, NASA’s Tracking and Data Relay Satellite System to reduce the cost of satellites by simplifying and standard-
(TDRSS) is the only network that can help route signals from izing their design and manufacture. At the time, each new
satellites to the correct ground stations. However, TDRSS is satellite was a one-off, custom-built spacecraft, created by
rarely accessible to companies, prohibitively expensive to teams of highly specialized engineers using bespoke materi-
use, and over 25 years old. It’s simply unable to handle the als and fabrication methods. In contrast, a CubeSat is made
traffic created by all the new satellites. Getting data back to up of a standardized multiple of 10- by 10- by 10-centimeter
Earth from a satellite is oftentimes one of the bottlenecks units. The fixed units allow manufacturers to develop essen-
that limits an observation system’s capabilities. tial CubeSat parts like batteries, solar panels, and comput-
With three other engineers, I started Kepler Communica- ers as commercial, off-the-shelf components.
KEPLER COMMUNICATIONS

tions in 2015 to break this bottleneck. Our goal is to create a Thanks to CubeSats, space startups like Kepler can
commercial replacement for TDRSS by building a constel- design, build, and launch a satellite, from napkin sketch
lation of many tiny satellites in LEO. The satellites will form to orbital insertion, in as little as 12 months. For compari-
the backbone of a space-based mesh network, sending data son, a ­traditional satellite program can take three to seven
back and forth between Earth and space in real time. Each years to complete.

40  | FEB 2020 | SPECTRUM.IEEE.ORG
The rise of CubeSats and the falling costs of launch have spanning network of ground stations, we think it makes more
led to a surge in commercial satellite services. Companies sense to build a constellation of CubeSat routers, which can
around the world are building constellations of simultane- keep satellites connected to ground stations regardless of
ously operating spacecraft, with some planned constella- where the satellite or the ground station is.
tions numbering in the hundreds. Companies like Planet

A
are focused on delivering images of Earth, while others, like
Spire Global, aim to monitor the weather. T THE HEART OF EACH 5-kilogram Kepler satellite
So how are all those satellites getting all the data they col- is a software-defined radio (SDR) and a pro-
lect back to customers on the ground? The short answer is, prietary antenna. SDRs have been around
they’re not. A single Earth-imaging CubeSat, for example, since the 1990s. At the most fundamental level,
can collect something like 2 gigabytes in one orbit, or 26 GB they replace analog radio components like
per day. Realistically, the CubeSat will be able to send back modulators (which convert analog signals to
only a fraction of that data during its short window above ones and zeros) and filters (which limit what
a particular ground station. And that’s the case for all the part of the analog signal gets converted) with software. In
companies now operating satellites to collect data on agri- Kepler’s SDR, these elements are implemented using soft-
culture, climate, natural disasters, natural-resource man- ware running on a field-programmable gate array, or FPGA.
agement, and other topics. There is simply too much data The result is a radio that’s cheaper to develop and easier to
for the communications infrastructure to handle efficiently. configure. The use of SDRs has in turn allowed us to shrink
To hand off its data, an Earth-observation satellite sends its our spacecraft to CubeSat scale. It’s also one of the reasons
imagery and other measurements by contacting its ground our satellites cost one-hundredth as much as a traditional
station when one is in sight. Such satellites are almost always communication satellite.
in low Earth orbits to improve their image resolution, but, To understand how the Kepler constellation will work, it
as I mentioned, that means they orbit the planet roughly helps to know how conventional satellite connections are
once every 90 minutes. On average, the satellite has a line of made: with the “bent pipe” method. Imagine the pipe as two
sight—and therefore a line of communication—with a specific straight lengths of pipe joined together at an angle; the satel-
ground station for about 10 minutes. In that 10-minute win- lite sits where the two lengths meet so it has a continuous line
dow, the satellite must transmit all the data it has collected of sight with both ends of the connection, whether they’re
so that the ground station can then relay it through terres- two ground stations on different continents or a ground sta-
trial networks to its final destination, such as a data center. tion and another spacecraft. The satellite essentially acts
The result is that satellite operators often collect far more as a relay, receiving the signal at one end of the connection
information than they can ever hope to send back to Earth, so and transmitting it in a different direction to the other end.
they are constantly throwing away valuable data, or retriev- In Kepler’s network, when each satellite passes over a
ing it only after a delay of hours or even days. ground station it will receive data that has been routed to that
One recent solution is to operate ground stations as a ser- ground station from elsewhere in terrestrial networks. The
vice in order to increase the total number of ground stations satellite will store the data and then transmit it later when
available for use by any one company. Historically, when a the destination ground station becomes visible. Kepler’s
company or government agency launched a satellite, it would
also be responsible for developing its own ground stations—a 800
very costly proposition. Imagine how expensive and compli-
cated it would be if all cellphone users also had to purchase 700
Number of small satellites launched

their own towers and operate their own network just to make
a call. A cheaper alternative is for companies to build ground 600
stations that anyone—for a price—can use to connect with their
500
satellites, like Amazon’s AWS Ground Stations.
But there’s still a catch. To ensure that a LEO satellite can 400
continuously communicate with a ground station, you basically
need ground stations all over the globe. For continuous cover- 300
age, you would need several thousand ground stations—one
every few hundred kilometers, though more closely spaced 200
ground stations would ensure more reliable connections.
100
That can be difficult at best in remote areas on land. It’s even
more difficult to maintain a connection over oceans, where 0
SOURCE: SPACEWORKS

islands to build on are few and far between, and those islands ’13 ’14 ’15 ’16 ’17 ’18 ’19 ’20 ’21 ’22 ’23
rarely, if ever, have robust fiber connections to the Internet. SKYROCKETING SATELLITES: The number of newly launched
That’s why Kepler plans to move more of the communica- small satellites weighing 50 kilograms or less rises every year, with
tions infrastructure into orbit. Rather than creating a globe- nearly 500 such satellites expected to be launched in 2020.

SPECTRUM.IEEE.ORG | FEB 2020 |  41
SOME ASSEMBLY
REQUIRED: A Kepler
Communications
engineer works to hand
assemble KIPP [top
left], the company’s
first satellite in orbit.
Software-defined
radio components [top
right] replace many
analog parts and make
it possible to build
satellites that are the
size of a loaf of bread. A
mostly completed KIPP
waits for the finishing
touches [left]. Kepler
has also built ground
stations [bottom left] so
that its satellites in orbit
can communicate with
terrestrial networks. The
SatOps team [bottom
right] keeps an eye
on Kepler’s orbiting
satellites, which will
grow in importance
as the constellation
becomes larger.
network will include five ground-station sites spread across signal. To deal with this issue, we’ve created a proprietary
five continents to connect all of our satellites. Unfortunately, network architecture in which adjacent satellites will com-
this method doesn’t allow for real-time communications. But municate with each other only when they’re traveling in
those will become possible as our satellite constellation grows. the same direction. We’ve also installed software on KIPP
Here’s how: Future iterations of our network will add the and CASE to manage Doppler shift by tracking the change
ability to send data between our satellites to create a real- in frequency caused by its relative motion. At this point, we
time connection between two ground stations located any- believe we’re able to compensate for any Doppler shifts, and
where on the planet, as well as between a ground station and we expect to improve upon that capability in future itera-
an orbiting satellite. We’re also planning to include new fea- tions of our network and software.
tures such as transcoding—essentially a way to translate the As the number of satellites in the constellation increases,
data into different formats—and queueing the data accord- we must also ensure that data is routed efficiently. We don’t
ing to what needs to be delivered most urgently. want to beam data among, say, 30 satellites when just 3 or 4
We can make these big changes to how our satellites com- will do the job. To solve this problem, our satellites will run
municate relatively quickly, thanks to SDR. New code, for an algorithm in orbit that uses something called a two-line
example, can be uploaded to an orbiting satellite like KIPP element set to determine the position of each satellite. A
for testing. If the code passes muster, it can be deployed to two-line element set operates in a way that’s similar to how
the rest of the constellation without having to replace any of GPS identifies locations on Earth. Knowing every satellite’s
the hardware. Much like CubeSat standardization, SDR short- position, we can run an optimization algorithm to figure out
ens development cycles and lets us prototype more ideas. the route with the shortest transit time.
Of course, all these challenges will be moot if we can’t actu-

K
ally build the 140 satellites and place them in orbit. One thing
EPLER IS CURRENTLY IN the process of deploying we discovered early on is that supply chains for producing
our constellation. KIPP has been operating hundreds of spacecraft—even small ones—don’t yet exist, even
successfully for over two years and is support- if the components are standardized. Ultimately, we’ve had
ing the communication needs of our ground to do most of the production of our satellites ­in-house. Our
users. The MOSAiC expedition, for example, manufacturing facility in downtown Toronto can produce
is a yearlong effort to measure the arctic cli- up to 10 satellites per month by automating what were pre-
mate from an icebreaker ship near the North viously manual processes, such as testing circuit boards to
Pole. It’s the largest polar expedition in history. Since the ensure they meet our requirements.
start of the mission, KIPP’s high-bandwidth communica- As I’ve said before, Kepler’s constellation will be possible
tion payload has been regularly transferring gigabytes of because of the drastic size and cost reductions in satellite
data from MOSAiC’s vessel to the project’s headquarters in components in recent years. But there is one area where effi-
Bremerhaven, Germany. ciency has limited miniaturization: solar panels. Our CubeSats’
In December 2018, our second satellite, CASE (named after ability to generate power is still constrained by the surface
another robot companion from Interstellar), joined KIPP in area on which we can mount solar panels.
orbit. Even with just two satellites in operation, we’re able to We’re also seeing limitations in antenna size, as antennas
provide some level of service to our customers, mostly by tak- reach theoretical limits in efficiency. That means a certain
ing up data from one ground station and delivering it to another, amount of each satellite’s surface area must be reserved for
in the method I previously described. That has allowed us to the antennas. Such limitations will make it hard to further
avoid the fate of some other satellite-­constellation compa- shrink our satellites. The upside is that it’s forcing us to be
nies, which went bankrupt in the process of trying to deploy creative in finding new computational methods and software,
a complete network prior to delivering service. and even develop foldable components inspired by origami.
While we’ve been successful so far, establishing a constel- By the end of 2020, we plan to have at least 10 more sat-
lation of 140 satellites is not without challenges. When two ellites operating in orbit—enough to run early tests on our
fast-moving objects—such as satellites—try to talk to each other, in-space router network. If everything stays on schedule,
their communications are affected by a Doppler shift. This by 2021 we will have 50 satellites in operation, and by 2022
phenomenon causes the frequencies of radio waves transmit- all 140 satellites will be available to both users on Earth and
ted between the two objects to change as their relative posi- other satellites in space.
tions change. Specifically, the frequency is compressed as the Space is the new commercial frontier. With the increased
objects approach each other and stretched as they grow more level of access that the entrepreneurial space race has brought,
distant. It’s the same phenomenon that causes an ambulance upstart groups are imagining new opportunities that a space-
KEPLER COMMUNICATIONS (5)

siren to change in pitch as it speeds past you. craft in orbit, and its data, can provide. By creating an Inter-
With our satellites traveling at over 7 kilometers per sec- net for space, Kepler’s network will give those opportunities
ond relative to the ground or potentially communicating a route to success. n
with another satellite moving in the opposite direction at the
same speed, we end up with a very compressed or stretched POST YOUR COMMENTS AT spectrum.ieee.org/kepler-feb2020

SPECTRUM.IEEE.ORG | FEB 2020 |  43
GUTTER CREDIT GOES HERE
ATOM-THICK TRANSISTORS

If there’s one thing about With the ability to


Moore’s Law that’s obvious to anyone, it’s grow materials like
that transistors have been made smaller
tungsten disulfide on
300-mm wafers, 2D
and smaller as the years went on. Scien-
semiconductors might
tists and engineers have taken that trend find a role in advanced
to an almost absurd limit during the past silicon chips
decade, creating devices that are made
of one-atom-thick layers of material. • By Iuliana Radu

The most famous of these materials is,


of course, graphene, a hexagonal honeycomb-shaped sheet of carbon with
outstanding conductivity for both heat and electricity, odd optical abilities,
and incredible mechanical strength. But as a substance with which to make
transistors, graphene hasn’t really delivered. With no natural ­bandgap—
the property that makes a semiconductor a semiconductor—it’s just not
built for the job. • Instead, scientists and engineers have been exploring
the universe of transition metal dichalcogenides, which all have the chemi-
cal formula MX2. These are made up of one of more than a dozen transition
metals (M) along with one of the three chalcogenides (X): sulfur, selenium,
or tellurium. Tungsten disulfide, molybdenum diselenide, and a few ­others
can be made in single-atom layers that (unlike graphene) are natural semi-
conductors. These materials offer the enticing prospect that we will be able
to scale down transistors all the way to atom-thin components long after
today’s silicon technology has run its course.

ILLUSTRATION BY Chad Hagen SPECTRUM.IEEE.ORG | FEB 2020 |  45


ATOM-THICK TRANSISTORS

While this idea is really exciting, I and my colleagues at Imec Certain 2D semiconductors could circumvent short-channel
believe 2D materials could actually show up much sooner, even effects, we think, by replacing the silicon in the device chan-
while silicon still remains king. We’ve been developing a tech- nel. A 2D semiconductor provides a very thin channel region—
nology that could put 2D semiconductors to work in silicon as thin as a single atom if only one layer of semiconductor is
chips, enhancing their abilities and simplifying their designs. used. With such a restricted pathway for current to flow, there
is little opportunity for charge carriers to sneak across when
Dev ices m a de w i t h 2D m at er i a l s are worth all the the device is meant to be off. That means the transistor could
scientific and engineering work we and other researchers continue to be shrunk down further with less worry about the
around the world have put into them because they could consequences of short-channel effects.
eliminate one of the biggest problems with today’s transis- These 2D materials are not only useful as semiconductors,
tors. The issue, the result of what are called short-channel though. Some, such as hexagonal boron nitride, can act as gate
effects, is a consequence of the continual shrinking of the dielectrics, having a dielectric constant similar to that of sili-
transistor over the decades. con dioxide, which was routinely used for that job until about
A metal-oxide semiconductor field-effect transistor (MOSFET)­, a decade ago. Add graphene in place of the transistor’s metal
the type of device in all digital things, is made up of five basic parts and you’ve got a combination of 2D materials that forms
parts: The source and drain electrodes; the channel region a complete transistor. Indeed, separate groups of researchers
that connects them; the gate dielectric, which covers the built such devices as far back as 2014. While these prototypes
channel on one or more sides; and the gate electrode, which were much larger, you could imagine scaling them down to
contacts the dielectric. Applying a voltage at the gate relative the size of just a few nanometers.
to the source creates a layer of mobile charge carriers in the As amazing as an all-2D transistor that’s a fraction of the
channel region that forms a conductive bridge between the size of today’s devices might be, that won’t be the first imple-
source and drain, allowing current to flow. mentation of 2D materials in electronic circuits. Instead, 2D
But as the channel was made smaller and smaller, current materials will probably arrive in low-power circuits that have
would increasingly leak across it even when there was no volt- more relaxed performance requirements and area constraints.
age on the gate, wasting power. The change from the planar The set of circuits we’re targeting at Imec are built in the so-
designs of the 20th century to the FinFET transistor structure called back-end-of-line. Chipmaking is divided into two parts:
used in today’s most advanced processors was an attempt to the front-end-of-line part consists of processes—many of them
counter this important short-channel effect by making the requiring high temperatures—that alter the silicon itself, such
channel region thinner and having the gate surround it on as implanting dopants to define the parts of a transistor. The
more sides. The resulting fin-shaped structure provides bet- back-end-of-line part builds the many layers of interconnects
ter electrostatic control. (The coming move to the nanosheet that link the transistors to form circuits and deliver power.
transistor is a furthering of this same idea. See “The Last Sili- With traditional transistor scaling becoming more and more
con Transistor,” IEEE Spectrum, August 2019.) difficult, engineers have been looking for ways to add func-
tionality to the interconnect layers. You can’t do this simply
by using ordinary silicon processes because the heat involved
would damage the devices and interconnects beneath them.
GETTING 2D So, many of these schemes rely on materials that can be made
into devices at relatively low temperatures.
SEMICONDUCTORS
on a S I L I C O N W A F E R

Glass Laser Adhesive Growth Target Laser


carrier release wafer silicon
layer wafer

Tungsten Growth
disulfide (WS2 ) wafer FLIP FLIP

Chemical vapor A specialized glass The growth wafer is A laser melts away the
1 2 3 4
deposition grows carrier wafer is peeled away, leaving release layer and the
WS2 on a silicon wafer. bonded to the WS2 . the WS2 atop the carrier. carrier wafer is peeled off.

46  | FEB 2020 | SPECTRUM.IEEE.ORG
A specific advantage of using 2D semiconductors instead of using it have advanced furthest. Indeed, at the IEEE Inter­
some other candidates is the potential ability to build both national Electron Device Meeting last December, Imec unveiled
p-type (carrying positive charges) and n-type (carrying elec- an MoS2 transistor with a channel just 30 nanometers across
trons) devices, a necessity in CMOS logic. CMOS circuits are and source and drain contacts only 13 nm long. But after exam-
the backbone of today’s logic because, ideally, they consume ining the possibilities, we’ve decided that MoS 2 is not the
power only when switching from one state to the other. In our answer. Instead, we concluded that among all the materials
preferred 2D semiconductor, we’ve demonstrated n-type tran- compatible with 300-mm ­silicon-wafer technology, tungsten
sistors but not yet p-type. However, the physics underlying these disulfide (WS2 ) in the form of a stacked nanosheet device has
materials strongly suggests we can get there through engineer- the highest performance potential, meaning it can drive the
ing the dielectrics and metals that contact the semiconductor. most current. For less demanding, back-end-of-line applica-
Being able to produce both p- and n-type devices would tions, we also concluded that a FET architecture with a gate
allow the development of compact back-end logic circuits both below and above the semiconductor channel region
such as repeaters. Repeaters essentially relay data that must works better than one with only a single gate.
travel relatively far across a chip. Ordinarily, the transistors We already knew one important thing about WS2 before
involved reside on the silicon, but that means signals must we reached that conclusion: We can make a high-quality ver-
climb up the stack of interconnects until they reach a layer sion of it on a 300-mm silicon wafer. We demonstrated that
where they can travel part of the distance to their destination, for the first time in 2018 by growing the material on a wafer
then go back down to the silicon to be repeated and up again using metal-organic chemical vapor deposition (MOCVD), a
to the long-distance interconnect layer. It’s a bit like having to common process that grows crystals on a surface by means of
exit the highway and drive into the center of a crowded city to a chemical reaction. The approach we took results in thickness
buy petrol before getting back on the highway. control down to a single-molecule layer, or monolayer, over
A repeater up near the long-distance interconnect layer is the full 300-mm wafer. The benefits of the MOCVD growth
more akin to a motorway petrol station. It saves the time it come, however, at the price of a high temperature—and recall
would take the signal to make the two-way vertical trip and that high temperatures are forbidden in back-end processes
also prevents the loss of power due to the resistance of the because they could damage the silicon devices below.
vertical interconnects. What’s more, moving the repeater to To get around this problem, we grow the WS2 on a separate
the interconnect layer saves space on the silicon for more logic. wafer and then transfer it to the already partially fabricated
Repeaters aren’t the only potential use. A 2D material could silicon wafer. The Imec team developed a unique transfer pro-
also be used to build other circuits, such as on-chip power- cess that allows a single layer of WS2—as thin as 0.7 nm—to be
management systems, signal buffers, and memory selectors. moved to a silicon target wafer with negligible degradation in
One thing these circuits all have in common is that they don’t the 2D material’s electrical properties.
require the device to drive a lot of current, so one layer of 2D The process starts by growing the WS2 on an oxide-­covered
material would probably be sufficient. silicon wafer. That’s then placed in contact with a specially pre-
pared wafer. This wafer has a layer of material that melts away
N either f u t u r e su per sm a ll 2D devices nor the less when illuminated by a laser. It also has a coating of adhesive.
demanding back-end-of-line circuits will be possible with- The adhesive side is pressed to the WS2-covered wafer, and the
out a fabrication process compatible with industry-standard 2D material peels away from the growth wafer and sticks to the
300-­millimeter silicon wafers. So our team at Imec is work- adhesive. Then the adhesive wafer with its 2D cargo is flipped
ing on just that, hoping to develop a process that will serve over onto the target silicon wafer, which in a real chipmaking
for all applications. effort would already have transistors and several layers of inter-
The first step is identify- connect on it. Next, a laser is shone through the wafer to break
ing the most promising 2D the bulk of it away, leaving only the adhesive and the WS2 atop
material and device archi- the target wafer. The adhesive is removed with chemicals and
tecture. We have therefore plasma. What’s left is just the processed silicon with the WS2
benchmarked a variety of attached to it, held in place by Van der Waals forces.
2D semiconductors and 2D The process is complicated, but it works. There is, of course,
FET architectures against room for improvement, most importantly in mitigating defects
an advanced silicon FinFET caused by unwanted particles on the wafer surface and in
device. eliminating some defects that occur at the edges.
Because researchers have
Chemicals and
5 the most experience with Once the 2D semiconductor has been deposited, build-
plasma scrub off the
adhesive to reveal the WS2 . molybdenum disulfide (MoS2), ing devices can begin. On that front there have been triumphs,
experimental devices made but some major challenges remain.

SPECTRUM.IEEE.ORG | FEB 2020 |  47
scattering problem is more pronounced because the interface
is the channel.
Sulfur vacancies are the most common defects that affect
device channel regions. Imec is investigating how different
Source Drain plasma treatments might make those vacancies less chemi-
Top gate Top cally reactive and therefore less prone to alter the transistor’s
(metal) dielectric behavior. We also need to prevent more defects from forming
after we’ve grown the monolayer. WS2 and other 2D materials
are known to age quickly and degrade further if already defec-
tive. Oxygen attacking a sulfur vacancy can cause more vacan-
cies nearby, making the defect area grow larger and larger. But
Bottom gate WS2 Bottom we’ve found that storing the samples in an inert environment
(silicon) semiconductor dielectric makes a difference in preventing that spread.
Defects in the semiconductor aren’t the only problems we’ve
2D TRANSISTOR: A two-gate device structure works best for devices encountered trying to make 2D devices. Depositing insulating
meant to exist in the interconnect layers of a chip. The tungsten disulfide
semiconductor is barely visible between the metal source and drain. materials on top of the 2D surface to form the gate dielectric is
Different dielectrics separate the semiconductor from the two gates. a true challenge. WS2 and similar materials lack dangling bonds
that would otherwise help fasten the dielectric to the surface.
Perhaps the most crucial issue to tackle is the creation of Our team is currently exploring two routes that might help:
defects in the WS2. Imperfections profoundly degrade the per- One is atomic layer deposition (ALD) at a reduced growth tem-
formance of a 2D device. In ordinary silicon devices, charge perature. In ALD, a gaseous molecule adsorbs to the semicon-
can get caught in imperfections at the interface between the ductor’s exposed surface to form a single layer. Then a second
gate dielectric and the channel region. These can scatter elec- gas is added, reacting with the adsorbed first one to leave an
trons or holes near the interface as they try to move through atomically precise layer of material, such as the dielectric

IMEC
the device, slowing things down. With 2D semiconductors the ­hafnium dioxide. Doing this at a reduced temperature increases

w
Best Ne
in
Journal
15
STM 20

Become a published author in 4 to 6 weeks.


IEEE Access is a multidisciplinary journal that allows you to:
• Reach millions of global users through the IEEE Xplore® digital library with free access to all
• Submit multidisciplinary articles that do not fit neatly in traditional journals
• Expect a rapid yet rigorous peer review—a key factor why IEEE Access is included in Web of Science
(and has an Impact Factor)
• Establish yourself as an industry pioneer by contributing to trending, interdisciplinary topics in one
of the Special Sections
• Integrate multimedia and track usage and citation data for each published article
• Connect with readers through commenting
• Publish without a page limit for only $1,750 per article

IEEE Access...a multidisciplinary open access journal


that’s worthy of the IEEE.
17-PUB-013 3/17

Learn more at: ieeeaccess.ieee.org

48  | FEB 2020 | SPECTRUM.IEEE.ORG
the ability of the gas molecules to stick to the surface of the tric; and we’re on a path to boost the mobility of charge carri-
WS2 even when no chemical bonds are available. ers in devices toward a level that could compare with silicon.
The other option is to enhance ALD by using a very thin oxi- But, as we’ve laid out, there are still significant problems
dized layer, such as silicon oxide, to help nucleate the growth remaining. These will require an intensive engineering effort
of the ALD layer. A very thin layer of silicon is deposited by and an even better fundamental understanding of this new class
a physical deposition method such as sputtering or evapo- of intriguing 2D materials. Solving these challenges will enable
ration; it’s then oxidized before a regular ALD deposition of high-performance devices that are scaled down to atomic layers,
gate oxide is done. We’ve achieved particularly good results but they might first bring new capabilities that need less demand-
with evaporation. ing specifications even as we continue to scale down silicon. n
A further challenge in making superior 2D devices is in choos-
ing the right metals to use as source and drain contacts. Metals POST YOUR COMMENTS AT spectrum.ieee.org/2dtransistors-feb2020
can alter the characteristics of the device,
depending on their work function. That
parameter, the minimum energy needed
to extract an electron from the metal, can
mean the difference between a contact
that can easily inject electrons and one
that can inject holes. So the Imec team
has screened a variety of metals to put
in contact with the WS2 nanosheet. We
found that the highest on-current in an
n-type device was obtained using a mag-
nesium contact, but other metals such
as nickel or tungsten work well. We’ll be
searching for a different metal for future
p-type devices.
Despite these challenges, we’ve been
able to estimate the upper limits of device
performance, and we’ve mapped out
what roads to follow to get there.
As a benchmark, the Imec team used
dual-gated devices like those we described
earlier. We built them with small, natu-
rally exfoliated flakes of WS2, which have
fewer defects than wafer-scale semicon-
ductors. For these lab-scale devices, we
were able to measure electron mobility
values up to a few hundred square centi­
meters per volt-second, which nearly
matches crystalline silicon and is close
to the theoretically predicted maximum
for the 2D material. Because this excellent Over 50 New Features & Apps in this New Version!
mobility can be found in natural mate-
rial, we are confident that it should also Over 500,000 registered users worldwide in:
be possible to get there with materials
synthesized on 300‑mm­wafers, which ■ 6,000+ Companies including 20+ Fortune Global 500
currently reach just a few square centi- ■ 6,500+ Colleges & Universities
meters per volt-second. ■ 3,000+ Government Agencies & Research Labs

F or s om e of t h e main challenges
®

ahead in 2D semiconductor develop-


ment, our team has a clear view of the www.originlab.com
solutions. We know, for example, how
25+ years serving the scientific and engineering community.
to grow and transfer the material onto a
300-mm target wafer; we’ve got an idea
For a 60-day FREE TRIAL, go to OriginLab.Com/demo and enter code: 8547
of how to integrate the crucial gate dielec-

SPECTRUM.IEEE.ORG | FEB 2020 |  49
IS IT TIME TO DRAG
Careers Rank Metro Area
Population, Eligibility
TECH JOBS OUT OF 2018 Index

SILICON VALLEY? 1 Madison, Wis. 660,422 1.63


SPREADING EMPLOYMENT
Minneapolis–St. Paul–
AROUND WILL LIKELY REQUIRE U.S. 2
Bloomington, Minn.–Wis.
3,629,190 0.68
GOVERNMENT INVOLVEMENT
3 Albany–Schenectady–Troy, N.Y. 883,169 0.66
SILICON VALLEY CONTINUES TO DOMINATE.
In every study I’ve seen, it has the lion’s share of tech 4 Lexington–Fayette, Ky. 516,697 0.58
jobs. Its engineers command the highest salaries. This is de-
spite the fact that over the years, various regions in the United 5 Rochester, N.Y. 1,071,082 0.53

States and worldwide have pitched themselves as the “next


6 Provo–Orem, Utah 633,768 0.47
­Silicon Valley” (or “Silicon Glen” or “Silicon Fen.” ) Some have
indeed increased their pool of tech jobs. But none have really Portland–Vancouver–
7 2,478,810 0.47
become a serious technology hub, outside of Boston, ­Seattle, Hillsboro, Ore.–Wash.
San Diego, and North Carolina’s ­Research Triangle.
8 Tucson, Ariz. 1,039,073 0.45
In fact, notes a recent study by the Brookings Institution,
Boston, Seattle, and San Diego plus Silicon Valley accounted
9 Pittsburgh, Pa. 2,324,743 0.40
for more than 90 percent of tech-job growth from 2005 to
2017. Other “superstar” metro areas are growing quickly. By 10 Salt Lake City, Utah 1,222,540 0.34
contrast, much of the rest of the United States is starving for
tech jobs—and losing ground. 11 Columbus, Ohio 2,106,541 0.30
The solution the Brookings’ researchers propose? Govern-
ment intervention. They suggest the federal government cre- Chicago–Naperville–Elgin, Ill.–
12 9,498,716 0.29
Ind.–Wis.
ate eight to 10 regional “growth centers” in the U.S. heartland.
Each area, they argue, should annually receive US $700 mil- Nashville–Davidson–
13 1,930,961 0.22
Murfreesboro–Franklin, Tenn.
lion in direct R&D funding for the next 10 years, workforce
development funding of $5 million per year, exemptions from 14 Akron, Ohio 704,845 0.19
certain regulations, and other benefits, for a total 10-year cost
of about $69 billion to $100 billion. 15 St. Louis, Mo.–Ill. 2,805,465 0.19
Where are these potential Silicon Valleys? The report iden-
tified 35 possibilities (we show 20 here), calculating an eli- 16 Boise City, Idaho 730,426 0.18
gibility index that considers factors like population and the
Milwaukee–Waukesha–West
presence of a university. Madison, Wis., came out on top, fol- 17 1,576,113 0.18
Allis, Wis.
lowed by the Minneapolis–St. Paul metropolitan area, the
­Albany area of New York, and the Lexington area of Kentucky. 18 Cincinnati, Ohio–Ky.–Ind. 2,190,209 0.16
—TEKLA S. PERRY
Buffalo–Cheektowaga–
An extended version of this article appears in our View 19 1,130,152 0.15
SOURCE: BROOKINGS INSTITUTION

Niagara Falls, N.Y.


From the Valley blog.
20 Kansas City, Mo.–Kan. 2,143,651 0.14
POST YOUR COMMENTS AT spectrum.ieee.org/techjobs-feb2020

DEPARTMENTS

50  | FEB 2020 | SPECTRUM.IEEE.ORG
Information Technology Data Engineer (Regions Bank – The Department of Electrical
Hoover, AL) Utilize minimum requirements to analyze, design, and Computer Engineering in
develop, test complex data transformation solutions for an
the College of Engineering at
Enterprise Data Warehouse system. Develop ETL programs
using Informatica ETL technology. Work with data stored in Texas A&M University invites applications for up to five full-time, tenured or
DB2, SQL Server, VSAM, ISAM, Oracle and flat files. Write/ tenure-track faculty positions with a 9-month academic appointment, and the
deploy stored procedures, tune SQL scripts/queries. Architect possibility of an additional summer appointment contingent upon need and
scalable performing ETL solutions. Build ETL solutions to
availability of funds, beginning the fall of 2020. Applicants will be considered for
extract and transform data from mainframe systems. Design
systems that are recoverable, auditable, parameter driven. the faculty titles of assistant professor, associate professor, and full professor
Build processes that meet Data Lifecycle management depending on qualifications. The successful applicant will be required to teach
standards. Work with Structured and Unstructured data. at the graduate and undergraduate levels; advise and mentor graduate students;
Implement ETL solutions. Processing and error handling
develop an independent, externally funded research program; participate in
techniques. Minimum Requirements: Must have a Bachelor’s
degree or equivalent in Computer Science, Information all aspects of the department’s activities; and serve the profession. Applicants
Systems, Electronics Engineering or related field and 5 years must have earned a doctorate in Electrical and Computer Engineering or a
of experience in the job offered or 5 years in a data warehouse closely related engineering or science discipline. Strong written and verbal
engineering position. Will accept educational equivalence communication skills are required. Applicants should submit a cover letter,
evaluation prepared by qualified evaluation service or in
accordance with 8 CFR 214.2(h)(4)(iii)(D). Education or curriculum vitae, teaching statement, research statement, diversity statement
work experience may have been obtained concurrently and (optional) and a list of four references (including postal addresses, phone
must include 5 years of experience in each of the following: numbers and email addresses) by applying for this specific position at http://
Informatica PowerExchange and PowerCenter tools and apply.interfolio.com/71388. For more information, contact Dr. Thomas
architecture, Oracle databases procedures, structures and
Overbye at overbye@tamu.edu.
data manipulation; Unix or LINUX scripting and navigation;
data warehouse modeling concepts; ETL standards, Texas A&M University is committed to enriching the learning and working
methodologies, guidelines and techniques; DBMS concepts of
environment for all visitors, students, faculty, and staff by promoting a
data structures and normalization. Must have legal authority to
work in U.S. Any suitable combination of education, training culture that embraces inclusion, diversity, equity, and accountability. Diverse
or experience is acceptable. Resume to Regions Bank, Attn: perspectives, talents, and identities are vital to accomplishing our mission and
Ethan Dorman, Talent Acquisition Partner, Riverchase Center, living our core values. Equal Opportunity/Affirmative Action/Veterans/Disability
2090 Parkway Office Circle, Hoover AL 35244.
Employer committed to diversity.

College of Computing Open Rank Faculty Search for the


Robotics/Mechatronics Assistant or Bruce Eisenstein Endowed Chair in
Associate Professor Positions (2) Electrical and Computer Engineering
Michigan Technological University’s College of The Electrical and Computer Engineering (ECE)
One of the most Computing invites applications for a tenure-track faculty Department at Drexel University, Philadelphia, PA
position at the Assistant or Associate Professor level
influential reference in the ETAC-ABET-accredited Electrical Engineering
invites applicants from all ranks (assistant, associate
and full professor) for the newly established Bruce
resources for engineers Technology (BS) program and the Mechatronics (MS)
program. The successful candidate will teach in the Eisenstein Endowed Chair faculty position. Qualified
around the world. areas of programmable logic controllers, robotics, candidates with an outstanding scholarly record,
commensurate with their academic rank, in any field
mechatronics, industrial control and automation, and
For over 100 years, Proceedings of the IEEE related topics in support of a new MS in Mechatronics, of ECE, as well as interdisciplinary and cross-cutting
which began accepting students in Fall 2019. areas, will be given full consideration.
has been the leading journal for engineers
Review of applications will begin immediately and
looking for in-depth tutorial, survey, and Applications should be made through the Drexel
continue until the position is filled. Applications should
be submitted online at http://www.jobs.mtu.edu/ Careers website for consideration. The application
review coverage of the technical
postings/8530. process is detailed at the following site: http://
developments that shape our world. drexel.edu/engineering/ece-jobs. General inquiries
Michigan Technological University is an Equal
should be directed to Dr. Baris Taskin, Search
Opportunity Educational Institution/Equal Opportunity
Employer, which includes providing equal opportunity Committee Chair, at ece.search@drexel.edu.
for protected veterans and individuals with disabilities. DREXEL UNIVERSITY is an Equal Opportunity/
Michigan Tech does not discriminate on the basis of Affirmative Action employer, welcomes individuals
To learn more and start race, creed, color, national origin, sex, marital status, from diverse backgrounds and perspectives,
your subscription today, visit sexual orientation, gender identity, age, disability, and believes that an inclusive and respectful
religion, honorably discharged veteran or military environment enriches the University community
ieee.org/proceedings-subscribe status, or the use of a trained guide dog or service
and the educational and employment experience
animal by a person with a disability. Persons requiring
reasonable accommodation in the application process of its members. Please visit our website to view all
or requiring information in an alternative format should University Policies https://drexel.edu/hr/resources/
contact Human Resources at mtujobs@mtu.edu or policies/ and Workplace Postings https://drexel.
906/487-2280.  edu/hr/resources/er/workplacepostings/.

SPECTRUM.IEEE.ORG | FEB 2020 | 51
PAST FORWARD BY ALLISON MARSH

OAK RIDGE ASSOCIATED UNIVERSITIES

FUN WITH “Users should not take ore samples out of their jars, for they tend to flake and crumble and you would run the
risk of having radioactive ore spread out in your laboratory.” Such was the warning that came with the Gilbert U-238
URANIUM! Atomic Energy Lab, a 1950s science kit that included four small jars of actual uranium. Budding young nuclear
scientists were encouraged to use the enclosed instruments to measure the samples’ radioactivity, observe radioactive
decay, and even go prospecting for radioactive ores. Yes, the Gilbert company definitely intended for kids to try this
at home. And so the company’s warning was couched not in terms of health risk but rather as bad scientific practice:
Removing the ore from its jar would raise the background radiation, thereby invalidating your experimental results. ■
↗ For more on the history of radioactive toys, see spectrum.ieee.org/pastforward-feb2020

52  | FEB 2020 | SPECTRUM.IEEE.ORG
The World’s
OP2
Courtesy of
ROBOTIS

Best
ROBOTS
GUIDE
Is Here!
ROBOTS.IEEE.ORG
IEEE Spectrum’s new ROBOTS site features more
than 200 robots from around the world.
• Spin, swipe and tap to make • Rate robots and check • Play Faceoff, an interactive
robots move. their ranking. question game.
• Read up-to-date robotics • View photography, videos
news. and technical specs.

Check out
Robots.ieee.org
on your desktop,
tablet, or phone now!
MATLAB SPEAKS
DEEP
LEARNING
With MATLAB,® you can build and deploy deep learning
models for signal processing, reinforcement learning,
automated driving, and other applications. Preprocess
data, train models, generate code for GPUs, and deploy
to production systems.

©2020 The MathWorks, Inc.


mathworks.com/deeplearning

You might also like