You are on page 1of 11

See discussions, stats, and author profiles for this publication at: https://www.researchgate.

net/publication/252290550

Imaging performance of the EUV alpha semo tool at IMEC

Article  in  Proceedings of SPIE - The International Society for Optical Engineering · April 2008
DOI: 10.1117/12.771983

CITATIONS READS
22 179

12 authors, including:

Anne-Marie M.F. Goethals Bart Baudemprez


imec imec
91 PUBLICATIONS   834 CITATIONS    17 PUBLICATIONS   169 CITATIONS   

SEE PROFILE SEE PROFILE

I. P. Kim Rik Jonckheere


Russian Academy of Sciences imec
100 PUBLICATIONS   5,012 CITATIONS    178 PUBLICATIONS   2,983 CITATIONS   

SEE PROFILE SEE PROFILE

Some of the authors of this publication are also working on these related projects:

Top Surface Imaging Technology View project

All content following this page was uploaded by Anne-Marie M.F. Goethals on 03 February 2015.

The user has requested enhancement of the downloaded file.


Imaging performance of the EUV alpha demo tool at IMEC
G. F. Lorussoa, J. Hermansa, A. M. Goethalsa, B. Baudempreza, F. Van Roeya, A. M. Myersb, I.
Kimc, B. S. Kimc, R. Jonckheerea, A. Niroomandd, S. Loke,
A. Van Dijke, J. -F. de Marneffea, S. Demuyncka, D. Goossensa, and K. Ronsea
a
IMEC, Kapeldreef 75, B-3001 Leuven, Belgium
b
On assignment from Intel
c
On assignment from Samsung
d
On assignment from Micron
e
ASML, De Run 6501, 5504 DR Veldhoven, The Netherlands

ABSTRACT

Extreme Ultraviolet Lithography (EUVL) is the leading candidate beyond 32nm half-pitch device manufacturing.
Having completed the installation of the ASML EUV full-field scanner, IMEC has a fully-integrated 300mm
EUVL process line. Our current focus is on satisfying the specifications to produce real devices in our facilities.
This paper reports on the imaging fingerprint of the EUV Alpha Demo Tool (ADT), detailing resolution, imaging,
and overlay performance. Particular emphasis is given to small pitch contact holes, which are a critical layer for
advanced manufacturing nodes and one of the most likely layers where EUVL may take over from 193nm
lithography. Imaging of contact holes, pattern transfer and successful printing of the contact hole level on a 32nm
SRAM device is demonstrated. The impact of flare and shadowing on EUV ADT performance is characterized
experimentally, enabling the implementation of appropriate mitigation strategies.
Keywords: EUV lithography, shadowing, flare.

1. INTRODUCTION
There is widespread industry consensus that Extreme Ultraviolet Lithography (EUVL) is the leading candidate
beyond the 32 nm node. By installing an ASML EUV full-field scanner [1-3], IMEC now has a fully-integrated,
300mm process line capable of properly investigating EUVL readiness for high-volume manufacturing (HVM).
The EUVL program at IMEC is aimed to tackle the open issues of EUV lithography. EUV resists have to
simultaneously satisfy resolution, sensitivity, and LER specifications (as well as meeting new outgassing
qualification specifications). Topics such as flare (caused by light scattered from roughness in the optics) and
shadowing (a consequence of the surface topography of multilayer masks) are now added to the list of the
development requirements in need of understanding, quantification and ultimately mitigation.
The EUV ADT is specified for 40nm half pitch resolution, 30nm isolated lines and 55nm contact holes. This paper
reports on the imaging fingerprint of the EUV ADT, detailing resolution, imaging, and overlay performance. Both
imaging and pattern transfer are demonstrated for contact holes. In addition, this work characterizes experimentally
the impact of flare and shadowing on EUV ADT performance.

2. EXPERIMENTAL CONDITIONS
Wafers were exposed on the EUV ADT from ASML with a numerical aperture (NA) of 0.25 and a partial
coherence (σ) of 0.5. For resist processing, a TEL CLEAN-ACT12 track was used. Two different resist processes
were used for imaging: the Fuji FEVS-P1101 was used with a thickness of 80 nm and the Rohm&Haas XP5271I
(MET2D) was used with a 90 nm thickness. For the Kirk test, the Rohm&Haas XP4502J 150nm thick was used. A
surfactant containing developer (Optiyield) was used. Process conditions for all resists can be found inTable 1.
Top-down CD SEM metrology was done using the Hitachi H-9380 and Hitachi CG4000 with a 70% threshold for
lines/spaces and 30% threshold for contact holes. X-sections were made using the FEI Nova NanoSEM 200. For
overlay metrology, a KLA-Tencor ArcherAIM was used.

Emerging Lithographic Technologies XII, edited by Frank M. Schellenberg


Proc. of SPIE Vol. 6921, 69210O, (2008) · 0277-786X/08/$18 · doi: 10.1117/12.771983

Proc. of SPIE Vol. 6921 69210O-1


2008 SPIE Digital Library -- Subscriber Archive Copy
Table 1 Process conditions for the three resist processes used on the EUV ADT
Fuji FEVS-P1101 Rohm&Haas XP5271I (MET2D) Rohm&Haas XP4502J
Resist thickness 80nm 90nm 150nm
Soft-bake 120 ºC, 90 sec. 130 ºC, 60 sec. 120 ºC, 60 sec.
Post-exposure bake 110 ºC, 90 sec. 110 ºC, 60 sec. 110 ºC, 60 sec.
Development Optiyield, 60 sec. Optiyield, 45 sec. Optiyield, 45 sec.
The patterning strategy is based on a TiN metal-hard-mask approach, allowing for the etching of high aspect-ratio
features into the PMD (Pre-Metal Dielectric) dielectric using thin resist. The etch process is performed using a
Lam Research BEOL 2300® platform, equipped with a Versys® and an Exelan®Flex™ chambers. The sequence
involves first a step in the Versys® chamber with a Cl2/O2/He BARC (Bottom Anti-Reflective Coating) opening,
followed by a Cl2/HBr for the TiN etch step, then an in-situ photoresist ash with O2/Cl2. Afterwards, the wafer is
brought to atmosphere in order to proceed to CD SEM inspection Then, the SiO2 dielectric is etched in an
Exelan®Flex™ chamber, using an high-power fluorocarbon-based plasma, allowing deep anisotropic etching with
high selectivity towards the top TiN hardmask and the bottom Si3N4 etchstop liner.

3. LINE / SPACE IMAGING


Fig. 1 shows dense (L/S ratio 1:1) and isolated lines printed simultaneously for nominal Critical Dimension (CD)
ranging from 40nm down to 32nm. The resist used is the Fuji FEVS-P1101 with thickness of 80nm. The exposure
was performed at best focus, with a dose of 11.5 mJ/cm2. The measured CD’s for designed values of 40nm, 35nm
and 32nm for the dense line and isolated lines are reported. Dense and isolated lines were simultaneously printed
for both orientations, and very good linearity was observed up to 100nm. The elbows in Fig. 1 show a difference in
CD between horizontal and vertical lines, partially caused by shadowing.

40nm 35nm 32nm

fr
• CD DL = 38.0nm CD DL = 36.1nm CD DL = 30nm
CD ISO = 34.1nm CD ISO = 30.4nm CD ISO = 21.5nm

40nm 35nm 32nm

Fig. 1: Resolution of line / space down to 32nm. Both horizontal and vertical features are printed, as well as isolated
and dense lines

Proc. of SPIE Vol. 6921 69210O-2


Fig. 2 shows the coherence curve for 40nm lines through pitch. The resist used is the Fuji FEVS-P1101 with
thickness of 80nm. The exposure was performed at best focus, with a dose of 11.5 mJ/cm2. No optical proximity
correction was applied to the design. A full range of the proximity effect of less than 5nm is observed, indicating
the relatively week impact of proximity in EUV lithography, as expected when imaging at high k1-factor.

50

Measured CD (nm)
45

40

35

30
80 110 140 170 200 230
Pitch (nm)
Fig. 2: CD through pitch curve for 40nm CD.
Process latitudes have been measured for 40nm line /space. Exposure latitude of 14.9% and focus latitude larger
than 240nm were obtained for the Rohm&Haas XP5271 (MET2D) resist. Fig. 3 illustrates the 40nm L/S through
focus.

CD = 40.0nm CD = 38.5nm CD = 38.7nm CD = 38.0nm CD = 37.4nm


—r

[11411111 I'tIt.ItIjI.
1-
arrr

F = BF - 80nm II&IiItI,I$p1l
F = BF – 40nm F = Best Focus ITIi1!II I ll'Hi
F = BF + 40nm F = BF + 80nm

46

42
CD (nm)

38

34

30
-0.10 0.00 0.10 0.20 0.30
Focus ( µm)

Fig. 3: 40nm dense lines through focus in MET-2D resist (top), and plot of CD through focus (bottom) indicating a
focus latitude of 240nm.

Proc. of SPIE Vol. 6921 69210O-3


4. CONTACT HOLE IMAGING
Small pitch contact holes are critical for advanced manufacturing nodes and likely one of the first layers that will
be implemented in EUVL. Fig. 4 demonstrates the resolution of 1:1 contact holes down to 35nm in the two resists
used for imaging. The exposure was performed at best focus. Exposure dose was adjusted to print the contact holes
to target. Although both resists have a similar resolution, the Fuji FEVS-P110 has a higher sensitivity.

0000 QOC)OC 343 ))


55nm 50nm 45nm
J '—p
40nm
>o000° 35nm

0000 000CC 00000


moon ••)

0000 QDOOC I 00000 000


0000 0000
— .- i-'
E=28 mJ/cm2 ,p99°2E=30 mJ/cm%
2
C E=36 mJ/cm2 E=40 mJ/cm2 E=42 mJ/cm2

00 ¼)') '-'
Sdooo 00000 )0000°
(3 40nm

T'i1!ss,

.71
55nm 50nm 45nm 35nm
)000C
-' -)0000 0000 00000
ocoC o000 000S• Q0000
)00000
. o0000
E=18.5 mJ/cm2 E=18.5 mJ/cm2 E=20.5 mJ/cm2 )Q0000
E=24.5mJ/cm 2
E=32.5mJ/cm2

Fig. 4: Resolution of contact holes down to 35nm in Rohm&Haas XP5271I (top) and in Fuji FEVS-P1101 (bottom).
As in the case of line / space, contact hole are not affected by proximity, as shown in Fig. 5 for 45nm features. The
resist used is the Fuji FEVS-P1101 with thickness of 80nm. The exposure was performed at best focus, with a dose
of 22.5 mJ/cm2. The CD measured is reported on the pictures.

0000€ I45nm 1:1.4


45nm 1:1 45nm Iso
>ooooc
,0000 I
)0000C
ooO) — a — S I
CD = 46.8nm
0
CD = 48.8nm CD = 48.5nm
Fig. 5: 45nm contact holes through pitch illustrating low proximity effect
In terms of process latitude, the experimental results are compared to simulations performed with SOLID-EUV.
Fig. 6 shows contact hole imaging indicating focus latitude larger than 200nm. Fig. 7 shows the simulated process
window for the 40nm contact holes in Fig. 6, for different bias at mask level from 35nm up to 50nm. Simulations
were carried out using the Solid-EUV program from Synopsis, using a resist model calibrated to MET2D resist.
Experimentally a focus latitude larger than 200nm was measured for 40nm dense contacts, as shown in Fig. 7 on
the left, which is in good agreement with the simulated predictions. Similar agreement was found in terms of
exposure latitude.
UL' ''
ooooo 00000 QOOL ZioooC oo000 00000C
jCOQ •>O
00000 00000 ,000)C )0000c? 000 fl C
o0000 )nQ)O9 (,c3000 )Q0000 )00000 , o" n 9 a
U i) 1 D00000 00000 >oooQ°
000 o
BF +a80nm
fl BF +120nm
o0000C
BF – 120nm BF – 80nm ,)OOC)C
BF – 40nm Best Focus BFU
+ 40nm

Fig. 6: Contact holes images indicating focus latitude larger than 200nm.

Proc. of SPIE Vol. 6921 69210O-4


45

43

E,posure Latitude (%)


41
CD (nm)

39

37

35
-0.20 -0.10 0.00 0.10 0.00 0.05 0.10 0.15 0.20

Focus (µm) Depth at Eaaus

Fig. 7: Experimental focus latitude (left) and simulated process window (right) for 40nm contact holes indicating a
focus latitude larger than 200nm.
Fig. 8 shows cross section for isolated 40nm contact hole through focus, clearly indicating that the contact holes
are indeed open through the wide focus latitude of at least 200nm. . In this case the resist used is the Fuji FEVS-
P1101 with a thickness of 80nm. The exposure was performed with a dose of 24.5 mJ/cm2
- cr
a BF – 120nm WV
9.
BF – 80nm

——
BF – 40nm w—
Best Focus ..
BF + 40nm

\ BF + 80nm ,.— 1flW&,Q1tt:Ci1 J


Fig. 8: 40nm isolated (1:6) contact hole cross section through focus.

5. FLARE AND SHADOWING


The flare level on EUVL tools is expected to be high compared to optical scanners, being inversely proportional to
wavelength squared and proportional to the mirror surface roughness [4-7]. The flare specification for the EUV
ADT is less than 16% which nevertheless could cause a significant degradation of the patterning performance of
the tool. In fact, especially on bright field reticles like the poly layer this can reduce image contrast and therefore
exposure latitude. The impact of flare on printing performance is investigated by simulation using SOLID-EUV.
The point spread function (PSF) of the ADT projection optics as determined by Carl Zeiss, Inc. was used as
simulation input, together with an MET-2D resist model. The calculated light intensity under a 2 µm line centered

Proc. of SPIE Vol. 6921 69210O-5


in a large bright field window gives the expected flare level in the EUV ADT of about 16%. However, it is
observed that by limiting the scan to a 2mm area, the simulation predicts that flare drops to about 7.4%. This result
is investigated experimentally by performing a Kirk test scanning an area of about 4mm. The results, reported in
Fig. 9 below, indicated that the 2µm line disappears at about 20mJ/cm2. The resist Rohm Haas XP4502J is used,
having a thickness of 150nm, and an Eo = 2mJ/cm2. This indicated a flare of about 10%, in agreement with the
simulation prediction. These results support the idea that the flare contribution could be reduced by introducing a
flare stop at wafer level [8]. The idea of an additional flare stop at wafer level was proposed with the goal of
further reducing the through scan flare and inter-die flare.
12mJ/cm2 13mJ/cm2 14mJ/cm2 15mJ/cm2 16mJ/cm2 17mJ/cm2 18mJ/cm2 19mJ/cm2 20mJ/cm2 21mJ/cm2 22mJ/cm2

Fig. 9: Kirk test using a 2µm line.


We previously reported [3,8] our analysis of the impact of flare on the printing performance of the EUV ADT, by
simulating features having various CD in a variety of flare conditions. These results indicated that it is possible to
implement an accurate flare compensation strategy by knowing in detail the effect of flare on CD and the spatial
distribution of the flare through the design. In order to confirm the expected dependency, flare was modulated by
exposing areas having different density as well as by using various REMA blade openings. The measured CD for
features ranging from 50nm up to 100nm is plotted versus the predicted change in flare in Fig. 10. The
experimental results are compared with simulated prediction for 50nm and 60nm CD lines calculated using
SOLID-EUV. The correlation between simulation and experiment is quite good, thus indicating the feasibility of
the proposed flare correction strategy.

110
50nm
100 60nm
70nm
90 80nm
90nm
80 100nm
CD (nm)

70

60
SIMULATION 60nm
50
SIMULATION 50nm
40

30

20
0 2 4 6 8 10
∆ Flare (%)

Fig. 10: Comparison between experimental and simulated flare rules.

Proc. of SPIE Vol. 6921 69210O-6


In terms of shadowing [9,10], it is well known that the effect is caused by the fact that the projection optics of a
EUV system is telecentric at the image side, but non-telecentric at the mask side because of oblique illumination.
Our intention here is to verify our predictions calculated by using SOLID-EUV, which are indeed the base of our
compensation strategy. It is known that shadowing will depend on feature orientation. In particular, horizontal
features are expected to be always larger than vertical ones, although the difference between horizontal and vertical
features is expected to be the largest in the center of the slit. By contrast, the difference in between features
oriented at 45o and 135o is supposed to be zero in the middle of the slit. In addition, the 45o features will print
thicker than the 135ofeatures on one edge of the slit, and thinner on the other edge. This peculiar behavior is shown
in the simulation presented in Fig. 11, where mask error enhancement factor (MEEF) is taken into account. The
experimental results in Fig. 11 are in good agreement with the numerical results. No flyer removal or any other
normalization of the experimental data was used.

10 10
V-H simulation V-H experiment
45-135 simulation 45-135 experiment

Bias (nm)
Bias (nm)

0 0

-10 -10
-20 -10 0 10 20 -20 -10 0 10 20
Slit Position (au)
(mm) Slit Position (mm)

Fig. 11: Simulation and experiment of shadowing bias through slit.

6. PROCESS IMPLEMENTATION: 32NM SRAM


Contact hole patterning is considered the most suitable layer to initially demonstrate EUV performance.
Implementation of EUV lithography at the contact hole level of a 32nm node 6 transistor SRAM cell using FinFET
technology has been investigated. The cell size is 0.186 µm2. In terms of imaging 55nm contact holes and 60nm
boomerang type contacts need to be printed.
There are two major requirements for implementation in device processing: 1) the resist material to be used should
besides having good imaging performance, also provide adequate dry etch resistance and 2) the overlay
requirements should be met.
In order to satisfy the overlay requirement, machine-to-machine overlay performance of the ADT to a state-of-the-
art dry ArF scanner (ASML XT:1450) has been checked first. These results were obtained by using the
Rohm&Haas XP4502J resist. The first results, with no optimization, indicated a 3σ residual of less than 20nm over
the full field size of the ADT (26mm x 24mm), which indicated the success of the alignment strategy. The overlay
residual after correction is shown in Fig. 12. The scale is 0.025 µm.

Proc. of SPIE Vol. 6921 69210O-7


Fig. 12: Machine-to-machine overlay (ADT to XT:1450) after correction, indicating a 3σ of 14.6nm (X) and 19.7nm
(Y).
Imaging of 55nm contact holes could easily be achieved in both resists as already illustrated in Fig. 4 and moreover
their etch resistance is comparable to 248nnm materials. For the actual implementation, Fuji FEVS-P1101 has
been used with a thickness of 80nm. On 55nm dense contacts wide process latitudes have been obtained, over
35% exposure latitude was achieved.
In Fig. 13 the 32nm SRAM cell design is shown (the contact level in black). The simulated image in resist was
obtained using SOLID-EUV, and the resulting image used Fuji FEVS-P1101 resist. The contact hole level was
printed using EUVL, while all other levels, such as gate, FINs and others were printed on an ASML /1700i ArF
immersion tool. The exposure was performed at best focus, and no optical proximity correction was applied to the
design. The dose used to target the 55nm SRAM contact hole was 14.5 mJ/cm2.

Design Simulated image in resist After litho

•iuI
.
.
III.
Fig. 13. 32nm SRAM design (left), simulation in resist (center) and results after litho (right).
Pattern transfer in a TiN hard mask was successfully carried out using the 80nm resist thickness as well as
subsequent transfer into the PMD (pre-metal dielectric) oxide layer. In Fig. 14, the top/down pictures after litho
and after pattern transfer into respectively hard mask and oxide layer are shown as a function of exposure dose.
Fig. 15 illustrates the contact hole in X-section after the full stack etch. These results clearly indicate the potential
of EUVL.

Proc. of SPIE Vol. 6921 69210O-8


13.5mJ/cm2 14mJ/cm2 14.5mJ/cm2 15mJ/cm2 15.5mJ/cm2

Litho

After
Hard Mask
etch

After Oxide
etch

Fig. 14: Pattern transfer and process latitude for 32nm SRAM cell.

Fig. 15: X-sectional photo of contact hole after pattern transfer in the oxide layer.

7. CONCLUSIONS
It has been demonstrated that EUV lithography can easily perform down to a resolution of 35nm for lines and
spaces, as well as for contact holes on the ASML ADT and with the current resist materials. A wide process
latitude, as well as good linearity and weak influence of proximity effects were observed. Numerical prediction in
terms of flare and shadowing were confirmed, thus enabling the implementation of the appropriate corrective
actions. The preliminary results on 32nm SRAM device development indicate good transfer pattern, acceptable
overlay and above all high quality imaging without OPC.

8. ACKNOWLEDGEMENTS
We acknowledge the ASML EUV alpha demo tool team (especially Bill Pierson, Brian Lee, Bart Kessels, Jim
Waddell, Richard Gutierrez, Emil Schmitt-Weaver, Sander Bouten, Sang In Han, Joerg Mallmann, Judy Galloway,
Michael Crouse, Robert Routh, John Zimmerman, Leon Romijn, Rick Zachgo, Brian Niekrewicz and Jim
Weidman, Youri van Dommelen, Gerrit Kremer) for their vast share in the experimental printing work on the
ADT. We thank the EUV management team at ASML (Hans Meiling, Noreen Harned, Len Pearce, Kevin
Cummings, Bas Hultermans, Ron Kool) for their driving enthusiasm towards production-ready maturity of EUV
lithography. CNSE/AMD/IBM at Albany (especially Michael Tittnich, Obert Wood, David Medeiros) is gratefully
acknowledged for ADT tool access, collaboration and constructive discussions. Sematech has been very supportive
in providing CD SEM access in Albany. and TEL for providing track support. The Hitachi CD-SEM team at IMEC
(Toru Ishimoto, Mayuka Iwasaki and Kohei Sekiguchi) is acknowledged for the support in the automated

Proc. of SPIE Vol. 6921 69210O-9


measurements. The authors would like to thank Synopsys for the great support on SOLID-EUV which was used
for all simulations reported here, and in particular Thomas Schmoeller for useful discussion and Brian Ward for
continuous support. We thank G. Vandenberghe, S. Cheng, D. Laidler, S. Verhaegen (IMEC) for continuous
support and fruitful discussion. The experimental work could not have been done without the very well appreciated
contribution of the distinct IMEC Partners in the Advanced Lithography Program.

REFERENCES
[1]
H. Meiling, et al, "First performance results of the ASML alpha demo tool", Proc. SPIE 6151, 615108 (2006).
[2]
N. Harned, A. M. Goethals, R. Groeneveld, P. Kuerz, M. Lowisch, H. Meijer, H. Meiling, K. Ronse, J. Ryan,
M. Tittnich, H. J. Voorma, J. Zimmerman, U. Mickan, and S. Lok, "EUV lithography with the Alpha Demo Tools:
status and challenges", Proc. SPIE 3838, 651706 (2007).
[3]
A.M. Goethals, R. Jonckheere, G. F. Lorusso, J. Hermans, F. Van Roey, A Miers, M Chandhok, I Kim, A
Niroomand, F Iwamoto, N Stepanenko, R Gronheid, B Baudemprez, K Ronse, "EUV lithography program at
IMEC", Proc. SPIE 3838, 651709 (2007).
[4]
M. Chandhok et. al., "Implementing Flare Compensation for EUV Masks Through Localized Mask CD
Resizing", Proc. SPIE 5037, 58 (2003).
[5]
M. Chandhok, S. H. Lee, C. Krautschik, B. J. Rice, E. Panning, M. GoldStein and M. Shell, "Determination of
the Flare Specification and Methods to Meet the CD Control Requirements for the 32 nm Node Using EUVL",
Proc SPIE 5374, 86-95 (2004) .
[6]
M. Chandhok, S. H. Lee and T. Bacuita, "Effects of Flare in Extreme Ultraviolet Lithography: Learning from
the Engineering Test Stand", JVST B 22(6), 2966-2969 (2004).
[7]
M. Chandhok, et. al., "Comparison of Techniques to Measure the Point Spread Function due to Scatter and
Flare in EUV Lithography Systems", Proc. SPIE 5374, 854 (2004).
[8]
G.F. Lorusso, A.M. Goethals, R. Jonckheere, K. Ronse, A. M. Myers, I. Kim, A. Niromaand, F. Iwamoto, D.
Ritter, "Extreme ultraviolet lithography at IMEC: Shadowing compensation and flare mitigation strategy", JVST B
25(6), 2127 (2007).
[9]
K. Otaki, "Asymmetric properties of the areal image in extreme ultraviolet lithography", Jpn. J. Appl. Phys.
39, 6819-6826 (2000).
[10]
T.V. Pistor, A.R. Neureuther, R.J. Socha, "Modeling oblique incidence effects in photomasks" SPIE 4000, 228
(2000).

Proc. of SPIE Vol. 6921 69210O-10

View publication stats

You might also like