Professional Documents
Culture Documents
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity CLK is
Port ( CLKIN : in STD_LOGIC;
CLKOUT : out STD_LOGIC);
end CLK;
entity DECODIFICADOR is
port (
a, b, c, d, e, f, g : out std_logic;
S3, S2, S1, S0 : in std_logic
);
end entity;
end process;
end architecture;
-----------------------------------------------------------------------------------
----------------------
jk
library ieee;
use ieee. std_logic_1164.all;
use ieee. std_logic_arith.all;
use ieee. std_logic_unsigned.all;
entity JK_FF is
PORT( J,K,CLOCK: in std_logic;
Q, QB: out std_logic);
end JK_FF;
begin
process(y)
begin
if (y='1') then
j0 <= ((q3n) and (q1n) and (q0n) );
j1 <= ((q3n) and (q1n) and (q0n) );
j2 <= (((q1) and (q0))or ((q3)and(q0n)));
j3 <= ((q2) and (q1) );
k0 <= ((q3n) and (q2n) and (q0) );
k1 <= ((q2) and (q1) );
k2 <= ((q2) and (q1) );
k3 <= (q3);
elsif (y='0')then
j0 <= (((q3n)and (q2n)and(q1n))or((q2)and(q1)));
j1 <= (q3);
j2 <= (q3);
j3 <= (((q2)and (q1n)and(q0n))or((q2n)and(q1n)and(q0)));
k0 <= ((q1)and (q0));
k1 <= ((q1)and (q0));
k2 <= (((q1n)and (q0n))or((q2)and(q1)and(q0n)));
k3 <= q3;
end if;
end process;
end Behavioral;