You are on page 1of 2

getNanoRouteMode

getNanoRouteMode
[-dbSkipAnalog]
[-dbViaWeight]
[-drouteAntennaEcoListFile]
[-drouteAutoStop]
[-drouteEndIteration]
[-drouteFixAntenna]
[-drouteMinLengthForWireSpreading]
[-drouteMinLengthForWireWidening]
[-drouteMinSlackForWireOptimization]
[-drouteNoTaperInLayers]
[-drouteNoTaperOnOutputPin]
[-drouteOnGridOnly]
[-droutePostRouteLithoRepair]
[-droutePostRouteSpreadWire]
[-droutePostRouteSwapVia]
[-droutePostRouteWidenWire]
[-droutePostRouteWidenWireRule]
[-drouteSearchAndRepair]
[-drouteSignOffEffort]
[-drouteStartIteration]
[-drouteUseMultiCutViaEffort]
[-envAdvancedIntegration]
[-envNumberFailLimit]
[-envNumberProcessor]
[-envNumberWarningLimit]
[-routeAllowPinAsFeedthrough]
[-routeAntennaCellName]
[-routeAntennaPinLimit]
[-routeBottomRoutingLayer]
[-routeConcurrentMinimizeViaCountEffort]
[-routeDesignFixClockNets]
[-routeDesignRouteClockNetsFirst]
[-routeEcoOnlyInLayers]
[-routeExtraViaEnclosure]
[-routeFixTopLayerAntenna]
[-routeHonorPowerDomain]
[-routeIgnoreAntennaTopCellPin]
[-routeInsertAntennaDiode]
[-routeInsertDiodeForClockNets]
[-routeMinShieldViaSpan]
[-routeReserveSpaceForMultiCut]
[-routeReverseDirection]
[-routeSelectedNetOnly]
[-routeStrictlyHonorNonDefaultRule]
[-routeStripeLayerRange]
[-routeTieNetToShape]
[-routeTopRoutingLayer]
[-routeTrunkWithClusterTargetSize]
[-routeWithEco]
[-routeWithLithoDriven]
[-routeWithSiDriven]
[-routeWithTimingDriven]
[-routeWithViaInPin]
[-routeWithViaOnlyForStandardCellPin]
[-quiet]

Displays the following information about the setNanoRouteMode command in the EDI System log file and in the EDI System console:

Option name
Current value
Type (Boolean, string, and so on)
Whether the current value was set by user

If you do not specify a parameter, the software displays information for all of the setNanoRouteMode mode parameters.

Parameters

Outputs a brief description that includes the type and default information for each getNanoRouteMode parameter.
-help
For a detailed description of the command and all of its parameters, use the man command man getNanoRouteMode .
parameter_names Displays information for the specified parameters. You can specify one or more parameters.

See setNanoRouteMode for descriptions of the parameters you can specify.


-quiet Displays the current settings for the specified parameters in Tcl list format only.

If you specify -quiet without any parameters, the software displays the current settings of all setNanoRouteMode parameters in Tcl list
format.

Examples

The following command displays the current setting of the - drouteFixAntenna parameter: getNanoRouteMode -drouteFixAntenna

The software displays the following information:


-drouteFixAntenna true # bool, default=true
true

The following command displays the current setting for the - drouteFixAntenna parameter in Tcl list format only: getNanoRouteMode -quiet -drouteFixAntenna
The software displays the following information:
true

The following command displays the current settings for all setNanoRouteMode parameters:
getNanoRouteMode

The following command displays the current settings for all setNanoRouteMode parameters in Tcl list format only:
getNanoRouteMode -quiet

Related Topics

Using the NanoRoute Router chapter in the EDI System User Guide

https://free-online-ebooks.appspot.com/enc/14.17/fetxtcmdref/getNanoRouteMode.html
Created with PrintWhatYouLike.com
https://free-online-ebooks.appspot.com/enc/14.17/fetxtcmdref/getNanoRouteMode.html
Created with PrintWhatYouLike.com

You might also like