You are on page 1of 24

314 pp.

314-337

Advances in software defined radio


Walter H W T U T T L E B E E *

Abstract
Progress in software defined radio has been rapid, since the term was first coined in the mid
1990s. In this brief overview we summarise some of the recent advances in software defined radio
from a range of technology perspectives - radio frequency design, baseband reconfigurability,
reconfigurable applications and protocols and regulatory (and related network) aspects. Our
frame of reference for this" article is commercial mobile telecommunications, although many of
the technology advances are of relevance and application in other arenas, such as defence, satel-
lite communications and broadcasting. Software defined radio has come a long way very quickly,
but in reality is still in its infancy - the next decade will see substantially further advances.
This paper draws heavily upon two books on this subject recently edited by the author -
the contributors to those books are listed at the end of this article and the work of these pio-
neers o f software radio is fully and most gratefully acknowledged. Additional detail on
issues covered in this article may be found in specific chapters of these books, referenced as
[Name] within the text.
Key words: Software radio, Technical progress, Review, Reconfigurable circuit, Mobile service radiocommu-
nication, Mobile station, Radio frequency, Baseband, Telecommunication regulation.

PROGRI~S EN RADIO LOGICIELLE

R~sum~
Les progr~s en radio logicielle ont 6t6 rapides puisque le terme n ' e s t apparu que dans la
s e c o n d e moiti6 des ann6es 1990. Cette br~ve synth~se rdsume quelques-uns des progr~s en
r a d i o d 6 f i n i e p a r l o g i c i e l selon divers a s p e c t s t e c h n i q u e s : c o n c e p t i o n r a d i o f r d q u e n c e ,
r e c o n f i g u r a b i l i t 6 en bande de base, a p p l i c a t i o n s de p r o t o c o l e s r e c o n f i g u r a b l e s , a s p e c t s
r6glementaires. Le cadre de r6f6rence est celui des t616communications m o b i l e s c o m m e r -
ciales, m a i s n o m b r e de ces progr~s techniques s ' a p p l i q u e n t aussi dans d ' a u t r e s d o m a i n e s
tels que la ddfense, les t616communications p a r satellite et la radiodiffusion. L a r a d i o logi-
cielle s ' e s t d6veloppde tr~s r a p i d e m e n t mais elle est encore tr6s jeune et l ' o n peut parier
que la p r o c h a i n e d6cennie en verra des am61iorations consid6rables. L'article repose essen-
t i e l l e m e n t sur deux ouvrages publi6s r 6 c e m m e n t sous la direction de l ' a u t e u r et dont les
c o n t r i b u t e u r s sont cit6s en conclusion. L ' a u t e u r l e u r est r e c o n n a i s s a n t et les r e m e r c i e
d ' a v o i r fait oeuvre de pionniers. II renvoie le lecteur, p o u r des c o m p l d m e n t s 6ventuels, aux
diff6rents chapitres de ces deux ouvrages.
Mots el~s : Radio logicielle, Progr~s technique, Article synth~se, Circuit reconfigurable, Radiocommunication
service mobile, Station mobile, Radiofrdquence, Bandede base, Rdglementation tdldcommunication.

*Virtual Centre of Excellence in Mobile & Personal Communications (Mobile VCE) -- Grove House, Lutyens Close,
Chineham Court - Basingstoke, RG24, 8AG, UK - walter.tuttlebee@movilevce.com

ANN. TELI~COMMUN., 57, n~ 5-6, 2002 1/24


W. T U T T L E B E E -- ADVANCES IN SOFTWARE DEFINED RADIO 315

Contents
I. Introduction V. Regulatory Aspects
II. Radio Frequency Design VI. Conclusions
III. Baseband Reconfigurability References (2 ref )
IV. Reconfigurable Applications and Protocols

I. I N T R O D U C T I O N

The underlying concepts of software radio are not new - software radio represents the
confluence of digital radio and downloadable software, technologies which came to the fore
in the 1990s with the commercial advent of digital cellular radio and the Internet. The poten-
tial of this synergy, as it extends beyond the user's terminal to the network with which it
interacts, is highly significant and, like any concept with major infrastructure implications,
will take longer than initial speculations suggest to reach its full potential.
Since the term "software radio" first emerged from its origins within the defence industry
to gain public prominence in the mid-1990s, there have been significant advances, both in the
technologies required to eventually enable "pure" software radio and in early commercialisa-
tion of the "pragmatic" variety. In particular, the market discontinuity created by the advent o f
3G is seen as offering real commercial opportunity for creative innovators to exploit the
enabling technologies of software radio, initially software defined radio, in the form of new
semiconductor devices for handsets and basestations and the introduction of more dynamic
and flexible mass-customised services. Longer term, software radio offers substantial potential
for improved spectrum usage and easier upgrades of operators' network infrastructure. Howe-
ver, regulatory issues must be faced and solutions found if this potential is to be realised.
The arrival of the concepts of software radio on European shores was heralded by the
Brussels Workshop on Software Radio organised by the European Commission in May 1997.
This event saw keynote speakers invited from the North American defence industry (Mitola,
Bonser et al) to present their concept of software radio, alongside more local speakers, inclu-
ding the author of this article, to an audience largely from the commercial wireless industry.
A clear distinction was evident in those early days, to a degree reflecting the different indus-
try cultures, which has since that time led to two complementary tracks of research and
implementation, sometimes referred to as "pure" and "pragmatic" software radio, the latter
sometimes also referred to as software defined radio (as distinct from just "software radio").
Both streams however have increasingly drawn from the other.

T A/D Converter
D/AConverter r
Baseband L
Pr~ r
J Information
1

FIG. 1. -- "Pure" Software Radio Architecture.


Architecture de radio logiciellepure.

2/24 ANN. TIELI~COMMUN., 57, n~ 5-6, 2002


316 w . T U T T L E B E E -- ADVANCES IN SOFTWARE DEFINED RADIO

The early vision of ("pure") software radio was based around the idea of direct analogue-
to-digital conversion at the antenna, with all signal processing (signal selection, demodula-
tion, subsequent error control and baseband processing) performed in software running on a
digital processing engine, Figure 1.
Such implementations had in fact existed at very low frequencies for defence applications
since the 1970s [Bonser]. Such an implementation potentially allows reconfiguration of the
radio to accommodate a different air interface standard simply by changing the software load of
the processor. To some in the commercial wireless industry, with the prospect of convergence
towards a single third generation air interface looking increasingly unlikely as the last decade
unfolded, such flexibility appeared a potential solution to a very tangible issue - a common
terminal which could be reconfigured for different air interfaces anywhere in the world is
undoubtedly attractive. The practical difficulty, of course, with this application arises from two
directions. Firstly, the spectrum allocated to 3G - above 2 GHz - is such that the "pure" soft-
ware radio approach remains, for some time, impractical, in that analogue to digital conversion
at such carrier frequencies remains some way in the future. Secondly, the complexity of the 3G
air interfaces which were eventually defined require significantly greater processing than
second generation systems, precluding low power terminals using traditional DSP devices.
Recognising such constraints the early European perspectives were to take the generic
concept of reconfiguration of the protocol stack and to suggest that the concepts of software
radio reconfigurability would find their early commercial opportunities at the upper levels of
the protocol stack - applications and services - rather than at the lower - air interface - level,
see Figure 2.

FIG. 2. -- Software Reconfigurability at Various Levels of the Protocol Stack.


Reconfigurabilitg par logiciel gt divers niveaux de la pile des protocoles.

ANN. TI~LI~COMMUN., 57, n~ 5-6, 2002 3/24


W. TUTI'LEBEE -- ADVANCES IN SOFTWARE DEFINED RADIO 317

As the capabilities of digital signal processing advanced, so reconfigurability would


move to lower levels of the protocol stack, eventually reaching the air interface. Realisti-
cally, however, certainly in handsets, direct conversion at the antenna would not be feasible
for many years.
Another important aspect debated in those early days was that of methods of software
reconfiguration - how should this be implemented? Initial proposals of downloading soft-
ware to a terminal over the air ( O T A ) represent an inefficient use of a scarce resource, spec-
trum. Much simpler alternatives, such as software contained on a smart card, itself perhaps
reloadable, were seen as offering much more realistic approaches. As software download off
the Internet has become the norm in recent years, and as pcs and mobile phones have begun
to converge, with the advent of the mobile Intemet, this is today seen as perhaps offering a
duality of options. However, the prospect of a user being able to reconfigure his phone's
functionality with software downloaded from an arbitrary quality supplier at www.wobbly-
ware.corn could fill some regulators with horror - an issue we will return in section V.
The past few years have seen the ideas and concepts of software radio maturing, they
have seen cross-fertilisation between initially apparently opposing views and the develop-
ment of new enabling technology and the beginnings of commercial exploitation of the
potential opportunities. In the precursor and early years of GSM enormous investment went
into DSP technology, with the result that the capabilities of this technology advanced drama-
tically and rapidly. With the advent of 3G, similar investments are again occurring and we
may expect to see some of the advances described in this article find commercial success,
and others to be overtaken by further innovations, in the coming years.
The remainder of this paper attempts to summarise progress in the many different facets
of software defined radio. Clearly it is impossible to do justice to such a wide field in one
summary paper and the interested reader is referred to the two books from which this paper
derives, as well as to a number of specific conferences occurring during 2002, such as the
Karlsruhe Software Radio Workshop, Germany, in March, and the SDR Forum conference,
SDR'02, in the USA in November.

II. RADIO FREQUENCY DESIGN

The fundamentals of radio frequency design in many ways have remained unchanged for
a long time, with the basic receiver architecture alternatives of superheterodyne and direct
conversion (zero IF) having been the staple diet of radio manufacturers for decades. The
significant advances of the 1990s were driven by the prospect, and then reality, of creating a
mass consumer market for radio transceivers for mobile telephony. The resulting investment
resulted in tremendous advances in RF integration - initially simply miniaturisation enabled
by surface mount device, SMD, technology, but then the rapidly increasing integration of
complex RF functionality on silicon. Complementing this technology development, variations
in the traditional architectures were explored, with the Low IF architecture emerging as a fea-
sible and effective compromise between the performance of superheterodyne and the short-
comings of direct conversion for some applications.
Alongside the growth of the digital mobile phone industry, its smaller sibling, digital
cordless, competing in a market of unsubsidised handsets, required lower cost, lower perfor-

4/24 ANN. TI~LI~COMMUN., 57, n~ 5-6, 2002


318 W. T u ' V r L E B E E -- ADVANCES IN SOFTWARE DEFINED RADIO

mance, approaches. Reflecting the emerging need for wireless communications to pcs, such
complementary technology for short range wireless connectivity has evolved into Bluetooth.
Despite all the early over-hyping, one clear achievement of Bluetooth is that it has resulted in
the commercialisation of the single chip radio, with RF and digital processor on a single, low
cost, CMOS chip - with manufacturers claiming that the $5 chip will be available this year,
2002. However, the performance demanded by such applications falls far short of that requi-
red for wide area wireless communications.
Against such a background we now consider the implications of software defined radio
on radio frequency front end design.

A. Front End Design Aspects of SDR

As described earlier, the desire for universal products that will support multiple cellular
radio standards was an early driver and remains still an important factor, given the global
nature of the mobile telephony marketplace. The attraction for manufacturers is the promise
of reduced manufacturing and inventory costs by having a smaller range of products, custo-
mised by software for different markets. Arguably this motivation may be stronger than the
desire for products capable of global roaming, given that the majority of phones sold never
leave their country o f purchase.
Design considerations for an SDR front end are basically similar to those of a conventional
radio, but subject to additional constraints. Conventionally, key issues in receiver front end
design are:
9 Receiver architecture - superheterodyne, direct conversion, low IF
9 Receiver signal budget and dynamic range management
9 Adjacent channel power ratio and noise power ratio
9 Image rejection
9 Filter functions
The transmitter exhibits certain filtering analogies with the receiver, with key design
issues being the choices affecting transmitter architecture, efficiency and linearity.
However, two key differences in requirement may exist when considering SDR radio fre-
quency design as distinct from traditional, single mode, designs
9 The operating frequency may be variable
9 The channel bandwidth may be variable
Thus, existing design approaches may not meet the requirements in the same way and
existing design choices may be precluded or restricted. Clearly the extent to which such
constraints exist will vary depending on the degree of flexibility required. For example, an
SDR design to accommodate just two, pre-determined modes, will be far less constrained in
its design approach than one that is required to accommodate all existing mobile standards in
the 1-5 GrIZ band and perhaps also a future, as-yet-undefined, air interface operating at an as-
yet-undefined frequency.
Perhaps the two areas which give rise to major design challenges over variable carrier fre-
quencies and bandwidths are transceiver filtering and linearity. Wideband LNAs, power ampli-
tiers, mixers and local oscillators also of course all present their own challenges. Detailed
design procedures evolved to date for SDR front end designs are described in [Beach et al].

ANN.TI~LgCOMMUN.,57, n~ 5-6, 2002 5/24


W. T U T T L E B E E -- ADVANCES IN SOFTWARE DEFINED RADIO 319

Although perhaps not strictly part of the front end (at least within conventional definitions),
data conversion (ADC) fulfils a vital role in SDR design and may impose fundamental constraints
on ultimate capability [Zvonar et al]. Just like the analogue components, the ADC can introduce
noise, spurii and distortion and the degree of these degradations will be determined by the ADC
technology and performance specifications. For these reasons in SDR design it is necessary to
understand how to measure and interpret key ADC parameters such as signal to noise ratio
(SNR), spurious free dynamic range (SFDR) and sample rate, and the related design tradeoffs.
A key trade-off in ADC design is between bandwidth and noise performance; higher band-
width (often a necessity for an SDR) will allow more noise to enter the ADC. System level
issues such as gain control, to accommodate the fixed input range of an ADC, must also be
considered. An appropriate level of conversion gain of the ADC must be chosen - the gain
must be high enough to exceed spurious harmonics generated in the ADC,but if too high will
limit dynamic range.

B. Early Pragmatic Solutions

Dual and tri-band solutions for GSM phones have been around since the mid-1990s. Such
designs are really simply multiband, since the common baseband processing leaves the basic
RF parameters essentially unchanged between modes. More challenging is the requirement to
accommodate multiple different air interfaces, posed by the diverging digital standards in
North America, and seen a few years back as creating the initial market for SDR technology.
As Europe converged on a unified digital solution, GSM, the USA was diverging from a single
analogue technology, AMES,to a multiplicity of digital technologies. The simplistic approach
to such a multimode requirement was the "velcro" approach - combining multiple radios in
the same package. However, with each design consisting at that time of ~300 components,
such an approach would clearly not result in an economic mass market product.
An early and pragmatic response to this challenge was demonstrated by the AN2/6 solu-
tion developed by by enVia Inc announced in 1999 [Cummings]. The AN2/6 title referred to
the North American 2-band, 6-mode requirement - the radio was designed to operate in the
800-900 MHz cellular and the 1 900 MHz ecs bands, supporting the cellular modes - ana-
logue AMES,TDMA(IS54, - 5 4 A , - 5 4 B , - 5 4 C , - 136) and C D M A ( I S - 9 5 ) -- and the efs modes -
GSM (efsl900), TDMA (upbanded IS-136) and COMA (upbanded Is-95). Product implementa-
tions also supported the iDEN band and were extensible to WLANand GPS air interfaces.
The AN2/6 was based upon a superheterodyne approach, tailored in innovative ways to
accommodate the specific requirements of the multimode and multiband requirement. The AN2/6
RF transceiver architecture is shown in Figure 3 and an early protoype board in Figure 4. The
circuit design was implemented on 10 layer VR4 PCB using just under 500 components, a remar-
kable achievement. The interface of the AN2/6 was offered to the SDR Forum as a recommenda-
tion for a standard interface between SDRhandset front ends and digital processing sections.
The AN2/6 solution demonstrated that pre-defined multiband, multimode products could
be engineered. However, despite this success it is clear that the superheterodyne approach
remains limited by its inherent architectural complexity.
In the face of this complexity, direct conversion architectures have for decades held an attrac-
tion of low cost, simplicity and inherent image suppression. However, their traditional perfor-

6/24 ANN. TI~LI~COMMUN., 57, n~ 5-6, 2002


320 W. TUTTLEBEE -- ADVANCES IN SOFTWARE DEFINED RADIO

Block Diagram AN2/6

FIG. 3. - - AN2/6 Architecture ( C o u r t e s y e n V i a Inc).


Architecture d'un terminal AN2~6.

AN2/6 Transceiver Assembly

FIG. 4. - - AN2/6 P r o t o t y p e I m p l e m e n t a t i o n ( C o u r t e s y e n V i a I n c ) .

Prototype de carte pour terminal AN2~6.

ANN. TI~L~COMMUN.,57, n ~ 5-6, 2 0 0 2 7/24


W. T U T T L E B E E -- ADVANCES IN SOFTWARE DEFINED RADIO 321

mance limitations have remained, namely l/f (flicker) noise, spurious signals arising from oc
offsets and second order distortion and unwanted radiation of the local oscillator signal.
The large amount of gain placed at baseband in a direct conversion design results in flic-
ker noise making an increased contribution to the receiver noise figure. DC offsets may arise
from local oscillator leakage and self-mixing and possibly also from environmental signal
reflections. The former may perhaps tend to be time invariant, whereas the latter may dyna-
mically vary - either way these DC offsets are undesirable. They may also place tight
constraints on other aspects of the design - I/Q amplitude and phase balance of the local
oscillator, for example. Second order distortion can fall within the passband of the baseband
amplifier and thus, through second harmonic mixing or direct leakage, can be a further
source of spurii. Finally, local oscillator radiation can interfere with other nearby receivers.
These problems with direct conversion architectures can to a degree be overcome or
accommodated and the architecture used to give a limited improvement in lowering the part
count, cost and size of the RF front end for some air interface standards. Indeed, for limited
performance short range wireless applications, direct conversion architectures in CMOStech-
nology offer major cost benefits. However, without further advances, for other air interface
requirements the architecture remains unsuitable and thus would appear to be limited in its
application to a fully flexible SDR requirement.

C. I n n o v a t i o n s a n d F u t u r e E v o l u t i o n

At this time no single way ahead is evident for the future direction of RF front end design
for SDR, but several promising avenues exist. Some of these will be better suited for different
applications and timescales and undoubtedly future development will be determined not sim-
ply by technological elegance, but by commercial suitability for market demand and the
resulting investment.

New Components

As noted earlier, the basic architectural options of radio design have changed little over
decades, essentially reflecting the fact that the choice of basic building blocks - amplifiers,
mixers, filters - has remained essentially unchanged over this period. Clearly if the basic
ground rules of components change new possibilities will emerge; the current and sustained
emphasis on cost reduction and simplification of RF design provides a strong motivation
which will encourage the emergence of breakthrough technologies.
The conventional mixer is a nonlinear device which, as such, poses severe design
constraints on any architecture. Recent developments in this area include a new component -
the multiport junction MMIC device - developed by Sony CSL [Haruyama & Kohno]. Used
within their "SOPRANO" SDR tesbed, the device allows direct conversion of RF carrier signals
to baseband. The MMIC device operates linearly at a much lower local oscillator level than for
conventional mixers. Such an alternative to traditional mixer devices clearly has potential to
re-write the rules and introduce new options for receiver architectures.
Flexible filtering is a major challenge for SDRS since traditional approaches to electroni-
cally tunable filters usually employ varactor devices which are inherently nonlinear and thus
introduce unwanted distortions. Promising new alternatives include filters based upon

8/24 ANN. TI~LI~COMMUN.,57, n~ 5-6, 2002


322 w . T U T T L E B E E -- A D V A N C E S IN S O F T W A R E D E F I N E D R A D I O

controllable dielectric properties or upon Micro Electro Mechanical Structures, MEMS [Beach
et al]. Both approaches assume the use of microstripline printed filters, with the filter fre-
quency dynamically varied, either by electrical control of the substrate dielectric properties or
by the use of MEMS to switch components in and out of circuit. Looking further ahead, it may
be that MEMS technology will allow the implementation of new physical structures for filters
and resonator, or will allow filter tuning through the physical movement of microstructures.

New Architectures

Clearly, the advent of new components may also permit architectural innovations. Even
with today's limitations however, variations on existing architectures are being explored,
facilitated, for example, by recent advances in A/D and DSP technology.
One such approach combines analogue mixing and down conversion with digital down
conversion and filtering, using the first stage of a superheterodyne architecture to convert to
IF, then performing A/D conversion followed by digital down-conversion and filtering. The
term "digital front end" is sometimes used to refer to the functionality performed digitally
which traditionally has been part of the receiver (analogue) front end. The digital front end
may thus typically perform channelisation (ie down conversion and filtering) and sample-
rate conversion, a relatively "young" functionality in a digital receiver [Fettweis & Hent-
schel]. Such an approach promises reduced parts count whilst avoiding problems associated
with the direct conversion approach. Power consumption and cost today remain issues, but
ones that may rapidly erode given the pace of digital technology advances. Design
approaches for the DFE are still relatively new, and are discussed in more detail in the article
by Hentschel elsewhere in this issue, so are not dwelt upon further here.

New Technologies

When first discussed in the 1990s, "pure" software radio - A/D conversion at the carrier
frequency - was felt to be many decades away for mobile phone applications at Gnz fre-
quencies. However, a revolutionary new approach to superconducting device technology
suggests this could be more feasible than had been thought [Brock].
Until the early 1990s, superconducting technology had been based upon using the voltage
state of a Josephson Junction device as a "1" and the superconducting state as a "0". Func-
tional circuits demonstrated culminated in a 1 GHz 4-bit microprocessor by NEC in 1990.
The recent breakthrough has been the recognition of the possibility of using the presence or
absence of a single quantum of magnetic flux within the device to indicate the "1" or "0"
state instead. Whereas the prior approach limited peformance to -1 GHz, the new technology
- Rapid Single Flux Quantum Digital Logic (RSFQ) -- will operate to 250 GHz. Furthermore,
such superconducting technology is based upon fundamental physical parameters which are
used as the definition of the standard volt - indeed products using such technology are sold
today as voltage standards.
RSFQ integrated circuits may be manufactured using standard semiconductor manufactu-
ring equipment, using however a lesser number of mask layers and less complex chemical
depositions; fabrication relies on thin-film technology rather than doping profiles, however,
so in some ways is simpler. It is also compatible with conventional IC packaging techniques.
Basic functionality, such as flip-flops, shift registers and 2 bit counters, has been demonstra-
ted, at 144, 66 and 120 GHz respectively. More complex devices implemented at 10's of

ANN. TI2LI~COMMUN.,57, n~ 5-6, 2002 9/24


W. T U T T L E B E E -- A D V A N C E S IN S O F T W A R E D E F I N E D R A D I O 323

GHz have included 128-bit autocorrelator, serial multiplier, demultiplexer, full adder, 14-bit
digital comb filter, lkbit shift register. 14 bit high resolution ADC and 19 bit DACS have also
been implemented.

FIG. 5. - - Phase Modulation / Demodulation ADCBlock Diagram and Chip Photograph


(Courtesy Hypres Inc).
Diagramrne de modulation/ddmodulation ADH et photographie d'un circuit (crddit Hypres Inc.)

Such technology, by its very nature, operates at temperatures of around 4-5 K and thus
requires cryocooling. Whilst impractical for portable devices such as handsets, cryocooling is
becoming feasible for the basestation environment; indeed high temperature superconducting
filters are already being sold into such applications.

III. BASEBAND R E C O N F I G U R A B I L I T Y

The baseband processor in a multiple-air-interface SDR implementation must fulfil the


normal functions of a radio receiver and transmitter, but many times over - in that it must be
capable of implementing the air interface protocols for more than one standard, possibly
even accommodating new ones not yet defined at the time of manufacture. Certainly the lat-
ter is the case considered within the framework of defence applications.
In the transmit chain the baseband processor formats the data and adds any redundancy
(FEE) required. In the receiver, the data from the front end has to be carefully processed to
identify and extract correctly the data intended for reception, requiring processes of synchro-
nisation, demodulation, channel equalisation, channel decoding and multiple access channel
extraction. In addition to the raw information, of course, protocol overheads must also be
correctly identified and processed. Such a baseband receiver process typically reflects the

10/24 A N N . TI~,LI~,COMMUN., 57, n~ 5-6, 2002


324 w . T U T T L E B E E -- ADVANCES IN SOFTWARE DEFINED RADIO

nature of the wireless channel, with the detailed air interface scheme chosen to maximise
throughput, which itself may be influenced by the application and required data rate, and
indeed, perhaps may itself be adaptive. Multiple access methods are chosen to maximise sys-
tem throughput and spectrum efficiency.
The baseband processing power required of an SDR engine is largely determined by the
most complex of the air interfaces. In general one thing is clear, the complexity of the air
interface has increased considerably from GSM to 3G, and such complexity increases have
profound implications on the processing power required of a multiple-air-interface SDR
engine. Some have argued that the complexity of such baseband processing power require-
ments is increasing faster than Moore's Law and that therefore a radical new hardware archi-
tecture is the only way forward [Master & Plunkett]. Meanwhile, others, perhaps recognising
that air interface complexity in practice advances in periodic leaps, have argued for a truly
software based approach, which can offer economic benefits from code re-use as processor
capabilities advance [Chapin, Ferris & Hislop]

A. Reconfigurable Hardware Architectures

Traditionally three main technologies have evolved for baseband processing - software
controlled DSP engines, reconfigurable hardware (notably FPGAS) and dedicated applications
specific ~cs (ASICS) [Lund& Honary]. Trade-offs exist between these approaches and in the
past a clear evolution of approach has been seen during the life of an air interface as techno-
logy capability has advanced and as product volumes have increased. DSPS and ASICs are per-
haps at opposite extremes of the spectrum - placing algorithms in a DSP provides flexibility,
but at the cost of higher power dissipation; placing algorithms in fixed function silicon (ASIC)
lowers the power dissipation, but at the cost of flexibility. Typically, once a high volume mar-
ket has been established and flexibility is not required, then the AS~C route can allow low
power consumption and cost, although the long design cycle remains a practical constraint.
Further, the inherent lack of flexibility suggests a new approach may be needed to accom-
modate (at least some) future SDR product requirements.

Digital Signal Processors

The digital signal processor was first introduced in the early 1980's to provide a proces-
sing engine optimised for interpreting, manipulating and generating discrete signals in the
time or frequency domain. It provided a method which revolutionised the way in which real
physical information was processed. The flexibility, accuracy and reproducibility of analogue
components is relatively limited - hence the attraction of the solidly defined program of the
DSP. Dynamic range is a problem associated with analogue circuitry, a constraint still present
in the analogue to digital conversion process (ADO) encountered prior to the DsP, as noted
earlier.
The software programmability of the DSP offers a high degree of flexibility in reconfigu-
ration of the processing. The DSP is in essence simply an optimisation of the general purpose
microprocessor (~tP) with architectural optimisations for analogue signal manipulation such
as the inclusion of a Multiply Accumulate (MAC) operation, optimised for execution in a

ANN. TIs 57, n~ 5-6, 2002 11/24


W. TUTTLEBEE -- ADVANCES IN SOFTWARE DEFINED RADIO 325

single DSP clock cycle or less. Algorithms for manipulating signals are often based upon the
method of convolution; such algorithms allow signals to be combined, filtered and transfor-
med, fully equivalent to the analogue case. Addressing modes are also optimised, allowing
efficient loading and storage of discrete data to and from memory circuits; simultaneous
access to both data and instructions is also facilitated. Specific arithmetic functions to allow
fast pointer update may ensure rapid addressing of the next required data element. Circular
addressing is also common, allowing a pointer to rotate around a defined area of memory to
provide a cycle based memory access. The DSP may also provide execution control for fast
instruction looping and caching architectures to speed up memory access times. Two distinct
classes of DSP have emerged - fixed and floating point devices are available with a large
variety of arithmetic precision.
Today's DSP devices offered by the different silicon vendors typically employ a core cPu
architecture optimised for either performance or power consumption and then provided with
the support functions required to address specific markets; such core architectures are also
offered as general purpose devices or as Silicon Intellectual Property (siP) in their own right.
Undoubtedly osr's have gained considerable ground in applicability in recent years; however
the substantially increased processing requirements of 3G air interfaces have resulted in very
limited applicability to early 3G handsets products.

FPGA Approaches
Flexible programmable gate arrays, FPGAS, permit a degree of hardware reconfigurability
not possible with DSPS, allowing the hardware processing architecture itself to be reconfigu-
red, not simply the software that runs on the hardware. The major application of FPGAS has
traditionally been as a low cost alternative to the design of Application Specific Integrated
circuits (ASlC), particularly for low volume applications. As such they are not used in hand-
sets, but have found application in early stage development of both handsets and basesta-
tions as part of the ASlC development process.
A typical FPGA device consists of an array of configurable logic blocks surrounded by
configurable routing, with the possibility for parallel and pipelined data flows. Each block
consists of resources which can be configured as discrete logic, registers, mathematical func-
tions and memory; configurable pads provide connections at the periphery to other electronic
devices. The resource functionality can be configured at any time during the device operation
to implement the required function of a digital processing algorithm.
FPGA logic block architectures are often termed as "fine-" or "coarse-grained". The latter
blocks are optimised with particular features in mind, such as dedicated RAM or arithmetic
logic, whilst fine-grained blocks focus on simple logic functionality. Coarse-grained archi-
tectures support higher processing speeds due to the specific optimised silicon circuitry and
minimal routing requirements between them. Fine-grained architectures, although still relati-
vely fast, pay the performance price arising from the extra routing required to interconnect
them. The trade-off for performance is of course flexibility. Fine-grained architectures are
more flexible than coarse-grained due to the greater possibilities provided by a high quantity
of simple logic. Coarse-grained, however, are limited to the specific optimised functions.
The number of configurable gates in today's F P G A S already exceeds 10 million; recent
developments have shown that they can accommodate most of the baseband processing
required for a 3G system - although, other than prototyping, such conventional devices will
only find applications in basestations, where power, size and cost constraints are less. A range

12/24 ANN. TI~,LI~COMMUN., 57, n ~ 5-6, 2 0 0 2


326 w. TUTTLEBEE -- ADVANCES IN SOFTWARE DEFINED RADIO

of new extensions is becoming available from various manufacturers aimed at enabling fast
and/or partial reconfiguration - ie reconfiguration of one part of the circuitry whilst other
parts are still operational. Companies developing such new approaches and devices include
longstanding FPGA manufacturers, such as Altera and Xilinx, with their AeEX and Virtex
architectures respectively.

New Processing Architectures

Several other companies, notably new start-ups, have identified and promoted innovative
architectures to address the traditionally conflicting requirements of processing power, scala-
bility, speed and ease of reconfigurablility, and power consumption. Quicklogic, for example,
provide devices with varying proportions of "Embedded Standard Products", ie different
types of building blocks. MorphIcs offer a "programmable heterogeneous multiprocessor
solution", which claims to deliver optimized computational efficiency with minimal cost and
power consumption, together with flexibility and scalability. Chameleon have developed a
"Reconfigurable Communications Processor" architecture, particularly targeted at wireless
applications and which combines aspects of both reconfigurable logic and the dynamics of a
command driven processor.
Perhaps the extreme example of the reconfigurable hardware device is the Adaptive Com-
puting Machine (ACM) approach advocated by QuickSilver Technologies, wherein the hard-
ware device architecture is dynamically reconfigured on-the-fly to implement the digital
processing algorithms to provide a changing hardware processor whose architecture remains
optimised in real time [Master & Plunkett]. In this approach, an optimised hardware archi-
tecture is instantiated to match the requirements of the algorithms as and when needed. The
logical functions inside the ACM may be adapted at high speed, while the device remains in
operation. The constituent parts of the algorithms have temporal elements that are only resi-
dent in the A C M hardware for short portions of time, when needed. This resulting concept of
on-demand hardware results in highly efficient use of hardware in terms of cost, size (silicon
real-estate), performance, and power consumption. In effect, rather than trying to optimise
the algorithm to the hardware architecture this approach continually and dynamically re-opti-
mises the processor architecture to match the algorithm. Specific examples of ACM imple-
mentations of various functions have been published; a vocoder implementation using ACM
has indicated power consumption and processing cycle count similar to an ASIC, whilst achie-
ving the (much smaller) chip area of a t~se
A variant on the "new architectures" themes is another approach referred to as "parametri-
zation", an attempt to leverage the similarities between what at first sight may seem quite dif-
ferent air interface standards [Jondral]. This concept is not simply the application of different
parameters to a standard baseband processing engine, but is somewhat deeper. By identifying
underlying similarities between standards, and then extrapolating to a larger superset, it is pos-
sible to identify and design more comprehensive generic modulator / demodulator architec-
tures which may then be readily programmed and should also have inherent efficiency. This
approach is suited to applications where the air interfaces to be supported are known a priori,
but less so to those which must accommodate future, as-yet-undefined, air interfaces.
At present the jury is out on many of these new hardware architectural solutions. Some
are more advanced than others in their maturity, their commercial availability and their take-
up by the big manufacturers. Success will be determined not simply by technological ele-
gance, but by economic benefits across the value chain.

AyN.TI~LI~COMMUN.,57, n~ 5-6, 2002 13/24


W. T u ' V r L E B E E -- ADVANCES IN SOVI'WARE DEFINED RADIO 327

B. S o f t w a r e B a s e d A p p r o a c h e s

Whilst new hardware architectures compete to provide the SDR processing engine, an
alternative, or perhaps complementary, approach has been quietly gathering pace, based on
the arguably simplistic concept that the air interface should be defined using high level, por-
table, software code, capable of implementation on a variety of hardware engines.

Code Portability and Moore's Law

Such an approach first gained prominence through the SpectrumWare project which ran
at MIT between 1995 and 1998 and which targeted operation on a general purpose processor.
At the end of this period the team left MIT to establish Vanu Inc, which has subsequently built
software radio implementations of a variety of commercial and government waveforms,
including the cellular telephone standards IS-91 AMPS,IS-136 TDMA, and GSM [Chapin].
Vanu's implementations have several characteristics that distinguish them from other
approaches to software radio. Most signal processing functions execute on general-purpose
processors, rather than on digital signal processors or field-programmable gate arrays.
Almost all signal processing code is implemented in a high-level language, c++, running on
top of a standard POSlX operating system. The waveforms and signal processing infrastructure
have been ported, almost unchanged, across Intel Pentium, Intel StrongARM, Compaq Alpha
and Motorola PowerPc processors.
An illustration is in order to exemplify the rationale of this approach. When the Spec-
trumWare project began in 1995, the first software radio could not process even a single
AMPS channel in real time, and was therefore viewed by some at the time as an impractical
aberration; the 1995 software ran on a PentiumPro 133-MHz processor. By 2001 however,
the Vanu derivative of that code supported seven AMPS channels in real time on a Pentium III
1-GHz processor. Such performance improvements stemmed from advances in processor
capability, and required little additional investment in software development.
Whilst a 1 GHz Pentium III is impractical for portable applications, Vanu have continued
to demonstrate a path to commercial feasibility, with a handset demonstrator implementa-
tion of the IS-136 2G cellular standard, together with less-complex legacy standards. Their
prototype implementation on a custom board, Figure 6, uses a 200 MHz StrongARM 1110,
dual-port RAM, and a FPGA that interfaces to a host PDA and to a separate RF-to-digital board,
to provide channel selection.
The argument is that ongoing advances in Moore's Law mean that portable software will
frequently outperform non-portable software for complex systems where software is a signi-
ficant portion of the engineering effort. Over the lifecycle of a product family, which can
easily be ten years or more, it is argued that Moore's Law provides such a large advantage
that code portability will become a basic requirement of such systems.
The reality of the all-software approach was evidenced at this year's CTIA show in
Orlando where Vanu demonstrated a prototype radio GSM basestation, running on clustered
commodity servers. A second demonstration was a software radio laptop system running the
mobile side of the TDMA, GSM, and AMeS standards as well as an AM/FM radio, walkie/talkie
and a digital Project 25 police radio. Despite the relative rates of complexity growth of cel-
lular air interfaces vs Moore's Law, it is clear that the all-software radio cannot be ignored.

14/24 ANN. TI~LI~COMMUN., 57, n~ 5-6. 2002


328 w . T U T T L E B E E -- ADVANCES IN SOFTWARE DEFINED RADIO

FIG. 6. -- The Vanu 1s-136 Handheld Prototype. The production version fits in a standard IPAQsleeve
(Courtesy Vanu Inc).
Prototype de terminal portatif Vanu 1S-136. La version de production entre
dans un boftier standard IPAQ (crddit Vanu Inc.)

An Early Commercial Example

An intermediate variant of this concept has been successfully used by the uK-based
Radioscape company to address the European Digital Audio Broadcasting (DAB) market
[Ferris & Hislop]. Radioscape's approach has not focused exclusively upon the use of gene-
ral purpose processors, but does aim to implement the air interface in portable software. Ini-
tial implementations of the DAB receiver algorithms were in fact, like SpectrumWare,
implemented on Pentium-based ecs. Their initial commercial products ported the algorithms
onto existing DSP chips to create the Psion Wavefinder product, a PC-based DAB receiver, and
then onto Texas Instruments' DRE200 and DRE3Xy programmable digital radio baseband
chips.
Perhaps rather ironically, Radioscape agree with the proponents of new hardware archi-
tecture technologies that the evolution of air interfaces is outstripping Moore's Law. Reco-
gnition of this, alongside a belief in the need for and benefits of software portability, however
has in this instance motivated the development of a design framework and toolset for parallel
scheduling, to allow the portable software to be mapped onto multiple DSP cores, on-chip
hardware, or both.
Radioscape's design tools assume neutral architecture, isolating the software code from
the underlying hardware and associated realtime operating system. Their "generic baseband

ANN. TI~LI~,COMMUN., 57, n~ 5-6, 2002 15/24


W. TUTTLEBEE -- ADVANCES IN SOFTWARE DEFINED RADIO 329

processor" provides an open hardware platform for real-time testing of the partitioned
design, offering a flexible hardware platform on which exact behavioural equivalents of the
selected high-MIPS DSP engines can be deployed.

C. Design Tools a n d M e t h o d o l o g i e s

It will by now have become very apparent to the reader that reconfigurable baseband pro-
cessing for SDR applications requires much more than simply an appropriate hardware proces-
sing architecture and/or suitable software. Suitable design tools and methodologies are needed
if reliable, rapid and cost-effective reconfigurable product development is to be achieved. For
this reason the proponents of the various technology solutions described above are, perhaps
without exception, focussing intense efforts on providing integrated design methodologies.
[Lurid & Honary] present an interesting discussion on the potential parallels with micro-
processor design tool evolution, together with some speculations on the future evolution of
SDR design flows and tools, addressing issues such as parametrization, object orientation
and management of distributed resources. The design methodology requirements of the dif-
ferent baseband technologies share many things in common, but also have distinct diffe-
rences; the availability of appropriate tools will be an important factor in influencing their
relative commercial success. This theme of design tools is taken a stage further by the
concept of the Waveform Description Language [Willink], allowing air interface (waveform)
specification in a common manner and porting to multiple hardware platforms, as has already
been demonstrated as part of the NATOFM3TR programme [Bonser, Willink].

IV. R E C O N F I G U R A B L E APPLICATIONS AND PROTOCOLS

As discussed in the introduction, software download and reconfiguration can range from
the top to bottom of the protocol stack. Reconfiguration of the lowest level - the air interface
- has already been considered at some length, as it is was the most prominent aspect of soft-
ware radio in the earliest days. We now consider reconfiguration at higher levels of the pro-
tocol stack, in the two broad categories of:
9 User applications - individualised applications and services customised to the subscri-
ber. Within this category we also consider codec upgrades.
9 Mid-stack protocol enhancements - bug-fixes, protocol improvements and other in-life
enhancements.

A. Applications - MEXE and i-c~ppli

Initial commercial applications for software reconfiguration have, as predicted, appeared


first at the top of the protocol stack, ie downloadable applications that run on a user's phone.

16/24 ANN. T~LflCOMMUN., 57, n ~ 5-6, 2 0 0 2


330 w. TUTTLEBEE -- ADVANCES IN SOFTWARE DEFINED RADIO

Such applications offer a range of benefits to operators - the opportunity to offer unique ope-
rator-specific, and potentially even customer-specific, applications and the opportunity to
introduce new services more rapidly and flexibly. Both of these promised potential for redu-
cing subscriber chum.

T h e Mobile Execution E n v i r o n m e n t , MEXE

Such issues were under examination by the SMG4 group within ETSI in the late-1990s
within the context of the Mobile Execution Environment, MEXE, activity [Chandrasiri]. This
work subsequently was transferred across from ETSI to 3GPP.
Originally conceived as an evolution of GSM toward a client / server architecture for
advanced mobile data services, MEXE in fact moved beyond this, in part through a liaison
established in 1999 with the SDR Forum. In December 1999, a proposal relating to the imple-
mentation of software download, based upon the SDR Forum's Technical Report, was sub-
mitted by the Forum to 3GPP MEXE and to the WAP Forum. As a result of this, initial SDR
specifications were included in the MEXERelease2000 specification.
MEXE standards initially defined three so-called Classmarks, respectively based upon
WAP, PersonalJava and Java 2 Micro Edition (J2ME), with a fourth in definition. MEXE par-
ticularly addressed the issue of security of downloaded content and application software,
by defining a range of so-called security domains, Figure 7. Three trusted domains were
specified - the operator, manufacturer and trusted-third-party domains - as well as an
untrusted domain. Software execution capabilities are related to the degree of verification
and authentication ascribable to the software. The inclusion of a manufacturer domain in
particular allows for a manufacturer to support a product enhancement capability through
software download. Similarly, the availability of an operator domain allows for service
and application enhancements to enhance customer retention, as noted earlier. The trus-
ted-third-party option allows for the advent of a wide range of new applications and
content providers.

J a p a n - i-mode a n d i-ctppli

Whilst 3GPP was busy defining MEXE, of which WAP was one Classmark, Japan was seeing
the launch and contrastingly successful growth of DoCoMo's i-mode service. Despite relying

Untrusted
-..,~ T r u s t e d applications ,.._
applications

!
!
!
Manufacturer T r u s t e d third
O p e r a t o r domain[ I Untrusted area
domain party domain !
!
!
!

FIG. 7. -- MEXE Security Domains.

Domaines de sdcuritd MEXE.

A N N . TI~LI~COMMUN., 57, n ~ 5-6, 2002 17/24


W. T U ' I ~ L E B E E -- ADVANCES IN SOFFWARE DEFINED RADIO 331

on a relatively low datarate bearer, i-mode subscriber growth was remarkably rapid. This
success has been ascribed to a range of factors - some local or cultural, such as the low pene-
tration rate of PCS in Japan, and some generic, such as the business model, whereby DoCoMo
allowed content providers to take 91% of revenue, which encouraged a rapid proliferation of
i-mode sites offering both broad and niche content. The latter aspect of service openness has
been widely recognised, even before i-mode, as being an important facilitator of growth,
since it means that the mobile network operator does not himself need to fund the develop-
ment of a vast range of content and services.
In the wake of the early success of its i-mode service, early 2001 saw DoCoMo launch
Java-enabled i-mode phones, enabling the extension of i-mode services from content to
applications, branded i-c~ppli, i-ctppli is similar in some respects to the MEXE Classmark 3,
being based on J2ME, and MIDP CDLC, using a subset of the Java classes referred to as DoJa
(DoCoMo Java profile). Other operators, J-Phone and KDDI have also developed their own
Java-based downloadable services. Typical size for the downloaded application files are
10-30 kbytes.
Perhaps unsurprisingly the introduction of the new technology was not without its pro-
blems, with some handsets being the subject of recalls. Such early issues have now been
resolved and the technology is today allowing a new range of terminal capabilities, such as
games and productivity applications, to be downloaded by users onto their phones.

Codec Upgrades

Speech coding algorithms have traditionally been defined as part of the standards asso-
ciated with a mobile phone technology. With GSM there have been new algorithms and
upgrades during the life of the standard, but still the speech codec has been subject to a time
consuming definition period and has been implemented as a fixed function embedded within
the phone. With the advent of 3G and mobile internet access, codec requirements are poten-
tially increasing, eg for various streaming media, two way video, audio, future peer-to-peer
services, etc. The pace of codec developments has been rapid in recent years and is likely to
continue to be so, offering the potential for in-life improvement of service quality to existing
user devices. For all these reasons, codec download could become increasingly significant in
the near future.
Some mobile operators are already exploring the possibility of offering downloadable
codec upgrades as a mechanism for new revenue generation and network capacity mana-
gement [Ballesteros & Martinez]. An operator could for example offer user selectable ser-
vice levels for speech and/or video, reflecting different codec data rates and hence capacity
requirements, charged accordingly. At large public events, or at other times of unexpec-
tedly high traffic load, an operator could offer incentives for users to download a codec
with higher compression rate, thereby providing a mechanism to dynamically match net-
work capacity to user demand. Operators could also offer custom codec downloads to suit
the specific requirements of niche services or indeed to enable higher quality delivery as a
premium service, eg as video codecs improve, with charging on a pay-per-use or fixed-
term subscription pricing models. An open environment could permit third party codec
downloads, to support new peer-to-peer user services, or for access to a proprietary (eg
subscription based) public or home (free) server of streaming audio or video content.
Variations on the downloaded codec theme include music/videoclip downloads and cer-
tain types of games.

18/24 ANN. TI~LI~COMMUN., 57, n~ 5-6, 2002


332 w. T U T T L E B E E -- ADVANCES IN SOFTWARE DEFINED RADIO

B. M i d - S t a c k R e c o n f i g u r a t i o n

Post-purchase reconfiguration of the protocol stack offers potential for system or perfor-
mance improvements of products in the field. Such reconfiguration is in commercial use
already within the broadcast industry, for software upgrades to digital satellite set top boxes,
to allow a manufacturer's products to support additional features allowed by the DVB (Digital
Video Broadcasting) standards as they begin to be implemented by broadcasters or as the
manufacturers improve their software capabilities. Extension to support Java download is
being standardised by DVB.

On-the-fly Protocol Changes

Within the context of mobile telecommunications, Philips have shown the feasibility of
on-the-fly reconfiguration of mid-stack protocol parameters, and even algorithms, using a
demonstrator based on DECq"technology [Bucknell & Pitchers]. Their demonstrator used a
web browser interface at the user terminal to request the download of changes to the user
terminal functionality, without the need to interrupt the user web-browsing session or to re-
boot the user terminal. Using over the air reconfiguration, they were able to replace an exis-
ting (intentionally deficient) cRc algorithm with a correct version, leading to an obvious
improvement in quality. This was done by unlinking a D I i from the protocol stack and lin-
king in a replacement; this was carried out on an active system, without any need to kill and
restart the program. Reconfiguration management capabilities were implemented in both the
terminal and network, with a negotiation procedure initiated to effect the desired protocol
upgrades.

"Soft" Protocols

Protocol stacks, as commonly implemented today, are simply layered aggregations of


individual protocols, each of which performs a certain clearly specified task. Protocol capa-
bilities or services within a given layer are offered to the next upper or lower layer via so-cal-
led "Service Access Points", SAPS, which provide access via sets of primitives to a given
layer from its neighbouring layer (above or below in the stack). Unfortunately, the sAP
approach does not readily support alterations or improvements within the protocol stack - if
even relatively minor changes in terms of functionality or access to this functionality become
necessary, the SAPS and protocols need to be re-standardised.
New "soft" approaches to protocols have emerged in recent years, to allow in-life proto-
col evolution - specifically adaptive, composable and reconfigurable protocols. All three
technologies enable protocols and protocol stacks to be customised to reflect the system
requirements of the current settings of a software defined terminal. The adaptive and compo-
sable approaches achieve this through the introduction of additional adaptation layers, whe-
reas the reconfigurable approach is based on the use of programming interfaces in place of
additional adaptation layers.
Perhaps the key advantage of the latter approach is that the use of application or proto-
col p r o g r a m m i n g interfaces introduces the concept o f openness, whereby, just as with
application development for personal computers, a wide range of new players can bring
new products (protocol modules) to market, multiplying new capabilities and the speed
with which they are brought to market. Such behaviour is already evident in Japan where

ANN. TELI~COMMUN., 57, n~ 5-6, 2002 19/24


W. T U T T L E B E E -- ADVANCES IN SOFTWARE DEFINED RADIO 333

one supplier offering free downloads of an application development tool for mobile Java
applications has already seen over 60,000 downloads. A similar effect may be anticipated
for application specific protocol programming in the networking and wireless networking
arenas.
A major function required for future SDR terminals is the ability to exchange protocol
software "on the fly", implying the dynamic reconfiguration of the protocol stack, not simply
to support many but, in the limit, any protocol configuration. In a situation of open software
provision it is certainly feasible to anticipate the very rapid and flexible introduction of new
capabilities that go beyond the confines of today's expectations. Thus, in the "on-the-fly"
reconfiguration example above, the parameter switching was confined to values pre-defined
by the DEfT specifications and the algorithm to a close variant of the standardised one - in
the future completely new protocols might be downloaded.
The OPTIMA framework [Moessner] developed within Mobile VCE to address such
requirements, is based on the decomposition of protocol stacks into a number of functio-
nal entities, including protocol (pro-) layers, (pro-) interfaces and threads, described in
generic "classes" organised in class libraries, which enable dynamic binding during run-
time. OPTIMAassumes the paradigm of active programming interfaces, rather than using
the straightforward approach of defining a broad set of APIs and ePIS, which contain all
the functionality of certain protocols. The use of active protocol interfaces adds more
complexity to the systems but also provides the advantage of protocol exchange during
runtime, rather than only during compile or boot time. Active protocol interfaces are
objects, which e m b o d y a set of message-interpretation and message-distribution
methods. The active interface objects retrieve information required for processing of the
messages from the incoming message-headers or, depending on the instantiation of the
framework, from the (thread) object in which the incoming message is wrapped. The
active interface object processes/interprets the message and passes it on to the target
"pro-layer".
Soft protocols are a relatively new concept and one that has yet to gain widespread accep-
tance. Engineers today have their work cut out to establish 3G networks and services and
the transition from the existing frameworks to more amorphous ones is a transition that will
not happen overnight. As the constraints of existing approaches, and the potential of pro-
grammable programming interfaces, begin to become apparent however interest is likely to
develop, given the stimulus to market growth that open approaches offer.

V. REGULATORY ASPECTS OF SDR

From a regulatory perspective, software radio holds great promise for improved use of the
spectrum; dynamic codec switching, to increase system capacity, described earlier, illustrates
one such possibility. Potentially more significant however is what has been termed the Adap-
tive Intelligent Software Radio, AI-SR [Blust]. The basic concept underlying AI-SR is that the
radio should adapt to its environment by automatically changing its operational mode (without
human intervention) to achieve enhanced performance and efficiency. To do this requires the
use of artificial intelligence, significant computational power to process adaptive algorithms in
real-time, and real-time data from a variety of sources, including the mobile network infra-

20/24 ANN. TI~,LI~COMMUN., 57, n~ 5-6, 2002


334 w. TUTFLEBEE -- ADVANCES IN SOFTWARE DEFINED RADIO

structure, on issues such as RF spectrum and air-interface protocol availability, user needs and
applications, minimum performance requirements (which might be subscriber dependent as
well as application dependent), the propagation environment, and the capabilities of the radio
platform itself. For example, the radio might adapt in real time to the propagation environment
by using a more robust waveform developed dynamically as the propagation environment
deteriorates. Although at first glance this might appear relatively easy to implement, in reality
it is very complex because of the need to interact with the mobile network infrastructure and
the need for the radio to process all of the inputs described above.
Open platforms and standards in the pc and Internet world have enabled a proliferation
of application developers and new applications. Such an approach has brought us to a place
where today almost anyone with relatively modest technical skills can create and publish
their own web content, either using a standard software package, such as FrontPage, or even
more simply using tools provided by many ISpS as part of a standard Internet access pac-
kage. Similarly, open standards have been credited as being one of the main factors respon-
sible for the enormously rapid and global growth of GSM. Just so, the use of open platforms
within the context of software radio could potentially multiply the availability of applica-
tions, services, protocols or even new air interface software through vastly increasing the
potential pool of developers and suppliers. Product distribution over the Internet could
allow users to download such options to their phones directly over the wireless network or
by porting to the handset from a wired Internet download, via a Bluetooth, smartcard or
wired (USB/RS232) link.
Whilst such increased competition and choice may seem a great idea for the user, the
same prospect could appear a potential nightmare for the regulator or the mobile operator, if
appropriate mechanisms are not in place to validate the integrity of such software and its
compatibility with the user's handset and network infrastructure. The prospect of rogue soft-
ware being downloaded onto a user's phone and causing it to reconfigure and operate in an
unintended or undesirable manner, potentially threatening network stability, could cause ope-
rators to be cautious in allowing such capabilities. Likewise, the prospect of a handset radia-
ting out-of-band or out-of-specification would be anathema to a national spectrum regulator.
The latter could see the simplest preventative measure as being to restrict or delay the intro-
duction of software reconfigurable handsets. Such issues could fundamentally impact the
pace of commercial introduction of SDR.

A. First Regulatory Steps in the USA and Europe

Regulatory thinking has begun to embrace software radio, with the USA leading the way.
The FCC issued their First Report and Order on SDR 13th September 2001, with an approach lar-
gely reflecting the ideas in their earlier Notice of Proposed Rule Making [Grable]. Within the
scope of the FCC definition of an SDR the ruling has introduced a new streamlined equipment
approval and labelling process. The FCC definition of SDR is "A radio that includes a transmitter
in which the operating parameters of frequency range, modulation type or maximum output
power (either radiated or conducted) can be altered by making a change in software without
making any changes to hardware components that affect the radio frequency emissions".
Under the prior rules, any changes to a transmitter's regulated operating parameters -
operating frequencies, output power or modulation type - required a new FCC authorisation,

ANN. TI~LI~COMMUN., 57, n ~ 5-6, 2 0 0 2 21/24


W. TUTI'LEBEE -- ADVANCES IN SOFTWARE DEFINED RADIO 335

including relabelling with a new Fcc ID number; two minor exceptions were previously allo-
wed. The new Report and Order has introduced a new "Class III" permissive change mecha-
nism, applicable only to radios initially certified as SDRs and provided no hardware
modifications affecting the RF emissions have previously been made. This mechanism is
designed to allow for in-the-field modifications of SDR devices' operating parameters. The
R&O also has introduced the concept of electronic labelling, to allow a new degree of flexibi-
lity in this respect. The FCC is continuing to consult with industry during 2002 on issues of
security, in order to assess how best to regulate this area.
Within Europe the old regime of Type Approval has recently been replaced by the RTT&E
Directive, which took effect from April 2000 [Bender & O'Fee]. Under the old regime a
manufacturer could not introduce a new product to market without first securing formal
approval by a notified body (Test House) that his product was conformant with agreed stan-
dards. Under the RTT&E Directive the manufacturer may himself declare compliance with
the essential requirements without having to consult a notified body and may put the product
on the market, at his own risk. Modification of a terminal post-sale clearly introduces impor-
tant questions of responsibility and liability which are today beginning to be considered in
Europe, within the so-called TCAMcommittee.

B. Technical S o l u t i o n s to Validation

As noted earlier, mechanisms for effective validation of a reconfigured hardware-soft-


ware combination are essential if SDR is to fulfil its potential. One such mechanism is the so-
called Reconfiguration Management Architecture, RMA, illustrated in Figure 8, [Moessner].
The architecture comprises elements resident within both an SDR terminal and within the
mobile network infrastructure. Such an approach enables the mobile operator to retain autho-
rity over the configuration state of terminals allowed to interoperate with his network, only
permitting the use of software-hardware terminal equipment combinations which pass appro-
priate validation testing. The latter may be done in real-time, using sandboxing in a network
server, when a terminal-software combination first requests network access. Such an arran-
gement potentially allows the regulator to delegate to the operator responsibility for reliabi-
lity of terminals using his network.
This architecture also creates possibilities for new business models and revenue steams.
In an open environment, where thousands of new software developers and suppliers may
exist, a software provider could make his software available for sale by download to a glo-
bal market over the Internet, indicating the terminals and networks for which it is suited.
Initial validation of terminal-software combinations for network compatibility could be
undertaken by an operator when the software product becomes available. The terminal-soft-
ware combination would be validated and, if compatible, included within the operator's
RMA implementation as an approved combination, against which terminal-software combi-
nations seeking to access the network could be validated. The software supplier would then
be invoiced by the operator each time a new terminal using his software is validated by the
operator, generating revenue for the operator based upon revenues accrued by the software
providers, a business model not dissimilar to DoCoMo's revenue sharing with content pro-
viders for i-mode.

22/24 ANN. TELECOMMUN., 57, n ~ 5-6, 2 0 0 2


336 w. TUTTLEBEE -- ADVANCES IN SOFTWARE DEFINED RADIO

FIG. 8. -- Reconfiguration Management Architecture.

Architecture de gestion de reconfiguration.

VI. C O N C L U S I O N S

Software radio has advanced a long way, on many different axes, since the initial concepts
came to prominence in the mid-1990s, but is still very much in its infancy, in terms of reaching its
full potential. This article has sought to provide a top level overview of a few of these key areas.
Early SDR advances in RF, baseband and software are already finding application in new
wireless product designs for single standards. The arrival of a new generation of products -
such as 3G and 5 GHz WLAN-- will further accelerate their take up, providing opportunities
for new benefits.
Whilst it will still take considerable time for the ultimate possibilities and implications of
SDR, such as adaptive intelligent software radio, to be fully appreciated and to find their way
through to appropriate regulatory frameworks, first steps in regulation have already been
taken, led by the FCC in North America; the priority of SDR is now increasing with European
regulators. The Fcc's current consultation on security offers an opportunity to address some
fundamentally important issues; the direction and depth of industry responses to this consul-
tation has the potential to influence the pace of commercial SDR deployment.

Manuscrit re~u le 17.02.02


Acceptd le 05.03.02

ANN. TELI~COMMUN.,57, n ~ 5-6, 2002 23/24


W. TUTTLEBEE -- ADVANCES IN SOFTWARE DEFINED RADIO 337

ACKNOWLEDGEMENTS

This paper draws heavily upon two books on software defined radio recently edited by the author. The author is very
grateful to the publisher and to the chapter contributors to these books, listed below, some of whose pioneering work
is reported in this article. References to specific chapters are indicated above by reference to the relevant contributors.

"Software Defined Radio: Origins, Drivers & International Perspectives":


Eduardo Ballesteros (Telefonica, Spain), Paul Bender (RegT[', Germany), Wayne Bonser (us Air Force Research
Labs, Rome, NV, USA), Rainer Bott (Rohde & Schwarz GmbH, Germany), Didier Bourse (Motorola, France), Pubudu
Chandrasiri (Vodafone, UK), Kate Cook (Qinetiq, OK), Markus Dillinger (Siemens, Germany), Gavin Ferris
(RadioScape, UK), Mike Grable (Harris, Wiltshire & Grannis LLP, USA), Shinichiru Haruyama (Sony, Japan), David
Hislop (RadioScape, UK), Ryuji Kohno (Yokohama National University, Japan), Rudiger Leschom (Rohde &
Schwarz GmbH, Germany), Alan Margulies (SOR Forum, USA), Carlos Martinez (Telefonica, Spain), Stephen O'Fee
(Radiocommunications Agency, UK), John Ralston (Stratalight, USA).

"Software Defined Radio: Enabling Technology":


Mark Beach (University of Bristol, un), Stephen Blust (Cingular Wireless, USA), Brad Brannon (Analog Devices,
USA), Darren K. Brock (Hypres Inc, USA), Paul Bucknell (Philips, UK), John Chapin (Vanu Inc, USA), Chris
Cloninger (Analog Devices, USA), Mark Cummings (enVia, OSA), Dimitrios Efstathiou (Analog Devices, usA),
Gerhard Fettweis (University of Dresden, Germany), Paul Hendriks (Analog Devices, USA), Tim Hentschel
(University of Dresden, Germany), Bahram Honary (Lancaster University, oK), Friedrich Jondral (University of
Karlsruhe, Germany), David Lund (HW Communications Ltd, UK), Paul Master (Quicksilver Technology, USA),John
McLeod (University of Bristol, UK), Klaus Moessner (University of Surrey & Mobile VCE, VK), Steve Pitchers
(Philips, UK), Bob Plunkett (Quicksilver Technology, USA), Ed Willink (Thales, UK), Paul Warr (University of
Bristol, vn), Zoran Zvonar et al (Analog Devices, USA)

REFERENCES

[ 1] TUTTLEBEE(WHW) ed., "Software Defined Radio: Origins, Drivers & International Perspectives", published by
John Wiley & Sons, lSBN 0470844647.
[2] TUTTLEBEE(WHW) ed., "Software Defined Radio: Enabling Technology", published by John Wiley & Sons,
ISBN 0470843187

BIOGRAPHY

Walter Tuttlebee heads up the Virtual Centre of Excellence in Mobile & Personal Communications - Mobile VCE
- a unique not-for-profit company established by the mobile phone industry and academia to undertake long-term,
industry-steered, collaborative research (www.mobilevce.com). Mobile VCE's activities include software radio
research, an area Walter helped pioneer in Europe in the mid-1990's, whilst with Siemens Roke Manor Research.
Walter previously led research and development teams in 2 na and 3 ra generation mobile communications, as well as
operating in a business development role in personal communications, digital broadcasting and satellite communi-
cations - three fields which share in c o m m o n great potential for the application of software radio technologies.
Prior to the Wiley Software Defined Radio Series, Walter edited two previous books, both on short range wireless
communications, as well as conceiving and creating related on-line communities - DECTweb and Bluetoothweb
(www.dectweb.org, www.bluetoothweb.org). He holds an MBA from Cranfield and PhD from Southampton
University, is a Senior Member of the IEEE, a Fellow of the IEE and a Fellow of the RSA.

24/24 ANN. TI~LI~COMMUN.,57, n ~ 5-6, 2002

You might also like