You are on page 1of 65

AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

CHAPTER-1

1.1 INTRODUCTION:

Versatile computerized channels have been connected to an assortment of vital issues


lately. Maybe a standout amongst the most surely understood versatile calculations is the
minimum mean squares (LMS) calculation, which overhauls the weights of a transversal
channel utilizing an inexact procedure of steepest plummet .Because of its
straightforwardness, the LMS calculation has gotten a lot of consideration, and has been
effectively connected in various territories including channel leveling, clamor and
reverberation dropping and numerous others.
Slightest mean squares (LMS) calculations are a class of versatile channel
used to copy a coveted channel by discovering the channel coefficients that identify with
delivering the minimum mean squares of the lapse signal (distinction between the fancied
sign and the real flag). It is a stochastic slope plunge strategy in which the channel is
adjusted in light of the present time blunder. The fundamental thought behind LMS channel
is to overhaul the channel weights to merge to the ideal channel weight. The calculation
begins by expecting a little weights (zero as a rule), and at every stride, where the angle of
the mean square slip, the weights are discovered and overhauled. In the event that the MSE-
angle is sure, the mistake increments emphatically, else the same weight is utilized for
further cycles, which implies we have to diminish the weights.
If the gradient is negative, weight need to be increased. Hence, basic weight
update equation during the nth iteration:

wn+1= wn + µ Δ£ (η) ……...(1)

Where ε speaks to the mean-square error, μ is the stride size, Wn is the


weight vector. The negative sign shows that, need to alter the weights in a course inverse
to that of the inclination slant The mean-square blunder which is an element of channel
weights is a quadratic capacity which says that it has one and only great, which minimizes
the mean-square slip, is the ideal weight. The LMS subsequently, approaches towards this
ideal weight by rising/plummeting down the mean square-lapse verses channel weight ben.

Dept of ECE, NITS Page 1


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

CHAPTER-2

2.1 LITERATURE SERVEY

The Slightest Mean Square (LMS) versatile channel is the most famous and most
broadly utilized versatile channel on account of its straightforwardness as well as in view
of its agreeable merging execution. The immediate structure LMS versatile channel
includes a long discriminating way because of an internal item calculation to acquire the
channel yield. The basic way is obliged to be diminished by pipelined usage when it
surpasses the fancied example period. Since the ordinary LMS calculation does not backing
pipelined execution in light of its recursive conduct, it is adjusted to a structure called the
postponed LMS (DLMS) calculation, which permits pipelined usage of the channel. A ton
of work has been done to execute the DLMS calculation in systolic architectures to expand
the most extreme usable recurrence, at the same time; they include an adjustment deferral
of ∼ N cycles for channel length N, which is high for large order channels. Since the union
execution corrupts significantly for an extensive adjustment delay, Visvanathan et al. have
proposed an altered systolic structural planning to decrease the adjustment.

A transpose-structure LMS versatile channel is proposed in where the channel yield


at any moment relies on upon the postponed adaptations of weights and the quantity of
deferrals in weights differs from 1 to N. Van and Feng have proposed a systolic
construction modeling, where they have utilized generally huge handling components
(PEs) for accomplishing a lower adjustment delay with the discriminating way of one
Macintosh operation. Ting et al. have proposed a fine-grained pipelined outline to confine
the basic way to the greatest of one expansion time, which underpins high testing
recurrence, yet includes a considerable measure of territory overhead for pipelining and
higher force utilization than in, because of its extensive number of pipeline locks. Further
exertion has been made by Meher and Maheshwari to decrease the quantity of adjustment
postponements. Meher and Park have proposed a 2-bit augmentation cell, and utilized that
with an effective snake tree for pipelined internal item reckoning to minimize the
discriminating way and silicon range without expanding the quantity of adjustment
deferrals.

Dept of ECE, NITS Page 2


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

The current deal with the DLMS versatile channel does not talk about the altered
point execution issues, e.g., area of radix point, decision of word length, and quantization
at different phases of reckoning, despite the fact that they straightforwardly influence the
meeting execution, especially because of the recursive conduct of the LMS calculation.
Subsequently, altered point usage issues are given sufficient accentuation in this paper. In
addition, we show here the streamlining of our already reported configuration, to diminish
the quantity of pipeline postpones alongside the territory, inspecting period, and vitality
utilization. The proposed configuration is discovered to be more effective as far as the force
delay item (PDP) and vitality delay item (EDP) contrasted with the current structures.

2.2 EXISTING SYSTEM


The current deal with the DLMS versatile channel does not talk about the altered point
usage issues, e.g., area of radix point, decision of word length, and quantization at different
phases of calculation, in spite of the fact that they straightforwardly influence the merging
execution, especially because of the recursive conduct of the LMS calculation.
EXISTING TECHNIQUE
• LMS adaptive filter.
• DRAWBACKS:
• Fixed-point implementation issues.
• Delay & Area high.
2.3 PROPOSED SYSTEM
In this proposed we utilized a novel PPG for effective usage of general augmentations and
internal item processing by regular sub expression sharing. We have proposed a proficient
expansion plan for inward item processing to diminish the adjustment defer fundamentally
so as to accomplish speedier union execution and to decrease the basic way to bolster high
info examining rates.
PROPOSED TECHNIQUE:
• DLMS adaptive filter.
2.4 OBJECTIVE
In commonsense uses of the LMS versatile transversal separating calculation, a
postponement in the coefficient is overhauled. This venture talks about the conduct of the

Dept of ECE, NITS Page 3


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

deferred LMS calculation. This undertaking presents an effective construction modeling


for the usage of a deferred minimum mean square versatile channel. with a specific end
goal to accomplish lower adjustment postpone, a novel halfway item generator is utilized.

2.5 THEORY OF THE PROJECT


we present an efficient architecture for the implementation of a delayed least mean
squaadaptive filter. For achieving lower adaptation-delay and area-delay-power efficient
implementation,
2.6 REQUIRED COMPONENTS
• ADAPTIVE FILTERING
• FIXED POINT LMS ADAPTIVE FILTER
• LMS ADAPTIVE FILTER
• LEAST MEAN SQUARE
2.7 ADAPTIVE FILTERING
The versatile channel itself can change its exchange capacity as indicated by a streamlining
calculation and item can be accomplished by the adjustment of its attributes. They give
adaptability and precision in the field of correspondence and control. The LMS (Slightest
Mean Square) calculation is broadly utilized as a result of its simple counts and better
joining execution. LMS versatile channel has extensive variety of utilizations in
correspondence and DSP (Advanced Sign Handling, for example, indicator, framework
recognizable proof, clamor retraction, evening out. However, the immediate execution of
LMS calculation has long basic way which is because of the complex inward item
reckonings to acquire the channel output. With a specific end goal to stay away from this
long basic way, pipelining must be presented when it surpasses the wanted example period.
The ordinary LMS calculation does not bolster pipelining. Thus, the rapid versatile
channels have been for the most part in light of versatile cross section channel, which
backings pipelining. Long has demonstrated that some postponement can be included the
weights of LMS calculation which changed LMS calculation to DLMS calculation
supporting pipelined operations. As the LMS calculation is appropriate for programming
reproduction yet not for equipment usage, DLMS (Delayed Slightest Mean Square)
calculation is acquainted all together with give VLSI (Very Substantial Scale Mix)
implementations. Fig. 2.1 demonstrates the essential square chart of versatile separating.

Dept of ECE, NITS Page 4


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

The error signal e(k) can be generated by the output of the adaptive filter y(k) subtracted
from a reference signal d(k).

e(k) = d(k) – y(k) (1)

At the point when the e(k) has accomplished its base esteem through the cycles of
the adjusting calculation, the procedure is done and its coefficients have united to an
answer. The last yield from the versatile channel coordinates intently the coveted sign
d(k).

Figure.2.1: Block Diagram of Adaptive Filtering

At the point when the information qualities are changed, once in a while called the
channel environment, the channel adjusts to the new environment by creating another
arrangement of coefficients for the new information yield from the versatile channel
coordinates intently the coveted sign d(k). Thus, the rapid versatile channels have been for
the most part in light of versatile cross section channel, which backings pipelining. Long
has demonstrated that some postponement.

Adaptive filters have a wide range of communication and DSP applications.


The aim of adaptive filter is to estimate the sequence of scalars from on observation
sequence filtered by a system in w h i c h coefficients vary. There are two types of
adaptive filter. They are recursive least square (RLS), least mean square (LMS). The

Dept of ECE, NITS Page 5


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

most widely used algorithm for adaptive filter is least mean square algorithm. The
LMS adaptive filter involves a long critical path due to an inner-product computation
to obtain the filter output. The critical path is required to be reduced by pipelined
implementation when it exceeds the desired sample period. Conventional LMS
algorithm does not support the pipelined implementation because of its recursive
behavior; it is modified to a form called the delayed LMS algorithm.

2.8 FIXED POINT LMS ADAPTIVE FILTER

Adaptive filters with fixed -point arithmetic requires evaluating the


computation quality. The aim of adaptive filters is to estimate a sequence of scalars
from an observation sequence filtered by a system in which co-efficient vary. These
co-efficient converge towards the optimum co-efficient which minimize the mean
s quare error (MSE) between the filtered observation signal and the desired sequence.
A new model for evaluating the noise power in a fixed-point implementation of the
LMS algorithm is presented. This approach has for main advantage to be more
tractable than the models to be valid for all types of quantization.

2.9 LMS ADAPTIVE FILTER

An adaptive filter is a system with a linear filter that has a transfer function controlled
by variable parameters and a means to adjust those parameters according to an
optimized algorithm. An adaptive filter is a computational device that attempts to
model the relationship between two signals in real time in an iterative manner. adaptive
filter is a system with a linear filter that has a transfer function controlled by variable
parameters

A systolic architecture with minimal adaptation delay and input/output latency, thereby
improving the convergence behavior to near that of the original LMS algorithm.

Dept of ECE, NITS Page 6


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

2.10 AN EFFICIENT SYSTOLIC ARCHITECTURE

The LMS adaptive algorithm minimizes approximately the mean-square error


by recursively altering the we ight v e c t o r at e a c h sampling instance. Thus, an adaptive
FIR digital filter driven by the LMS algorithm can be described in vector form as where
and denote the desired signal and output signal, respectively. The step-size is used for
adaptation of the weight vector, and is the feedback error.

2.11. LEAST MEAN SQUARE

LMS algorithm is used to minimum a desired filter by finding the filter


coefficients that relate to producing the least mean squares of the error signal. The LMS
algorithms adjust the filter co- efficient to m i n i m i z e t h e cos t f u n c t i o n compared
to the RLS algorithms. MS algorithm does not involve any matrix operations. MS requires
fewer computational resources and many than the RLS algorithm. The implementation
of the LMS algorithms also is less complicated than the RLS algorithm. The least mean
square (LMS) adaptive filter is the most popular and most widely used adaptive filter,
not only because of its simplicity but also because of its satisfactory convergence
performance.

The direct form LMS adaptive filter involves a long critical path due to an
inner- product computation to obtain the filter output. The critical path is required to
be reduced by pipelined implementation when i t e x c e e d s the desired sample period.
Since the conventional LMS algorithm does not support pipelined implementation
because of its recursive behavior, it is modified to a form called the delayed LMS
(DLMS) algorithm ,which allows pipelined implementation of the filter.The DLMS
algorithm in systolic architectures to increase the maximum usable frequency .A systolic
architecture, where they have used relatively large processing elements (PEs) for
achieving a lower adaptation delay with the critical path of one MAC operation. The use
of delayed coefficient adaptation in the LMS algorithm has enabled the design of modular
systolic architectures for real-time transversal adaptive filtering.

Dept of ECE, NITS Page 7


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

2.12 RELATED WORK

The square graph of the routine DLMS versatile channel is demonstrated in Fig.2.2

Figure2.2: Block Diagram of Conventional DLMS Algorithm

Here the aggregate adjustment postponement of m cycles equivalents to the deferral


presented by the separating procedure and the weight-redesign process.

Different systolic architectures have been actualized utilizing the DLMS


calculation. They are basically concerned with the expand the greatest usable frequency.
Issue with these architectures was they were including an extensive adjustment delay.
This postponement is of ~ N cycles for channel length N, which is high for expansive
request channels shows Nth request pipelined DLMS versatile channel actualized by
Mayer and Agrawal. Here the aggregate adjustment postponement of m cycles equivalents
to the deferral presented by the separating procedure and the weight-redesign process. Issue
with these architectures was they were including an extensive adjustment delay. This
postponement is of nth channel may different from other filters. channel adjusts to the new
environment by creating another arrangement of coefficients for the new information yield
from the versatile channel.

Dept of ECE, NITS Page 8


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

Figure 2.3. Nth Order Pipelined DLMS Adaptive Filter

2) Substantial adaption deferral lessens the union execution of the versatile channel. In this
manner to decrease the adjustment delay, Visvanathan et al. have proposed a changed
systolic construction modeling. This building design has insignificant adaption postpone
and data/yield inactivity. Fig.2.4 shows collapsed systolic exhibit for DLMS calculation
with Limit Processor Module (BPM) and Collapsed Processor Module (FPM). The
complex FPM hardware of past outlines has been supplanted by basic 2:1 mux alongside
registers. Suitable quantities of registers are moved from BPM to FPM. Processors are
pipelined.

The key changes utilized are back off and collapsing to diminish adaption delay.
With the utilization of convey spare number-crunching, the systolic collapsed building
design can bolster high inspecting rates yet are restricted by the deferral of a full viper.
Rich register structural planning of FPGA can permit pipelining at CLB level, i.e., fine
grained pipelining. Accordingly, Virtex FPGA innovation is utilized. Each CLB goes about
as a 1-bit viper. Different estimated swell convey adders are permitted by devoted array
rationale. This outline constrains the basic way to the most extreme of one expansion time
and The PE consolidates the systolic construction modeling and tree structure to decrease
adaption delay. In any case, it includes the discriminating way of one Macintosh operation.

Dept of ECE, NITS Page 9


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

Figure 2.4. Folded Systolic Array for DLMS Algorithm

3) Tree strategies improve the execution of versatile channel however they need in
seclusion, nearby association. Additionally, with the increment in three stages
discriminating period likewise increments. With a specific end goal to accomplish a lower
adaption postpone once more, Van and Feng have proposed a systolic construction
modeling, where they have utilized moderately extensive preparing components (PEs). The
PE consolidates the systolic construction modeling and tree structure to decrease adaption
delay. In any case, it includes the discriminating way of one Macintosh operation.

4) Ting et al have proposed a fine-grained pipelined configuration. Pipelining is connected


to multipliers to lessen the discriminating way. Rich register structural planning of FPGA
can permit pipelining at CLB level, i.e., fine grained pipelining. Accordingly, Virtex FPGA
innovation is utilized. Each CLB goes about as a 1-bit viper. Different estimated swell
convey adders are permitted by devoted array rationale. This outline constrains the basic
way to the most extreme of one expansion time and henceforth bolsters high testing
recurrence. Be that as it may, as vast quantities of pipeline locks are being utilized it
includes a considerable measure of territory overhead for pipelining and higher force
utilization. Additionally, the directing of FPGA includes expansive deferral.

5) Meher and Maheshwari altered the routine DLMS calculation to a proficient structural
engineering with inward item reckoning unit and pipelined weight redesign unit. Adaption

Dept of ECE, NITS Page 10


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

postponement of DLMS versatile channel can be separated into two sections: one section
is deferral presented in pipelining phases of sifting and second part is deferral presented in
pipelining phases of weight updation. In view of these parts DLMS versatile channel can
be executed as indicated in Fig2.2

The weight-update equation of the DLMS algorithm is given by

wn+1= wn + µ en xn

where en= dn - yn ; yn = wnT.xn

Calculations of the slip processing piece and the updation square are decoupled by the
adjusted DLMS calculation. This permits ideal pipelining by feed forward cut-set retiming
of both these areas independently to minimize the quantity of pipeline stages and
adjustment delay.

6) Further Meher and Maheshwari have proposed a 2-bit increase cell alongside an
effective viper tree for pipelined internal item processing. This construction modeling
minimizes the basic way and silicon region without expanding the quantity of adjustment
postponements. Both lapse reckoning and weight redesign square is actualized utilizing 2-
bit duplication cell.

7) Adaptive filters have a wide range of communication and DSP applications. The
aim of adaptive filter is to estimate the sequence of scalars from on observation
sequence filtered by a s y s t e m in w h i c h coefficients vary. There are two types of
adaptive filter. They are recursive least square (RLS), least mean square (LMS). The
most widely used algorithm for adaptive filter is least mean square algorithm. The
LMS adaptive filter involves a long critical path due to an inner-product computation
to obtain the filter output. The critical path is required to be reduced by pipelined
implementation when it exceeds the desired sample period. Conventional LMS
algorithm does not support the pipelined implementation because of
its recursive behavior; it is modified to a form called the delayed LMS algorithm.

Dept of ECE, NITS Page 11


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

Figure 2.5: 2-Bit Multiplication Cell

The L/2 number of AND/OR cell (AOC) and 2-to-3 decoders makes the complete
augmentation cell which reproduces word An and info x each of l bits. Each AOC contains
3 AND cells and an OR-tree of 2 OR cells. Each AND door take (W + 2)- bit information
D and a solitary bit data b. Each OR door takes (W +2) information pair words. The 2-to-
3 decoder takes a 2-bit data and produces three yield b0, b1 and b2. The AOC perform an
augmentation of information operand A with good for nothing digit (Xl, xo), such that the
2-bit increase cell. Then the number of cells having contains 3 AND cells and an OR-tree
of 2 OR cells. Each AND door take (W + 2)- bit the L/2 number of AND/OR cell (AOC)
and 2-to-3 decoders makes the complete augmentation cell which reproduces word hence
data having the information through the data passed by the and are cell through it. Each
AOC contains 3 AND cells and an OR-tree of 2 OR cells. Each AND door take (W + 2)-
bit information D and a solitary bit data b. Each OR door takes (W +2) information pair
words.

Dept of ECE, NITS Page 12


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

CHAPTER-3

3.1 LMS ADAPTIVE FILTER

The LMS Channel actualizes a versatile FIR channel question that profits the
separated yield, the lapse vector, and channel weights. The LMS channel utilizes one of
five distinct LMS calculations.

Slightest mean squares (LMS) calculations are a class of versatile channel used to
copy a craved channel by discovering the channel coefficients that identify with delivering
the minimum mean squares of the blunder signal (distinction between the sought and the
real flag). It is a stochastic angle drop strategy in that the channel is just adjusted in light
of the mistake at the present time. It was designed in 1960 by Stanford College teacher
Bernard Widrow and his first Ph.D. understudy, Ted Hoff.

Figure 3.1: LMS adaptive filter

The LMS Channel actualizes a versatile FIR channel question that profits the
separated yield, the lapse vector, and channel weights. The LMS channel utilizes one of
five distinct LMS calculations.

Dept of ECE, NITS Page 13


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

3.1.1. RELATIONSHIP TO THE LEAST MEAN SQURES FILTER

The acknowledgment of the causal Wiener channel looks a great deal like the
answer for the minimum squares evaluation, aside from in the sign preparing space. The
slightest squares arrangement, for info framework and yield vector is

T -I T
β = (X X) X . y

The FIR slightest mean squares channel is identified with the Wiener channel,
however minimizing the blunder measure of the previous does not depend on cross-
connections or auto-relationships. Its answer focalizes to the Wiener channel arrangement.
Most direct versatile separating issues can be planned utilizing the piece graph above. That
is, an obscure framework is to be recognized and the versatile channel endeavors to adjust
the channel to make it as close as could be expected under the circumstances to , while
utilizing just discernible signs , and ; however , and are not specifically noticeable. Its
answer is firmly identified with the Wiener channel.

3.1.2. DISADVANTAGE OF LMS ADAPTIVE FILTER, DUE TO


WHICH WE ARE GOING FOR DELAYED LMS ADAPTIVE FILTER

The Slightest Mean Square (LMS) versatile channel is the most prominent and most
broadly utilized versatile channel in light of its straightforwardness as well as on account
of its attractive meeting execution. The immediate structure LMS versatile channel
includes a long discriminating way because of an inward item processing to get the channel
yield. The discriminating way is obliged to be decreased by pipelined execution when it
surpasses the coveted example period. Since the customary LMS calculation does not
backing pipelined usage in view of its recursive conduct, it is changed to a structure called
the deferred LMS (DLMS) calculation, which permits pipelined execution of the channel.

Pipelining is an imperative procedure utilized as a part of a few applications, for


example, computerized sign preparing (DSP) frameworks, microchips, and so on. It begins
from the thought of a water channel with persistent water sent in without sitting tight for

Dept of ECE, NITS Page 14


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

the water in the funnel to turn out. In like manner, it brings about velocity improvement for
the basic way in most DSP frameworks. For instance, it can either build the clock speed or
lessen the force utilization at the same speed in a DSP framework.

Pipelining permits distinctive practical units of a framework to run simultaneously.


Consider a casual case in the accompanying figure. A framework incorporates three sub-
capacity units (F0, F1 and F2). Expect that there are three free undertakings (T0, T1 and
T2) being performed by these three capacity units. The time for every capacity unit to finish
an undertaking is the same and will involve an opening in the timetable.

Figure 3.2: pipelining of five slots

If we put these three units and tasks in a sequential order, the required time to
complete them is five slots. However, if we pipeline T0 to T2 concurrently, the aggregate
time is reduced to three slots. Pipelining is an imperative procedure utilized as a part of a
few applications, for example, computerized sign preparing (DSP) frameworks,
microchips, and so on. The proposed outline is discovered to be more effective as far as
the force delay item (PDP) contrasted with the pipeleind part through it.hence the existing
system will me more effective and more fast.hence the area will be reduced.

Dept of ECE, NITS Page 15


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

Figure 3.3: pipelining of three slots

Along these lines, it is feasible for a sufficient pipelined outline to accomplish


critical upgrade on pace. In this task, we are giving the satisfactory accentuation for the
altered point execution issues, which are not talked about in the current work because of
the recursive conduct of the LMS calculation.

We exhibit here the enhancement outline to diminish the quantity of pipeline


postpones alongside the region, examining period, and vitality utilization. The proposed
outline is discovered to be more effective as far as the force delay item (PDP) contrasted
with the current structures. The weights of LMS versatile channel amid the nth emphasis
are upgraded by taking after mathematical statements

wn+1 = wn + μ · en · xn

where en= dn- yn ; yn = wnT.xn

where the input vector xn, and the weight vector wn at the nth iteration are, respectively,
given by

xn=[xn,xn-1,…….,xn-N+1]T

wn=[wn(0), wn(1),……. wn(N-1)]T

Dept of ECE, NITS Page 16


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

3.2. BLOCK DIAGRAM

The proposed DLMS adaptive filter structural block diagram is as shown in the
figure.

Figure 3.4: The proposed DLMS adaptive filter structural block diagram

dn is the fancied reaction, yn is the channel yield, and en means the mistake
figured amid the nth emphasis. μ is the stride size, and N is the quantity of weights
utilized as a part of the LMS versatile channel.

In pipelined outlines with m pipeline organizes, the mistake en gets to be accessible after
m cycles, where m is known as the "adjustment defer." The DLMS calculation in this
manner uses the deferred blunder en−m, i.e., the slip relating to (n − m) th emphasis for
upgrading the present weight rather than the later most lapse. The weight-overhaul
comparison of DLMS versatile channel is gives." The DLMS calculation in this manner
uses the deferred blunder en−m.

wn+1= wn + µ. en-m. xn-m

Dept of ECE, NITS Page 17


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

The adjusted DLMS calculation decouples reckonings of the blunder processing


piece to minimize the quantity of pipeline stages and adjustment delay. As demonstrated
in Figure, there are two fundamental registering squares in the versatile channel building
design: 1) the mistake calculation piece, and 2) weight-overhaul piece.

Figure 3.5: proposed architecture of the error computation block

The mistake processing square comprises of N number of 2-b fractional item


generators (PPG) comparing to N multipliers and a group of L/2 double viper trees,
trailed by a solitary shift–add tree.

On the off chance that we present pipeline locks after every expansion, it would
oblige L(N − 1)/2 + L/2 − 1 hooks in log2 N + log2 L − 1 stages, which would prompt a
high adjustment postpone and present a huge overhead of range and force utilization for
extensive estimations of the adjustment postponement is deteriorated into n1 and n2.

Dept of ECE, NITS Page 18


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

The lapse reckoning piece creates the postponed mistake by n1 −1 cycles, which
is nourished to the weight-upgrade square demonstrated in the beneath Figure in the wake
of scaling.

3.3 PIPELINED STRUCTURE OF THE ERROR COMPUTATION


BLOCK
The proposed structure for error computation unit of an N-tap DLMS adaptive filter
is shown in Fig. 3.6. It consists of N number of 2-bit partial product generators (PPG)
corresponding to N multipliers and a clusterof L/2 binary adder trees, followed by a single
shift–add tree. Each sub block is described in detail

i)STRUCTURE OF PPG
The structure of each partial product generator (PPG) is shown in Fig.3.6.It consists of L/2
number of 2-to-3 decoders and the equal number of AND/OR cells(AOC) Each of the 2-
to-3 decoders takes a 2-bit digit (u1u0) as input and produces three outputs b0=u0.u1,
b1=u0·u1,and b2=u0·u1, such that b0=1 for (u1u0)=1, b1=1 for(u1u0)=2, and b2=1 for
(u1u0)=3. The decoder output b0,b1 and b2 along with aoc.

Figure 3.6 structure of partial product generator

Dept of ECE, NITS Page 19


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

The decoder output b0, b1 and b2 along with w, 2w, and 3w are given to an AOC,
where w, 2w, and 3w are in 2’s complement representation and sign-extended to have
(W+2) bits each.

ii)STRUCTURE OFAOCS

The structures of an AOC consist of three AND cells and two OR cells. Each AND
cell take an n-bit input and a single bit input b, also consists of n AND gates. It distributes
all the n bits of input D to its n AND gates as one of th e inputs. The other inputs of all the
n AND gates are fed with the single-bit input b. The output of an AOC is w, 2w, and 3w
corresponding to the decimal values 1, 2, and 3 of the 2-b input (u1u0). The decoder along
with the AOC performs 2-bit multiplicati on and L/2 parallel multiplications with a 2-bit
digit to produce L/2 partial products of the product word.

iii)STRUCTURE OF ADDER TREE


The shifts-add operation on the partial products of each PPG gives the product value
and then added all the N product values to compute the inner product output. However, the
shift-add operation obtains the product value which increases the word length, and the
adder size. To avoid increase in word size of the adders, we add all the Npartial products
of the same place value from all the N PPGs by a single adder tree. Table I shows the
pipeline latches for various filter lengths. Truncated are not generated bythe PPGs, so the
complexity of PPGs also gets reduced.
The adder tree and shift–add tree computation can be pruned for further
optimization of area, delay, and power complexity to have (W+2) bits each. To have more
hardware saving, the bits to be truncated are not generated by the PPGs, so the complexity
of PPGs also gets reduced. To have more hardware saving, the bits to be truncated are not
generated by the PPGs, so the complexity of PPGs also gets reduced. The decoder output
b0,b1 and b2 along with w, 2w, and 3w are given to an AOC,where w, 2w, and 3w are in
2’s complement representation and sign-extended to have (W+2) bits each The adder tree
and shift–add tree computation can be pruned for further optimization of area, delay, and
power complexity. To avoid increase in word size of the adders, we add all the N partial
products of the same place value from all the N PPGs by a single adder tree.

Dept of ECE, NITS Page 20


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

To have more hardware saving, the bits to be truncated are not generated by the
PPGs, so the complexity of PPGs also gets reduced. To have more hardware saving, the
bits to be truncated are not generated by the PPGs, so the complexity of PPGs also gets
reduced. The decoder output b0,b1 and b2 along with w, 2w, and 3w are given to an AOC.

3.4 WEIGHT-UPDATE BLOCK

The proposed structure of weight-update block is shown in Fig.3.6. It performs N


multiply-accumulate operations of the form(μ×e)×xi+wi to update N filter weights. The
step size μ is taken as a negative power of 2 to realize the multiplication with recently
available error by the shift operation. Each MAC unit performs the multiplication of the
shifted value of error with the delayed input samples xi followed by the additions with the
corresponding old weight values wi. All the MAC operations are performed by N PPGs,
followed by N shift–add trees.

Each of the PPGs generates L/2 partial products corresponding to the product of
the recently shifted error value μ×e with the number of 2-bit digits of the input word xic.
The final outputs of MAC units constitute updated weights to be used as inputs to the error-
computation block and the weight-update block for the next iteration. All the MAC

Dept of ECE, NITS Page 21


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

operations are performed by N PPGs, followed by N shift–add trees. Each of the PPGs
generates L/2 partial products corresponding to the product of the recently shifted error
value. All the MAC operations are performed by N PPGs, followed by N shift–add trees.
Each of the PPGs generates L/2 partial products corresponding to the product of the
recently shifted error value.

. The step size μ is taken as a negative power of 2 to realize the multiplication with
recently available error by the shift operation. Each MAC unit performs the multiplication
of theby μ; then the info is deferred by 1 cycle before the PPG to make the aggregated

Figure 3.7: Proposed structure of the weight update block

The weight-upgrade piece creates wn−1−n2, and the weights are deferred by n2+1
cycle. On the other hand, it ought to be noticed that the deferral by 1 cycle is because of
the lock before the PPG, which is incorporated in the postponement of the mistake
reckoning piece, i.e., n1. In this way, the postponement produced in it.

Dept of ECE, NITS Page 22


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

3.5. APPLICATION

• Digital communication & Signal processing applications.


• Digital radio receivers.
• Down converts.
• Software

3.6. ADVANTAGES

• No fixed point issues.


• Less area requirement, Low delay.

3.7. ENHANCEMENT WORK

We will apply this Delayed LMS adaptive filtering in the applications like
EEG (electroencephalogram).

Dept of ECE, NITS Page 23


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

CHAPTER-4

TOOLS REQUIRED

4.1 HARDWARE TOOLS

4.1.1 FPGA DESIGN FLOW

As shown in fig 4.1 a simplified version of FPGA Design Flow mainly contains 5 blocks
as follows:

• Design Entry.
• Synthesis.
• Implementation.
• Device Programming.
• Design Verification.

Figure 4.1: FPGA Design Flow

Dept of ECE, NITS Page 24


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

DESIGN ENTRY

There are different types of design entry techniques like HDL Design Entry,
Schematic based design entry or combination of both these design entries etc. One can
select a design entry based on designer’s choice. If the designer works on hardware then a
better choice of design entry is a schematic entry. If the designer thinks that the design is
complex and contains algorithms, then it is better to choose HDL design entry. If the design
works both on algorithms and also hardware a combination of those entries can be selected.
If the design involves a series of states, the designer can choose state machines design
entry, which is rarely used. But there are limited tools for state machine design entry.

SYNTHESIS:

Synthesis is a process of translating high level language into a gate level net-list
form (Primitive gates, F/F’s etc.). If we have more number of sub-designs the each sub-
design is considered as a design element and each element generates a separate net list in
synthesis process.

Figure 4.2: Block diagram of synthesis process.

If the designer thinks that the design is complex and contains algorithms, then it
is better to choose HDL design entry. If the design works both on algorithms and also
hardware a combination.

Dept of ECE, NITS Page 25


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

In synthesis process the code syntax is checked and the hierarchy of the design is
analyzed, so that whether it is suited to the design it is selected. Finally the resulted net lists
are saved in an NGC (Native Generic Circuit) file as shown in fig 4.2

IMPLEMENTATION

Implementation is done in 3-steps as follows.

• Translate
• Map
• Place and Route

TRANSLATE

In this the NGC file containing input net list’s and constraints are combined to a
logic design file which can be saved as NGD (Native Generic Database) file, which is done
by NGD build program as shown in fig 4.3. In this, the constraints means the ports in the
design are assigned to the elements that are physically available in the target device and
timing requirements of the design are also specified. All these specifications are stored in
UCF (User Constraints File). PACE and Constraint Editor Tools are used

Figure 4.3: Block diagram of translate process.

Dept of ECE, NITS Page 26


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

MAP

In mapping process the whole design is to be mapped into the FPGA logic block so
the whole design circuit is divided into sub-blocks in such a way that it can be suitable to
that particular logic block it is to be mapped. The logic that is present in NGD file is
mapped into the targeted FPGA elements (CLB’s, IOB’s) and produces NCD (Native
Circuit Description) file which physically indicates the design mapped to the components
of FPGA as shown in fig 4.4. All this process is done through MAP program.

Figure 4.4: Block diagram of mapping process.

PLACE AND ROUTE (PAR)

This PAR program is used to place a sub-block from the MAP process into logic
blocks based on constraints and connects the logic blocks, which means it places the blocks
and the routing is done using PAR program as shown in fig. The PAR tool produces
completely routed NCD file as output by taking mapped NCD file as input. The total
routing information is present in the output NCD file.

The logic that is present in NGD file is mapped into the targeted FPGA elements
(CLB’s, IOB’s) and produces NCD (Native which means it places the blocks and the
routing is done using PAR program as shown in fig. The PAR tool of the place and routing
have the problem through it. hence if we have any problem the placement routing having
some errors in it.

Dept of ECE, NITS Page 27


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

Figure 4.5: Block diagram of PAR process

This PAR program is used to place a sub-block from the MAP process into logic
blocks based on constraints and connects the logic blocks, which means it places the blocks
and the routing is done using PAR program as shown in fig.

4.1.2 DEVICE PROGRAMMING

In this the programming is written in Verilog HDL language. The Verilog code for
this project is addend in APENDIX-A.

4.1.3 DESIGN VERIFICATION

Here the verification is done in 3 stages they are:

• Behavioral Simulation.
• Functional Simulation.
• Static Timing Analysis.

Hence these are the three design verifications which we are using the verification
functions.by using three functions we can simulate the functions by using the static and
timing analysis.

Three design verifications which we are using the verification functions.

Dept of ECE, NITS Page 28


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

BEHAVIOURAL SIMULATION

This is also called as RTL simulation. The primary simulation is


behavioural simulation which is done throughout the hierarchy of the design. This equation
is done before synthesis process to know whether the design is functioning as intended.
This can be done on either Verilog or VHDL designs. In this process variables and signals
are observed, functions and procedures are traced and break points are set. This simulation
is very fat if any change in the design has to be done, we can do it easily within a short
period in HDL code, since it is not yet synthesized to gate level, and timing and resource
usage properties are still known.

FUNCTIONAL SIMULATION

It is also known as posts translate simulation. In functional simulation we


get information above the logic operation of the circuit. After the translate process we can
verify the functionality of the design. If the designs do not meet the constraints again we
have to repeat the design flow steps.

STATIC TIMING ANALYSIS

It is done after MAP or PAR process. Post MAP timing report gives signal
path delays of the design logic and post place and route timing report contains timing delay
information.

4.1.4 XILINX SPARTAN-3E

To meet the needs of high volume, cost effective electronic applications the
SPARTAN 3E family of FPGA was designed. SPARTAN 3E increases the logic per I/O
block but it reduces the cost of each logic cell compare to SPARTAN 3 –family. As the
cost is decreasing it can be widely used in home appliances, broadband access, digital
television equipment, etc. It is alternative for mask programmed ASIC’s, as FPGA avoid
high initial cost. Fig shows the architecture of Spartan-3E Family.By using the Spartan 3e
we simulate the functions and by debbung the function through it .

Dept of ECE, NITS Page 29


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

There are five fundamental programmable functional elements in Spartan 3E family, they
are

• CLB (Configurable Logic Block): CLB’s are used to store data with the help of
storage elements such as flip flops or latches. CLB is very flexible to implement
the logic with the help of LUT’s.
• IOB’s (Input Output Blocks): IOB’s aids as bidirectional dataflow and tri state
operation. The flow of data I/O pins and internal logic of the device is controlled
by IOB’s.
• Block RAM: RAM block supports data storage in the form of 18-Kbit dual port
blocks.
• MULTIPLIER Blocks: It requires two 18-bit binary numbers as inputs and the
product is calculated.
• DCM (Digital Clock Manager): It automatically calculates fully digital solutions
for multiplying, dividing and phase shifting clock signals.

4.1.5 FEATURES OF SPARTAN 3E

• Low cost, high performance logic solutions, user based mostly applications.
• Support 90nm technology.
• Multi-input, Multi-standard IO interface pins (up to 376 I/O pins).
• The speed of transferring data per I/O is 622+ Mbits/s.
• it's versatile to IEEE 1149.1/1532 JTAG Programming/ right port.

Hence these are the main features which we are using It is alternative for mask
programmed ASIC’s, as FPGA avoid high initial cost. Fig. shows the architecture of
Spartan-3E Family.By using the Spartan 3e we simulate the functions and by debbung the
function through it.

Dept of ECE, NITS Page 30


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

Figure 4.6: Spartan-3E Family architecture.

To meet the needs of high volume, cost effective electronic applications the
SPARTAN 3E family of FPGA was designed. SPARTAN 3E increases the logic per
I/Oblock but it reduces the cost of each logic cell compare to SPARTAN 3 –family.

PACKAGE MARKING

As shown in Fig.4.7 associate degree example for half range XC3S250E-4PQ208C


Spartan-3E QFP Package Marking, it shows what variety of device, package, speed grade,
temperature range, mask revision code, fabrication code, method technology, data code,
ton code etc were used.

Figure 4.7: Example for half range XC3S250E-4PQ208C Spartan-3E QFP Package
Marking.

Dept of ECE, NITS Page 31


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

Figure 4.8: Photograph of NEXYS a pair of XILINX SPARTAN 3E FPGA

4.1.6 HISTORY FIELD PROGRAMMABLE GATE ARRAY OF FPGA

The historical roots of FPGAs are in complicated programmable logic devices


(CPLDs) of the first to middle Eighties. A Xilinx co-founder fancied the field
programmable gate array in 1984. CPLDs and FPGAs embody a comparatively sizable
amount of programmable logic parts. CPLD gate densities range from the equivalent of
many thousand to tens of thousands of logic gates, whereas FPGAs generally vary from
tens of thousands to many million.

The primary differences between CPLDs and FPGAs area unit subject field. A
CPLD incorporates a somewhat restrictive structure consisting of 1 or additional
programmable sum-of products logic arrays feeding a comparatively little range of clocked
registers. The results of this can be less flexibility, with the advantage of more inevitable
temporal order delays and a better logic-to-interconnect magnitude relation. The FPGA
architectures, on the opposite hand, area unit dominated by interconnect. FPGA is
device that contains a matrix of reconfigurable gate array logic electronic equipment. The
programmable logic elements are often programmed to duplicate the functionality of basic
logic gates like AND, OR, XOR and NOT or additional complete combinatory functions
Dept of ECE, NITS Page 32
AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

like decoders or straightforward mathematical functions. Most FPGA includes memory


elements in these programmable logic elements that accommodates straightforward flip-
flops or additional complete blocks of memory. once FPGA is designed, the interior
electronic equipment is connected in a very means which creates a hardware
Implementation of the computer code application. not like processors, FPGAs uses
dedicated hardware for process logic associate degreed doesn’t need an operating system.
FPGAs accommodates 3 components:

• array of programmable logic blocks


• with look-up tables (LUTs), registers, multiplexors
• programmable interconnect
• I/O blocks round the perimeter

Figure 4.9 diagram of FPGA

The performance of associate degree application is not affected once extra method
accessorial to the FPGA since is parallel in nature and don't have to vie to use constant
resource. FPGA will enforce important interlock logic and might be designed to prevent
I/O forcing by associate degree operator. not like hardwired computer circuit board (PCB)
styles, that have circuitry and restricted hardware resources.

FPGA based mostly system will virtually wire its internal circuitry to permit
reconfiguration when the system is deployed to the sector.

Dept of ECE, NITS Page 33


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

4.1.7. FPGA ADVANTAGE

FPGA have several blessings. one in every of that many advantages of FPGA are
that the solely unified flow that permits you to style for any semiconductor, vendor
similarly as language. the assorted silicones area unit PLD, Platform FPGA, structured
ASIC, ASIC Prototypes, ASICs and SOCs. There are several vendors available like Altera,
Xilinx, Actel, Atmel, Chip specific, Lattice and etc. There are a unit several languages that
may be used to program a FPGA like VHDL, Verilog, System Verilog, C, C++, PSL and
SVA.

FPGA time closure with precision Synthesis, advanced temporal order analysis and
optimizing temporal order closure with I/O optimization and PCB integration. FPGA is
additionally able to optimize the design method by reducing by the planning time with
speedy development method.

LANGUAGE USED IN FPGA

For an extended time, programming languages like FORTRAN, Pascal, and C were
getting used to explain computer programs that were consecutive in nature. Similarly,
within the digital style field, designers felt the requirement for a regular language to
describe digital circuits. c. Hardware description languages like Verilog high-density
lipoprotein and VHDL became widespread. Verilog high-density lipoprotein originated in
1983 at gateway style Automation.

Later, VHDL was developed below contract from Defense Advanced Research
Projects Agency. each Verilog® and VHDL simulators to simulate massive digital circuits
quickly gained acceptance from designers. To meet the needs of high volume, cost effective
electronic applications the SPARTAN 3E family of FPGA was designed. SPARTAN 3E
increases the logic per I/O block but it reduces the cost of each logic cell compare to
SPARTAN 3 –family. As the cost is decreasing it can be widely used in home appliances,
broadband access, digital television equipment.

Dept of ECE, NITS Page 34


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

POWER PROVIDES

Thanks to the 0.13μm method, the FPGA works with an inside provide voltage (VCCINT)
of one.5V associate degreed an output driver voltage (VCCO) of up to max.

4.2 SOFTWARE TOOLS

4.2.1 XILINX 12.3 ISE

This chapter discusses methodology and style tools that wont to develop and
implement this project. Contents of this chapter embody style methodology flow, Verilog
high-density lipoprotein and EDA tools such as XILINX twelve.3 ISE, FPGA synthesis,
XILINX SYSTEM GENERATOR.

In this project, the planning is shapely victimization Verilog high-density


lipoprotein at RTL level. As shown in Figure 4.10 digital system style are often shapely
victimization high-density lipoprotein at different abstraction level. activity abstraction is
that the highest level of high-density lipoprotein modeling. style shapely at this level has
less accuracy but has the quickest simulation speed. In most cases, the planning at activity
model isn't synthesizable by the planning synthesis tools. behavioral modeling is wide used
for check bench modeling and bus practical model to model the elements round the DUT
during validation section.

Figure 4.10: Multiple abstractions for digital system style

Dept of ECE, NITS Page 35


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

The lower level of modeling below activity modeling is that the RTL modeling.
RTL modeling has been used in this project and it's additional correct compared to activity
modeling however have some draw backs on the simulation speed due to the main points
of abstraction level. style in RTL level is ready to be synthesized to gate level netlist by
most of the synthesis tools. style at RTL level has been wide used in the ASIC style
business.

The lowest level of digital hardware modeling is that the gate level and
semiconductor unit level modeling. These a pair of level modeling provides the most
correct of hardware modeling together with the temporal order info and is ready to model
as close to real semiconductor as attainable. However, the simulation speed for style in gate
and semiconductor unit level is very slow. On top of that, ordinarily style in gate or level.

Dept of ECE, NITS Page 36


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

Figure 4.11: Project style and implementation flow

SETTING UP A NEW PROJECT IN ISE 12.3


• Xilinx ISE Design Suite 12.3 was opened by clicking on the ISE Design Suite icon
on the desk top.
• A window called ISE Project Navigator was opened.
• Now a new project was selected by selecting a New Project tab.
• A New Project Wizard was opened, in that name and project location are given
→Next, as shown in fig 4.13.
Dept of ECE, NITS Page 37
AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

Figure 4.12: Creating New Project.


In the next dialogue box Project Settings were selected according to the design properties
→Next as shown in fig 4.13.
Project Summery was checked whether assigned exactly or not →Finish, as shown in fig
4.14.

Figure 4.13: Selecting Project Settings.

Dept of ECE, NITS Page 38


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

Figure 4.14: Verifying Project Summery.


IMPLEMENTING A FUNCTION USING SCHEMATICS
• A new project Device window was shown targeting exact Xilinx properties and
other features of ISE system were obtained as shown in fig 4.15.

Figure 4.15: A project Device window showing Xilinx properties.


• Again, on the left side a new window has 4 tabs like Start, Design, Files, Libraries
were observed.
• A new source was created by choosing Project → New Source.
• A New Source Wizard window was opened, in that File name and Location were
given →Next as shown in fig 4.16.

Dept of ECE, NITS Page 39


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

Figure 4.16: Selecting New Source.


• A new page called Define Module was opened, in that the ports are declared based
on the design → Next as shown in fig 4.17.
• A Summery page was opened showing the specifications defined for the design
module → Finish.

Figure 4.17: Defining Module Ports.


• A new tab was opened in the main pane as the name which was given for a Verilog
top module containing the Module name and the list of I/O parameters as shown in
fig 4.18.

Dept of ECE, NITS Page 40


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

Figure 4.18: Obtained Verilog Module.


• This Verilog source file was added to the hierarchy to the source file as a part of
this project.
• Verilog code for the design was written and saved as shown in fig 4.19.
• Now the design is ready for Simulating and Implementation.

Figure 4.19: Writing Verilog Code.

4.2.2. MODELSIMSE 6.4 C

• ModelSim is the confirmation as well as simulation device for VHSIC HDL,


Verilog, System Verilog in addition to mixed language strategies.

Dept of ECE, NITS Page 41


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

BASIC SIMULATION FLOW

The subsequent illustration shows the simple phases aimed at pretending an intend
in ModelSm.

Figure 4.20 Critical reproduction stream

In ModelSim, entire strategies remain assembled keen on library. Designer


characteristically start diverse simulation at ModelSim through generating working library
entitled "work". "Work" is library designation recycled in means of compiler by means of
default target aimed at compiled strategy entities.

➢ Compiling the Design

Figure 4.21 Block Representation Of Project Flow

Dept of ECE, NITS Page 42


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

• By means of you may observe, flow is analogous to simple simulation flow.


Though, there remain two vital dissimilarities:
• Designer doesn’t have to generate working library in project flow; it’s finished for
designer through design.
• Projects remain persistent. Formerly, they resolve open every time designer petition
ModelSim except designer explicitly close by tasks.

Figure further down illustrates simple stages for running through various libs.

Figure 4.22: Various Library Algorithm

DEBUGGING TOOLS

ModelSim compromises various tools aimed at debugging as well as analyzing design.


Numerous of the tools remain covered succeeding steps, comprising:

• By means of projects
• Working through numerous libraries
• Setting breakpoints as well as moving through source code
• Observing waveforms in addition to determining time
• Observing also initializing memories
• Generating stimulus through Waveform Editor
• Computerizing simulation

Dept of ECE, NITS Page 43


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

BASIC SIMULATION

Figure 4.23: Basic Simulation Flow

DESIGN FILES AIMED AT THIS OBJECT LESSON

Model strategy aimed at this object lesson is basic 8-bit, binary up counter through related
test bench. Pathnames tracks

VERILOG HDL– file in Verilog HDL is saved as count. v

VHSIC HDL – file in VHDL is saved as count.vhd

The object lesson customs Verilog collections counter. v besides counter.v. If


designer have VHIC HDL authorization, customcounter.vhd besides tcounter.vhd as a
substitute. Otherwise, if designer have diverse authorization, feel able to custom Verilog
test bench by means of VHDL counter otherwise vice versa.

Dept of ECE, NITS Page 44


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

CREATE WORKING DESIGN LIBRARY

Previously designer have simulated design, designer necessity 1st generate library as well
as compile basis code into library.

Create fresh directory besides duplicate design records aimed at this object lesson keen on
it.

Initiate through generating new directory aimed at the exercise (instance further customers
ca be working through the programs).

VERILOG HDL Duplicate counter. v and counter. v libraries commencing

/<install_dir>/examples/tutorials/verilog/basic Simulation to different directory.

VHSIC HDL: Duplicate tcounter.vhd and tcounter.vhd files commencing

/<install_dir>/examples/ VHDL/basic Simulation to another directory.

Initiate ModelSm if needed.

Entervsimat UNIX shell prompt else practice ModelSim sign in Boxes.

Upon inaugural ModelSim aimed at leading time, designer will observe Welcome

ModelSim dialog box. Hit Close.

Choose File > Change Directory as well as variation to directory designer generated in
stage 1.

3. Create working library.

i. Choose File > New > Library.

Dept of ECE, NITS Page 45


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

That unlocks dialog box where designer agree physical as well as logical designations
aimed at library. Designer can generate fresh library otherwise map to current library. We
would be doing former.

Figure 4.24 Generate a fresh Library Dialog box

ii. Enter work in Lib Name block (when it is not previously arrived by design).

iii. Hit OK.

ModelSim generates directory entitled work as well as engraves specially formatted


categorizer entitled _info keen on the directory. The _info categorizer necessity continues
in directory to differentiate as ModelSim library. Don’t edit folder contents from
functioning system, complete variations must complete inside ModelSm. ModelSm as well
ad lib to register in workspace in addition to records library mapping aimed at imminent
orientation in ModelSm creation categorizer.

Dept of ECE, NITS Page 46


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

Figure. 4.25 Library Work in workspace

while designer hit “ok” in stage 3(iii) exceeding, subsequent remained written to transcript:

Vlib wrk

Vmap wrk

The above 2 lines stand cmd line equals to list of options selections designer made. Various
command-line equals would echo the menu determined fns in that manner.

CHECK PROPOSE

Through functioning lib generated, designer prepared to compile source documentations.

Designer fire compile using the - in addition to dialog box of graphic crossing point, by
means of the Verilog instance otherwise by typing the cmd @ modelsm-prompt.

• Run count.v besides tcount.v.

i. Choose Compile > compile. That opens Check Source Files dialog box .

Dept of ECE, NITS Page 47


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

If Compile menu choice not offered, perhaps have the project open. Uncertainty, close
project for creating Workspace pane active besides choosing File--> Close commencing
menus.

ii. Choose in cooperation counter.v in addition to tcounter.v modules commencing Compile


Source Records dialog box in addition to hit Compile. The records remain compiled into
work library c. Although compilation is finished, hit Done.

Figure 4.26 Compile Source Files window

• Observe compiled design divisions.

i. Proceeding Library label, hit ’+’ sign succeeding to work library in addition to you can
observe two design divisions. You may also observe their styles (Modules, Entities, etc.)
in addition to route the basic source files (scroll to right if needed).

ii. Double hittest_counter to load design.

You may as well load design through choosing Simulate--> Start Simulation in menu
tab. That unlocks Start Simulation dialog box. Through Design label carefully chosen, hit
’+’ symbol subsequent to wrk lib to observe the count in addition test_counter modules.
Choose test count unit & hit “ok” .

Dept of ECE, NITS Page 48


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

Figure 4.27 Stocking Design through Start Simulation Dialog box

Once design remains loaded, you can observe a different label in Workspace entitled Sim
that demonstrations a graded structure of a design. You may route surrounded by grading
by hitting any line with a ’+’ (expand) otherwise ’-’ (diminish) sign. You can similarly see
label entitled Files that shows all libraries comprised in design.

Figure 4.28 Verilog Language Modules Assembled into wrk Lib

ENTER INTEND

Enter test count building block keen on simulator.

i. In Workspace, hit ‘+’ symbol subsequent towork library that demonstrate files contained.

Dept of ECE, NITS Page 49


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

Figure 4.29 Workspace Sim Tab Monitors Design Order

• Observe design objects in Objects windowpane.

i. Open View options besides choice Objects. Command line corresponding to: view the
objects. Objects windowpane demonstrations the appellations and existing standards of
data substance in existing area. Data substance comprises s/g and nets and reg and const as
well as var not stated in a process and generics as well as parameters.

Figure 4.30 Design Objects Displays in Object Pane

We can enter a new window besides panels through the “view” button otherwise with view
cmd. observe Directing the I/f.

CHECK SIMULATION At the present we resolve wave window, include s/g to wave
after that check the simulation.

Dept of ECE, NITS Page 50


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

• Release the wave dbugging wndw.

1. Come into view wave option @ cmd line

We may similarly custom View > Wave menu choice to sweeping the Wave box. Wave
box is unique of numerous boxes accessible aimed at debug stage. To observe a grade of
further debugging boxes, choose View option. You can necessity to transfer otherwise
resize windows in the direction of designer wish. Windowpanes inside Main box might be
zoomed towards occupy complete Main box or intact to position alone. Aimed at
information, understand Routing Interface.

• Augment signals to Wave box.

i. The Workspace window, choose sim tab.

ii. Right hittest_counter to invoke popup context menu.

iii. Choose “Add” then “To Wave” then “All items in region”.

Every sign in propose be situated given to wave box.

Figure 4.31 By means of Popup Tariff to Add Signals to Wave Box

Dept of ECE, NITS Page 51


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

• Track simulation.

i. Press Run sign in Main or else Wave box toolbar.

This simulation tracks aimed at 100ns (default reproduction interval) besides waves remain

pinched in Wave box.

ii. run 200 at VSIM rapid in key box.

Reproduction progresses alternative 500ns aimed at entire 600ns

Figure 4.32 Waves Pinched in ISim Window

iii. Hit RunAllsign in the Main / Wave box toolbar.

This simulation remains running awaiting to perform the break cmdotherwise it

smashes the declaration in this code (for instance Verilog language $stop declaration) that
stops simulation.

iv. Hit the Break sign. simulation breaks execution.

Dept of ECE, NITS Page 52


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

4.3 VERILOG

4.3.1 INTRODUCTION TO VERILOG

In associate degree electronic style business and also the semiconductor industry
Verilog hardware description language (HDL) is employed to model electronic systems.
Verilog high-density lipoprotein, isn't confused with VHDL (a competitive language),
which is most ordinarily used in the planning, verification, and implementation of digital
logic chips at the register-transfer level of abstraction.

4.3.2 OVERVIEW

Hardware description languages like VHDL and Verilog area unit completely
different from computer code programming languages as they have alternative ways to
clarify the propagation of your time and signal dependencies (sensitivity). There area unit
2 different assignment operators, a interference assignment (=), and a non-blocking (&lt;=)
assignment. These area unit employed in Verilog's language linguistics. Designers will
fastly write descriptions of large circuits in a very compact and short kind. The Verilog
language designers needed a language with syntax just like the C programing language,
which was already employed in engineering computer code development.

Verilog language is case-sensitive. it's a basic preprocessor (though less refined


than that of ANSI C/C++), and equivalent management flow keywords (if/else, for, while,
case, etc.), and compatible operator precedence. syntactic variations embody variable
declaration demarcation of procedural blocks (begin/end rather than wavy braces ), and
many different minor variations.

A Verilog style contains hierarchy of modules. Modules encapsulate style


hierarchy, and communicate with the opposite modules through a set of outlined and
instances of different modules (sub-hierarchies). sequential statements area unit plac and
area unit dead in consecutive order within the block. however the blocks area unit dead
themselves at the same time, qualifying Verilog as a dataflow language.

Dept of ECE, NITS Page 53


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

In the Verilog language a set of statements can be a synthesizable. Verilog modules


incorporates a synthesizable secret writing vogue, referred to as as RTL (register-transfer
level), that is physically checked by synthesis software. A synthesis computer code
algorithmically transforms the (abstract) Verilog supply into a web list kind, a logically
equivalent description contains primarily elementary logic primitives (AND, OR, NOT,
flip-flops, etc.) that are gift in a very specific FPGA or VLSI technology. Manipulations to
{the net|internet|cyber we have a tendency tob|net|cyberspace|information
superhighway|world wide web|Infobahn} list finally result in a circuit fabrication blueprint
(such as a photograph mask set for an ASIC or somewhat stream file for associate degree
FPGA) thus here we area unit victimization Verilog language.

Dept of ECE, NITS Page 54


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

CHAPTER 5

RESULTS

Snapshot is nothing but every moment of the application while running. It gives the
clear elaborated of application. It will be useful for the new user to understand for the future
steps.

5.1 SIMULATION RESULTS

LMS ADAPTIVE FILTER

Figure 5.1: Proposed DLMS adaptive filter design simulation result

Dept of ECE, NITS Page 55


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

Inputs: clock clock, reset 0, xn 85, dn 853

Outputs: error 9550, yn 12931

MODIFICATION PART

SIMULATION RESULT OF EEG

Figure 5.2: Proposed DLMS adaptive filter in EEG application result

Inputs: clock clock, reset 0, primary input 842, reference 41, fir clean eeg 13172

Outputs: error 4054, yn 17226

Dept of ECE, NITS Page 56


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

5.2 SYNTHESIS IMPLEMENTATION

5.2.1 DEVICE UTILIZATION SUMMARY OF DLMS ADAPTIVE


FILTER

Timing Summary:

Speed Grade: -4

Minimum period: 21.280ns (Maximum Frequency: 46.992MHz)

Minimum input arrival time before clock: 20.190ns

Maximum output required time after clock: 24.507ns

Maximum combinational path delay: 23.417ns

Dept of ECE, NITS Page 57


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

5.2.2. MODIFICATION PART DESIGN SUMMARY OF EEG

Timing Summary

Speed Grade: -4

Minimum period: 23.922ns (Maximum Frequency: 41.803MHz)


Minimum input arrival time before clock: 21.444ns
Maximum output required time after clock: 27.587ns
Maximum combinational path delay: 25.093ns

Dept of ECE, NITS Page 58


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

5.3 RTL AND TECHNOLOGY SCHEMATIC

RTL SCHEMATIC OF DLMS ADAPTIVE FILTER

This is the RTL schematic of delayed least mean square. The dlms having top
module.the top module consists of err and wub.

TECHNOLOGY SCHEMATIC OF DLMS ADAPTIVE FILTER

The diagram refers to the technology schematic of the delayed least mean square.
The diagram is refered as top module.the top module consists of err and wub.

Dept of ECE, NITS Page 59


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

MODIFICATION PART

RTL SCHEMATIC OF EEG

This is the RTL schematic of electro electroencephalogram. Hence the eeg


having the two blocks. The two block are error compution block and weighted update
block.

TECHNOLOGY SCHEMATICOF EEG

Dept of ECE, NITS Page 60


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

The diagram refers to the technology schematic of the electroencephalogram. The


diagram is refered as top module.the top module consists of err and wub.

Dept of ECE, NITS Page 61


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

CONCLUSION

We have proposed an effective expansion plan for internal item


processing to diminish the adjustment defer essentially to accomplish quicker union
execution and to lessen the discriminating way to bolster high info examining rates. Beside
this, we proposed a technique for upgraded adjusted pipelining over the time intensive
squares of the structure to decrease the adjustment defer and power utilization, too.

The proposed structure included essentially less adjustment postpone and gave
huge sparing of ADP and EDP contrasted with the current structures. We proposed a settled
point execution of the proposed structural engineering, and determined the expression for
unfaltering state blunder and we amplified our work into the use of EEG.

Dept of ECE, NITS Page 62


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

FUTURE SCOPE

In future, we can use parallel prefix adders instead of the normal carry select
adders to even decrease the delay.

Dept of ECE, NITS Page 63


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

REFERENCES

[1]. Pramod Kumar Meher, “Area-Delay-Power Efficient Fixed-Point LMS Adaptive Filter
With Low Adaptation Delay”, IEEE Transactions on Very Large-Scale Integration (VLSI)
Systems, Vol. 22, No. 2, February 2014.

[2]. S. Haykin and B. Widrow, Least-Mean-Square Adaptive Filters. Hoboken, NJ, USA:
Wiley, 2003.

[3]. M. D. Meyer and D. P. Agrawal, “A modular pipelined implementation of a delayed


LMS transversal adaptive filter, ” in Proc. IEEE Int. Symp. Circuits Syst., May 1990, pp.
1943–1946.

[4]. G. Long, F. Ling, and J. G. Proakis, “The LMS algorithm with delayed coefficient
adaptation,” IEEE Trans. Acoust., Speech, Signal Process., vol. 37, no. 9, pp. 1397–1405,
Sep. 1989.

[5]. G. Long, F. Ling, and J. G. Proakis, “Corrections to ‘The LMS algorithm with delayed
coefficient adaptation’,” IEEE Trans. Signal Process., vol. 40, no. 1, pp. 230–232, Jan.
1992.

[6]. H. Herzberg and R. Haimi-Cohen, “A systolic array realization of an LMS adaptive


filter and the effects of delayed adaptation,” IEEE Trans. Signal Process., vol. 40, no. 11,
pp. 2799–2803, Nov. 1992.

[7]. M. D. Meyer and D. P. Agrawal, “A high sampling rate delayed LMS filter
architecture,” IEEE Trans. Circuits Syst. II, Analog Digital Signal Process., vol. 40, no.
11, pp. 727–729, Nov. 1993.

[8]. S. Ramanathan and V. Visvanathan, “A systolic architecture for LMS adaptive filtering
with minimal adaptation delay,” in Proc.Int. Conf. Very Large Scale Integr. (VLSI)
Design, Jan. 1996, pp. 286–289.

[9]. P. K. Meher and S. Y. Park, “Low adaptation-delay LMS adaptive filter part-I:
Introducing a novel multiplication cell,” in Proc. IEEE Int. Midwest Symp. Circuits Syst.,
Aug. 2011, pp. 1–4.

[10]. P. K. Meher and M. Maheshwari, “A high-speed FIR adaptive filter architecture using
a modified delayed LMS algorithm,” in Proc. IEEE Int. Symp. Circuits Syst., May 2011,
pp. 121–124.

[11]. B. Widrow and S. D. Stearns, Adaptive Signal Processing. Englewood Cliffs, NJ,
USA: Prentice-Hall, 1985.

Dept of ECE, NITS Page 64


AREA -DELAY-POWER EFFICIENT FIXED-POINT LMS ADAPTIVE FILTER WITH LOW ADAPTATION-DELAY

Dept of ECE, NITS Page 65

You might also like