You are on page 1of 1

------------------------------------------------------------------------------

-- clock_generator_0.log

------------------------------------------------------------------------------

Clock generation result : PASSED

------------------------------------------------------------------------------

-- end of clock_generator_0.log

------------------------------------------------------------------------------

You might also like