You are on page 1of 1

set_context dft -no_rtl -design_identifier occ

read_cell_library ../library/std_libs.v.gz

#Read the verilog


read_verilog abc_top.v.gz
read_verilog occ.v.gz
read_verilog mem.v.gz

set_current_design acb
set_design_level top_block
read_config_data occ.dft_spec
process_dft_specification
run_synthesis
set_current_design
write_design -output_file abc_core_post_occ_insertion.vg.gz -replace
exit

You might also like