You are on page 1of 1041

210 ACTIVE FILTERS

ACTIVE FILTERS quality performance and low cost resulted in a fundamental


change in design philosophy.
An electrical filter may be defined as ‘‘a transducer for sepa- Designers, previously cost-constrained to single-amplifier
rating waves on the basis of their frequencies’’ (1). There are second-order sections, were now able to consider multiampli-
numerous everyday uses for such devices ranging from the fier sections whose performance and multipurpose functions
filter that allows one to select a particular radio station, to made commercial production a viable proposition. In particu-
the circuit that detects brainwaves, to resonant cavities that lar, the state variable topology (5) formed the basis for a uni-
operate at microwave frequencies. Indeed, filters are needed versal filter yielding all basic filtering functions from a sin-
for operation across the electromagnetic spectrum. Further- gle structure.
more, they are required to perform frequency selection to sat- Inductor replacement and direct simulation techniques
isfy various specialized approximating functions, not neces- such as the leapfrog approach (6) offered low-sensitivity ana-
sarily confined to the conventional low-pass, bandpass, high- logs of classical LC filters. The difficulty in tuning these de-
pass, and band-stop forms. vices was simplified enormously by the introduction of com-
However, the purpose of this article is to focus on a partic- puter-controlled laser trimming using hybrid microelectronics
ular category of filter, the active filter, whose evolution over technology. Indeed, by the mid-1970s, sophisticated fifth-or-
the past 40 years has been heavily influenced by advances in der elliptic characteristic filters were in large-scale production
microelectronic circuit fabrication. The earliest active filters within the Bell System (7).
were motivated by the need to overcome significant limita- Thus, over a period of 20 years (1954–1974), active filter
tions of inductor–capacitor (LC) passive filters, namely: designers had come to rely upon a relatively small number of
basic building blocks to form second-order sections, or were
1. In the audio band, inductors are bulky and prone to basing higher-order designs on analogs of LC structures. Al-
pick up. though many realizations used discrete components, larger-
scale production of thick and thin film hybrid microelectronic
2. Resistor–capacitor (RC) filter structures offer a limited
structures was quite common.
range of responses and are subject to substantial pass-
The advent of switched-capacitor filters in 1979 (8) over-
band attenuation.
came the need to laser trim resistors and yielded the first
fully integrated active filters. While truly a sampled-data
By contrast, active RC structures can realize (theoreti- technique, the use of sufficiently high clock frequencies meant
cally) lossless filter characteristics in miniaturized form. Pas- that active filters could be used up to 100 kHz, far higher
sive and active filter properties are summarized in Table 1. than by conventional analog techniques. Subsequent develop-
A disadvantage of the active filter is its need for a power ments have led to metal-oxide semiconductor field-effect tran-
supply and the incorporation of one or more active elements, sistor-capacitor (MOSFET-C) and operational transconduc-
usually operational amplifiers. As a result, highly selective tance amplifier-capacitor (OTA-C) filters (9) which yield
filters need careful design so as to avoid instability. However, authentic analog performance at frequencies exceeding 1
as active filter design has matured, a small number of highly MHz.
reliable topologies have evolved that provide solid perfor- The following sections will concentrate on a few fundamen-
mance across a variety of fabrication technologies. tal filter design techniques that form the basis for modern
The earliest active filters used discrete components and active filter design. The Sallen and Key, multiple loop feed-
were based upon direct synthesis of RC sections with appro- back, and state variable structures have stood the test of time
priately embedded active devices such as the negative imped- and have proven to be as effective in discrete component real-
ance converter (2). Second-order sections were then cascaded izations as they have in MOSFET-C structures. They all form
to form higher order structures. higher-order filters when cascaded with similar sections. Fi-
Subsequently, a catalog of building blocks was developed nally, the leapfrog design and direct replacement techniques
by Sallen and Key (3), which led to a much broader interest are discussed as examples of direct higher-order filter syn-
in active filters. This was due in no small part to removal of thesis.
the need for classical synthesis expertise.
However, widespread use of active filters was still inhib-
ited by concerns over sensitivity, particularly when compared SECOND-ORDER STRUCTURES
to the passband performance of passive filters. This was over-
come by the simulation of the floating inductor (4) and the The fundamental building blocks for active RC filters are sec-
widespread availability of operational amplifiers whose high- ond-order structures which can readily be cascaded to realize
higher-order approximating functions described by the gen-
eral voltage transfer function:
 
Table 1. Comparison of Active and Passive Filter Properties ωz
s2 + s + ωz2
Vo Qz
Audio Band Filters =H·  (1)
Vi ωp
LC Active RC s2 + s + ωp2
Qp
Bulky Small
Lossy (low Q) Lossless (high Q)
where 웆z, Qz and 웆p, Qp refer to the zero and pole frequency
Stable (absolutely) Stability depends upon design
and Q, respectively. All-pole functions (low-pass, bandpass,
Transmission loss Capable of transmission gain
high-pass) occur when only one of the numerator terms (s0,

J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
ACTIVE FILTERS 211

G2
3 G1 C2
1 RC 2 K
K
network
V1 C1 G3 V3
V1 V3

Figure 2. Sallen and Key second-order bandpass filter using posi-


Figure 1. Sallen and Key structure consisting of a controlled source tive-gain controlled source.
and an RC network. Appropriate choice of the RC network yields all
basic forms of second-order transfer functions.
Table 2 illustrates four topologies and the resulting voltage
transfer function when that RC structure is used in the cir-
s1, or s2) is present. A notch occurs when the s1 term disap- cuit of Fig. 1. Thus, it is seen that low-pass and high-pass
pears in the numerator. We will not discuss the more general sections can be achieved with a positive-gain controlled
case (10) that arises when all numerator terms are present source, whereas the two bandpass sections require a negative-
simultaneously. gain controlled source (11).
The topologies that follow are suitable for design using dis- Although not included in the original catalog, the five-ele-
crete, hybrid, or fully monolithic fabrication. Furthermore, ment bandpass circuit of Fig. 2, which utilizes a positive-gain
they have stood the test of time for ease of design, tuning controlled source, is now generally incorporated under the
simplicity, and relatively low cost. Sallen and Key banner. In this case:

Sallen and Key KG1


s
V3 C1
Sallen and Key originally proposed (3) a family of all-pole fil- =   (3)
ters based upon the circuit shown in Fig. 1, for which V1 G 2 (1 −K) (G 1 +G 3) G G (G +G2 )
s2 + + + 3 s+ 3 1
C1 C1 C1 C1C2
V3 −Ky21
= (2)
V1 y22 + Ky23 Design is most commonly restricted to the positive-gain
controlled source realizations, despite the inherent positive
By appropriate choice of the passive RC network, it is possible feedback used to enhance Qp. In general, if realizations are
to realize all forms of basic filter. However, because the cre- restricted to Qp ⱕ 10, the advantages of the lower component
ation of a band-stop (notch) section requires the use of twin T spread in this design outweigh the stability considerations.
networks, which are inherently difficult to tune, we confine Design is relatively straightforward and proceeds by coeffi-
our discussion to the realization of all-pole functions. cient matching.

Example 1. For our example, we design a second-order low-


Table 2. Sallen and Key Realizations pass Chebyshev filter having 0.5 dB passband ripple and a
Circuit
passband gain of 20 dB. From the standard tables (12–15),
No. RC Structure Voltage Transfer Function
a the normalized transfer function is

冢 冣
3 KG1G2 V3 H
C1 C1C2 = 2 (4)
1 1 2 V1 s + 1.426s + 1.516
冦 冧
G1 G2 C2 G2 G1 + G2 KG2 G1G2
s2 + s + — +
C2 C1 C2 C1C2
A passband gain of 20 dB is equivalent to an absolute gain of
3 10, so that H ⫽ 15.16. By matching the low-pass expression
G1 Ks 2 from Table 2 and the coefficients of Eq. (4), we obtain
冦 冧
2 1 2 G2 G2 G1 G1G2
s2 +s + + (1 — K ) +
C1 C2 G2 C2 C1 C1 C1C2 KG1 G2
= 15.16 (5a)
C1C2

冢 冣
3 KG2 G1 G2
G1 s
C2 = 1.516 (5b)
3
C1C2
1 2

冦 冧 G + G2
C1 G2 C2 G2 G1 + G2 G1G2 G2 KG2
s2 + s + + (1 — K )
C2 C1 C1C2 + 1 − = 1.426 (5c)
C2 C1 C2

冢 冣
3 KG1
C1 s
(1 — K )C1 Thus, K ⫽ 10 [from Eqs. (5a) and (5c)]. The remaining two
4 1 2 equations contain four unknowns, indicating freedom of
冦 冧
G1 C2 G2 s G1 G2 G2 G1G2
s2 + + + + choice for two of the elements. Such freedom of choice is a
(1 — K ) C1 C1 C2 (1 — K )C1C2
a
characteristic of the coefficient-matching technique. For con-
K > 0 for circuits 1 and 2, K < 0 for circuits 3 and 4. venience, set C1 ⫽ C2 ⫽ IF, since this is highly desirable in
212 ACTIVE FILTERS

Table 3. MFB Structure and Voltage Transfer Functions

Filter Type Network Voltage Transfer Function


3
1 RC 2 G4 C5
– — —G1G3
network (a) Low-pass +
+ G1 G3 s 2C2C5 + sC5(G1 + G3 + G4) + G3G4
C2
V1 V3

C4 G5
— —s 2C1C3
(b) High-pass C1 C3 +
Figure 3. Multiple feedback (MFB) structure consisting of an opera- s 2C3C4 + sG5(C1 + C3 + C4) + G2G5
G2
tional amplifier and an RC network. Appropriate choice of the RC
network yields all basic forms of second-order transfer functions.

C4 G5
— —sG1C3
(c) Bandpass G1 C3 +
many practical realizations. As a result, G2
s 2C3C4 + sG5(C3 + C4) + G5(G1 + G2)

G1 G2 = 1.516 (6a)
G1 − 8G2 = 1.426 (6b)

The only solution yielding positive values is G1 ⫽ 4.268 S and


G2 ⫽ 0.355 S. Impedance and frequency denormalization can stable, negtive-feedback circuit. Specific realizations of the
be applied, depending upon specific design requirements. all-pole functions are shown in Table 3.
Realization of the basic low-pass Sallen and Key filter has As for Sallen and Key sections, design proceeds by coeffi-
been widely discussed in the literature (11). Popular alterna- cient matching. A widely used design set is illustrated in Ta-
tives to the C1 ⫽ C2 ⫽ IF used above are as follows: ble 4, for which both bandpass and high-pass circuits use
equal-valued capacitors. No such solution is possible for the
1. Setting C1 ⫽ C2 ⫽ C, G1 ⫽ G3 ⫽ G, and K ⫽ 3 ⫺ (1/Q) low-pass circuit, though an equal-valued resistor pair is pos-
2. Setting K ⫽ 1 (thereby eliminating two gain-setting re- sible.
sistors), G1 ⫽ nG3 and C1 ⫽ mC2 Although highly stable, the MFB structure has a pole Q
3. Setting K ⫽ 2 (for equal-valued gain-setting resistors), dependent upon the square root of component ratios. Thus,
C1 ⫽ C2 ⫽ C and G3 ⫽ Q2G1 for a Qp of n, the maximum component spread will be propor-
tional to n2. As a result, the MFB arrangement is best suited
Multiple Feedback Structure to modest values of Qp, typically not greater than 10.

The multiple feedback (MFB) structure (16) is derived from


the general feedback configuration of Fig. 3, in which the ac- Modified Multiple-Loop Feedback Structure
tive element is an ideal operational amplifier. In positive-feedback topologies such as the Sallen and Key,
The most common realization of the RC network is shown Qp is enhanced by subtracting a term from the damping (s1)
in Fig. 4, which yields the MFB transfer function coefficient in the denominator. By contrast, in negative-feed-
back topologies such as the MFB, high values of Qp are ob-
V3 −Y1Y3
= (7) tained at the expense of large spreads in element values. The
V1 Y5 (Y1 + Y2 + Y3 + Y4 ) + Y3Y4
two techniques are combined in the modified multiple-loop

The basic all-pole functions can be realized by single-element


replacement of the admittances Y1 씮 Y5, yielding a highly

Table 4. Element Values for MFB Realizations (H is the


3 Numerator Constant in Each Case)
Element Value
Bandpass High-pass Low-pass
Y4 Y5 G1 ⫽ H C1 ⫽ H H
Y1 Y3 G1 ⫽
1 2 웆p
G2 ⫽ 2웆p Qp ⫺ H G2 ⫽ 웆p(2 ⫹ H)Qp Qp(2웆2p ⫹ H)
C2 ⫽
웆2p
Y2 C3 ⫽ 1 C3 ⫽ 1 G 3 ⫽ 웆p
C4 ⫽ C 3 C4 ⫽ C 3 G4 ⫽ G 3
웆p 웆p 웆2p
Figure 4. Three-terminal, double-ladder structure for use in MFB G5 ⫽ G5 ⫽ C5 ⫽
2Qp Qp(2 ⫹ H) Qp(2웆2p ⫹ H)
sections.
ACTIVE FILTERS 213

versatility and ease of tuning. The advent of the operational


C4 amplifier eliminated earlier cost concerns, and the ability to
G5
G1 realize relatively high-Q sections remains an attractive con-
– sideration. However, it is the ability of the circuit to yield all
+ basic forms of second-order sections by appropriate choice of
C3
output terminal that has made it so popular for commercial
Vi Vo manufacture (19). Custom filters are readily fabricated by ap-
Gb propriate interconnection of terminals, yielding the universal
Ga
filter terminology of several vendors. In particular, highly re-
liable notch filters are possible through the addition of a sum-
ming amplifier to the basic three-amplifier array.
Figure 5. Modified multiple-loop feedback (MMFB) structure due to The circuit shown in Fig. 7 is an example of a state-vari-
Deliyannis which yields a second-order bandpass function. By judi- able section and can be recognized as an analog computer re-
cious use of positive feedback, this circuit reduces the large compo- alization of a second-order differential equation. It is more
nent spreads which are characteristic of the MFB structure while commonly referred to as the Huelsman-Kerwin-Newcomb
yielding greater stability margin than the Sallen and Key ar- (HKN) filter (5). In the frequency domain it is capable of yield-
rangement. ing a variety of voltage transfer functions, according to the
particular output connections used. Assuming ideal opera-
tional amplifiers, the specific transfer functions are as follows:
feedback (MMFB) circuit (17) of Fig. 5, for which
1. The low-pass response with
Vo −sC3 G1 (1 + k)
= 2 (8) 
Vi s C3C4 + s{G5 (C3 + C4 ) − kC3 G1 } + G1 G5 V1 R2 [R3 + R10 ]
= D(s) (9a)
Vi R3 [R1 + R2 ]
where k ⫽ Gb /Ga, and the Q-enhancement term signifies the
presence of positive feedback.
Design of this bandpass circuit proceeds by coefficient 2. The bandpass response with
matching, although the reader is advised to adopt the step- 
by-step procedure developed by Huelsman (11). V2 R2 [R3 + R10 ]
= −R9C2 s D(s) (9b)
A generalization of the MMFB circuit, yielding a fully bi- Vi R3 [R1 + R2 ]
quadratic transfer ratio has been developed by Friend et al.
(18), as shown in Fig. 6. This arrangement was used exten- 3. The high-pass response with
sively in the Bell System where the benefits of computer-con-

trolled (deterministic) laser trimming techniques and large- V3 R (R + R10 )
scale manufacture were utilized. Although this resulted in = 2 3 C C R R s2 D(s) (9c)
Vi R3 (R1 + R2 ) 1 2 8 9
quite exacting realizations using tantalum thin-film technol-
ogy, the structure is less suited to discrete component realiza-
where
tions. An ordered design process based upon coefficient
matching is presented elsewhere by Huelsman (15).  
R1 (R3 + R10 ) R
D(s) = C1C2 R8 R9 s2 + C2 R9 s + 10
R3 (R1 + R2 ) R3
State Variable Structure
Based upon analog computer design techniques, the state A general biquadratic function may be obtained by combin-
variable (SV) structure (5) assumed popularity because of its ing the various outputs via a summing network, as shown in
Fig. 8. The composite voltage transfer function then becomes

C2 Vo R (R + R3 )R5 (R6 + R7 )
= 2 10
Vi (R1 + R2 )R3 (R4 + R5 )R7
R2  

 [R4 + R5 ]R6 R4 


 C1C2 R8 R9 s +
2
R9C2 s + 
 (10)
R4 C1 R [R + R7 ] R5
· 5 6
– 
 R1 [R3 + R10 ] R10 

 C1C2 R8 R9 s2 +
 C2 R9 s + 

R6 R3 [R1 + R2 ] R3
+
Rc
Now consider the design of a low-pass response
Vi Rb Vo
H
R5 R7 RD T (s) = (11)
s2 + (ωp s/Qp ) + ωp2

It is clear from Eqs. 9(a) and (10) that there is considerable


Figure 6. The Friend biquad which generalizes the MMFB structure flexibility in the design since there are nine passive compo-
of Fig. 5 so as to yield biquadratic filters. nents and only three specified variables in Eq. (11).
214 ACTIVE FILTERS

V3 (high-pass)

R10 C1 C2

R3
– R9
– – Vi
Vi + R8 (low-pass)
+ +
R1
R2

Figure 7. State variable filter capable of yielding


a variety of all-pole second-order transfer func- V2
tions. (bandpass)

The design equations are thus Setting C ⫽ IF and R8 ⫽ R9 ⫽ R⬘ yields the following simpli-
 fied equations
R10
ωp = (12a) 1
R3 R8 R9C1C2 ω2p = (14a)
 R 2
R10
· C1C2 R8 R9 1 R
R3 Qp = 1+ 2 (14b)
Qp =   (12b) 2 R
R1 (R3 + R10 )
· R9C2
R3 (R1 + R2 ) 2R2 /R
H= (14c)
R
R2 (R3 + R10 ) 1 + 2 R 2
H= (12c) R
R3 (R1 + R2 )C1C2 R8 R9
Therefore, the design equations are
Selecting C1 ⫽ C2 ⫽ C and R1 ⫽ R3 ⫽ R10 ⫽ R gives

ωp = (R8 R9C2 )−1/2 (13a) 1


R = (15a)
 ωp
(R + R2 ) R8
Qp = (13b) R2
2R R9 + 2Qp − 1 (15b)
R
2R2
H= (13c)
(R + R2 )C2 R8 R9 The gain constant, H, is fixed as [2 ⫺ (1/Qp)]웆p2.

R10 C1 C2
V3 V2
R3
– R9
– – V1
Vin + R8 + +
R1
R2

R6

R7

Vout
+
Figure 8. Composite state variable structure. R4
The addition of an output summing network to
the arrangement of Fig. 7 yields fully biquad-
R5
ratic transfer functions.
ACTIVE FILTERS 215

Example 2. Here we design a state-variable filter satisfying HIGHER-ORDER REALIZATIONS


the following normalized elliptic function characteristic hav-
ing a notch at 1.4 kHz. Higher-order filters may be designed by cascading second-or-
der structures of the form described in the previous section.
H(s2 + ωz2 ) s2 + 1.438664 Odd-order functions are accommodated by the addition of a
T (s) = ω = (16)
s2 +
p
s + ωp2 s + 0.314166s + 1.167222
2 single-pole section or, if combined with a low-Q pole-pair, by
Qp the addition of a third-order section. The section types (Sallen
and Key, MFB, MMFB, SV) may be mixed in a realization so
Thus, 웆z ⫽ 1.199, 웆p ⫽ 1.08038, and Qp ⫽ 3.4389. that the SV is used for higher Q and notch functions. Particu-
Realization requires the use of the summing network to lar care must be taken with the selection of pole-zero pairs
combine the low-pass and high-pass outputs. Since no band- and the ordering of sections due to considerations of dynamic
pass component is required, the left-hand end of resistor R7 range. A fuller discussion of these features is described else-
(Fig. 8) should be grounded. where (20–22).
Now consider the realization of the low-pass section. Set The major advantage of the cascade approach is the ability
R ⫽ C ⫽ 1 and Eqs. 15(a) and (b) to give the normalized to independently tune each pole pair. This is offset to some
component values as degree by the higher sensitivity to component changes and
the care needed to properly order the sections and pair the
C1 = C2 = 1F
poles and zeroes. A widely used alternative bases designs on
R = R8 = R9 = 0.926 the passive LC prototype whose passband sensitivity is mini-
R = 1 so that R1 = R3 = R10 = 1 mal. The most common approaches are described below.
and R2 = 5.878
Inductor Replacement
The gain constant, H, has the value 1.995. The frequency As indicated above, it is highly desirable to base active RC
denormalization factor, 웆n, is filter designs upon passive LC prototypes because of the re-
sulting low passband sensitivity. An added advantage results
2π × 1.4 × 103
ωn = = 7.351 × 103 from the availability of tabulated LC designs (12–15), which
1.199 obviate the need for sophisticated synthesis techniques. Thus,
Assume that available capacitors have a value of 6800 pF. for a given standard approximating function, the LC proto-
Then, the impedance denormalization factor is evaluated as type may be established with the aid of design tables.
The resulting inductors may be replaced by means of an
1 appropriately terminated generalized impedance converter
Zn = = 20 k (GIC). The ideal GIC is shown in Fig. 9, for which
6800 × 10−12 × 7.351 × 103

Therefore, the denormalized values are a11


Z11 = = k(s)ZL (18a)
a22
C1 = C2 = 6800 pF
 a 1
R1 = R3 = R10 = 20 k Z22 = 22 = Z (18b)
 a11 k(s) L
R8 = R9 = 18.7 k standard 1% values


R2 = 118 k if a12 ⫽ a21 ⫽ 0.

The high-pass and low-pass outputs may now be combined


to yield the desired transfer function of Eq. (16). Thus, by
substituting normalized element values into Eq. (10) 1 2
  GIC ZL
Vo 1.709R5 (R6 + R7 ) s2 + 1.1672(R4/R5 ) [a]
= (17)
Vin R7 (R4 + R5 ) s2 + 0.314179s + 1.1672 1′ 2′

The location of 웆z is obtained by appropriate choice of the re- Z11µZL


sistor ratio, R4 /R5. Hence,
(a)
R4
= 1.2326
R5 1 2
ZL GIC
Choosing R5 ⫽ 20 k⍀ gives R4 ⫽ 24.65 k⍀. The dc gain of [a]
the filter is determined by appropriate choice of R6 /R7. If these 1′ 2′
resistors are set equal at 20 k⍀, the resulting dc gain is
5.52 dB. Z22µZL
The filter may be tuned by means of the R4 to R5 ratio to
(b)
locate the notch accurately. In practice, this may be observed
by closing a Lissajous pattern on an oscilloscope. The fre- Figure 9. Generalized impedance converter (GIC). (a) Load at termi-
quency at which this occurs will be 웆z. nal 2. (b) Load at terminal 1.
216 ACTIVE FILTERS

R C1 C2 C3

L1 L2 L3 L4 R
– +

(a)
1 2
Z1 Z2 Z3 Z4
R C1 C2 C3
ZL ZL
– +

R1 R2 R3 R4
Figure 10. Antoniou GIC—the most widely used realization of this
important circuit element.

(b)

The most commonly used realization of the GIC, from An- Figure 11. High-pass filter realization using direct inductor replace-
toniou (23), is shown in Fig. 10. In this case ment. (a) Passive prototype. (b) Active realization using resistor-ter-
minated GICs to realize the grounded inductors.
Z1 Z3
k(s) = (19)
Z2 Z4 capacitor, because

Thus, if we select  −1
Z22  = (20)
s= jω ω2 D
1
Z1 = Z3 = Z4 = R and Z2 =
sC However, the term frequency-dependent negative resistance
(FDNR) has achieved universal adoption. D is in units of
we obtain k(s) ⫽ sk⬘. If ZL ⫽ R1, then (farad)2 ⭈ohms and is represented by the symbol shown in
Fig. 13.
Z11 = sk R1 A synthesis technique incorporating FDNRs (24) over-
comes the need for floating inductor simulation in LC proto-
and we have simulated a grounded inductor whose Q value types. If the admittances in a network are scaled by a factor
far exceeds that of a conventional coil. Indeed, audio band Q s, neither the voltage nor current transfer ratios are affected,
factors of the order of 1000 are readily obtained if high-qual- because they are formed from ratios of impedance or admit-
ity capacitors are used in the GIC. tance parameters. However, scaling does affect the network
Grounded inductor simulation is readily applicable to the elements as follows:
realization of high-pass filters, as illustrated in Fig. 11. Note
that a dot ( • ) is used to denote terminal 1 of the GIC, because Admittance Y(s) becomes sY(s) (transformed admittance)
it is a directional device having a conversion factor k(s) from Capacitor sC becomes s2C (FDNR)
terminal 1, and 1/k(s) from terminal 2.
Inductor 1/sL becomes 1/L (resistor)
The simulation of a floating inductor requires the use of
two GICs, as shown in Fig. 12. However, the simulation of Resistor 1/R becomes s/R (capacitor)
structures containing several floating inductors can become
undesirable due to the excessive number of active blocks. Inductors are thus eliminated and a new, but topologically
equivalent, network is formed.
Frequency-dependent Negative Resistance
Example 3. In this example, we realize a doubly terminated
Depending upon the choice of impedances Z1 씮 Z4, the GIC of low-pass filter having a fourth-order Butterworth characteris-
Fig. 10 may be used to provide conversion factors of sn, where
n ⫽ ⫾1, ⫾2. If one internal port impedance is capacitive and
the other three are resistive, the conversion factor is k⬘s in kR
one direction and 1/k⬘s in the other. Use of two internal ca-
pacitors yields k⬙s2 and 1/k⬙s2, respectively. Using the first ks ks
combination of elements and a capacitor at port 1 produces a
port 2 impedance given by Z22 ⫽ (1/s2)D, where D is frequency
invariant. At real frequencies, this represents a second-order Figure 12. GIC realization of a floating inductor.
ACTIVE FILTERS 217

for which, for example, RA may be set at 100 ⍀ so as to avoid


1 loading the capacitor.
Z= ––––
s 2D
D
Denormalization of the circuit is straightforward, noting
that an FDNR of normalized value Dn, is denormalized using
(a) the expression

Dn
D= (21)
ks2 Zn ωn2

R k
–– The FDNR approach is most effective when all inductors
R
are floating. In more complex arrangements, floating FDNRs
result whenever a floating capacitor is present in the original
(b)
prototype. Since the replacement of each floating FDNR re-
quires the use of two GICs, the alternative of partial transfor-
mation (25,26) is preferred.
ks The technique is illustrated in Fig. 15, for which the com-
posite transmission matrix [a⬘] for the three-section cascade
C kC
is given as
 
(c)    a12 k2
1 1 a12  a11 
  a11 1 0  k1 
Figure 13. FDNR symbol and realization. (a) Symbol for FDNR of [a ] =  1  k s n
=   (22)
0
1
0 k 2 sn  
value D. (b) Realization of FDNR by resistively-terminated GIC. (c)
k1 s n k 1 a 21 s n
a 22
 a 22 k 2 
Realization of FDNR by capacitively-terminated GIC. a21
k1

tic. From design tables, we obtain the LC prototype of Fig. Hence, for matched GICs (k1 ⫽ k2), we see that [a⬘] ⫽ [a].
14(a). Transformation yields the so-called DCR network of The technique is illustrated in Fig. 16(a)–(c) for a band-
Fig. 14(b). If biasing problems are encountered due to the pass section. Using direct FDNR realization of Fig. 16(b)
presence of floating capacitors, they may be overcome by the would require a total of five GICs. The partial transformation
addition of shunt resistors, RA and RB, as shown in Fig. 14(c). of Fig. 16(c) reduces the requirement to three GICs. Clearly,
In order to preserve the passband loss of the original network, the savings are more dramatic for higher-order realizations.
these resistors are arranged to yield a dc gain of 0.5. Hence,
Leapfrog Realization
RB
= 0.5 The leapfrog technique (6) was introduced over 40 years ago
RA + 0.7654 + 1.8478
and represents the first of several multiloop feedback simula-
tion methods (27–29). Its simplicity and elegance derives
1Ω 0.7654 H 1.8478 H from a one-to-one relationship between passive reactances in
a ladder structure and integrators in the leapfrog model.
The technique is particularly well suited to the realization
1Ω
1.8478 F 0.7654 F of low-pass responses, which are the most difficult to realize
by direct replacement methods. Although the presence of mul-
(a) tiple feedback loops can render tuning difficult, the close
matching of capacitor ratios and the similarity of the active
1F 0.7654 Ω 1.8478 Ω blocks rendered this approach ideal for the realization of
switched-capacitor filters (SCF). Indeed, SCF technology revi-
talized interest in the leapfrog approach.
1F
1.8478 F2 0.7654 F2
Consider the output sections of the low-pass LC filter of
Fig. 17(a). The relationships between the various voltages
(b) and currents are shown in Eqs. 23

i1 = sC1 RV 0 (23a)
RA
i2 = i1 + i0 (23b)
0.7654 Ω 1.8478 Ω sL1
V2 = i (23c)
R 2
1F RB V3 = V2 + V0 (23d)
1.8478 F2 0.7654 F2 1F i3 = sC2 RV 3 (23e)
(c) i4 = i3 + i2 (23f )
sL2
Figure 14. FDNR realization of low-pass filter. (a) LC prototype of V4 = i (23g)
fourth-order Butterworth filter. (b) DCR network derived from (a). (c) R 4
Resistive shunts added for biasing purposes. V5 = V4 + V3 (23h)
218 ACTIVE FILTERS

1 2 1 a11 a12 2
[a] k1sn k1sn k2sn
k1a21 sn a22
Figure 15. The use of two GICs to yield an embed-
ded network equivalence which eliminates the need
to realize floating FDNRs.

Thus, working from output to input, we have alternating pro-


Co Lo L2 C2 cesses of differentiation and addition. Now, consider the
multifeedback integrator structure of Fig. 17(b), for which

θ1 = sT 1 θ0 (24a)
L1 C1 θ2 = θ1 + θ0 (24b)
θ3 = sT 2 θ2 (24c)
θ4 = θ3 + θ0 (24d)
(a) θ5 = sT 3 θ4 (24e)
θ6 = θ5 + θ4 (24f )
A B
Do Ro R2 D2 θ7 = sT 4 θ6 (24g)
θ8 = θ7 + θ6 (24h)

Thus, for every current and voltage in Eqs. (23a–h), there is


R1 D1 a corresponding quantity ␪i in Eqs. (24a–h). Furthermore, if
corresponding factors such as C1R1 and T1, L1 /R and T2 are
set equal, the two systems have full equivalence.
As a result, LC low-pass structures may be simulated
A′ B′
by a straightforward process, as illustrated in Fig. 18. More
(b) detailed discussions of this approach, including its extension
beyond the low pass are presented elsewhere (14, Ch. 10).
Co Ro R2 C2 As an analog of a passive LC filter, the leapfrog structure
s s provides a low sensitivity structure, and one which is inher-
ently stable.

R1 D1
INTEGRATED FILTERS

As indicated previously, the earliest active filters were fabri-


(c) cated using discrete components and, eventually, operational
Figure 16. Partial transformation to eliminate floating FDNRs. (a) amplifiers. The selection of high-quality capacitors and low-
LC bandpass section. (b) DCR realization of (a). (c) Partial transfor- tolerance, high-performance resistors is crucial to the ulti-
mation of (a) by embedding the section AA⬘BB⬘ between two GICs. mate quality of the filter (20). Finally, the circuit must be
tuned by the adjustment of one or more trimmer pots.

V4 V2

i4 i2 i0
L2/ R L1/ R
i3 i1
C2 V3 C1 1
V5 V0

(a)

1/ sT 1/ sT 1/ sT 1/ sT
4 3 2 1
θ8 θ7 θ6 θ5 θ4 θ3 θ2 θ1 θ0
– – – –

Figure 17. Basic equivalence of LC and leapfrog


structures. (a) LC prototype. (b) Multifeedback inte-
grator structure. (b)
ACTIVE FILTERS 219

The advent of laser trimming, combined with thick and Fully integrated filters have been developed using the
thin film hybrid microelectronic processing, not only led to MOSFET-C (32) technique, which is based upon monolithic
larger-scale production but allowed for much more precise operational amplifiers, capacitors, and MOS (metal oxide
adjustment of resistors. Coupled with numerically controlled semiconductor) transistors. The latter are biased in their
resistor adjustment, hybrid microelectronics fabrication led ohmic region to yield tunable resistors. The technique allows
to more widespread use of active filters. However, the quest the designer to take advantage of well-tried RC active filter
for ever-smaller structures, and for higher cut-off frequen- design methods but is restricted in frequency by the opera-
cies ultimately led to fully integrated filters. Several major tional amplifiers and the nonlinear nature of the simulated
technical problems inhibited the fabrication of fully inte- resistance.
grated filters: Further limitations occur due to integrated circuit parasit-
ics and switching noise resulting from the tuning circuitry.
1. The relatively low bulk resistance of silicon, which These problems can be overcome by using fully balanced dif-
meant that large values of resistance required an un- ferential circuits so that parasitic effects appear as common
duly large volume. mode signals. Fully balanced circuits are usually derived from
2. The relatively low dielectric constant of silicon which their single-ended counterparts, and are based upon well-
resulted in excessively large capacitor plate area. tried structures such as those described in earlier sections. A
useful general rule (9) for converting from single-ended to a
3. The inability to trim passive elements.
balanced circuit is presented below:
Active-R filters (30–31) which utilize the single-pole roll-
• Identify ground node(s) in the single-ended circuit.
off model of an operational amplifier provide an effective ca-
pacitance for simple, high cut-off filters. However, the need to • Mirror the circuit at ground, duplicating all elements,
accurately determine the roll-off properties of each amplifier and divide the gain of all active devices by two.
renders this approach inefficient in the absence of sophisti- • Change the sign of the gain of all mirrored active devices
cated on-chip self-tuning circuitry (9). and merge so that any resulting pair with inverting-non-
Switched-capacitor filters were the first fully-integrated inverting gains becomes one balanced differential input-
structures. Although they are strictly sampled-data systems, differential output device.
they simulate an analog system if the clock frequency is much • Realize any devices whose sole effect in the original cir-
higher than the cut-off frequency. Although a more detailed cuit is a sign inversion by a simple crossing of wires.
description of SCFs is presented in SWITCHED CAPACITOR NET-
WORKS, two of their advantages are worthy of note at this time:
The conversion process for a state variable filter is shown
in Fig. 19(a–b), while Fig. 19(c) shows the MOSFET-C real-
1. The filters are fully integrated. ization in which the resistors of Fig. 19(b) have been replaced
2. Performance depends upon the ratio of relatively small by MOSFET-simulated resistors.
capacitors and an accurate clock to establish circuit By contrast, fully integrated active filters based upon the
time constants with high precision. operational transconductance amplifier (OTA) (33–34) are

Vi V0

(a)

Vi Vo
T5 T4 T3 T2 T1

(b)

Figure 18. Leapfrog realization of low-pass LC filter. (a) Fifth-order LC filter. (b) Leapfrog real-
ization of (a).
220 ACTIVE FILTERS

R4

R2

R1 C1 C2
R3
– R

+ –
+
Vi +
Vo

(a)

R4

C1

R2
C2
R1 R3
V i+ – – V o+
+ +
R1 R3
– –
V i– + + Vo–

C2
R2

C1 R4

(b)

R4

R2

C1 C2
R1 R3
V i+ – – V o+
+ +
– –
V i– + + Vo–
R1 R3
C2
C1

R2

R4

(c)

Figure 19. Realization of MOSFET-C state variable filter. (a) Original active RC version using
single-ended amplifiers. (b) Fully differential, active-RC version. (c) MOSFET-C version with
MOSFETs R1 . . . R4 replacing equivalent resistors of (b).
ACTIVE FILTERS 221

V+ + I0
gm I1
V– – + I0


V1 Req
Figure 20. Circuit symbol for the operational transconductance am-
plifier (OTA). Req

(a)
simpler to design and have a much wider frequency range.
This has led to OTA-C structures capable of accurate opera- Req
I1 I2
tion at frequencies beyond 100 MHz (35).
The OTA is a high-gain voltage-controlled current source,
– +
which is relatively easy to fabricate using CMOS or comple-
mentary bipolar technology. Some basic properties of the OTA + –
V1 V2
V1 V2
are as follows:

1. High gain-bandwidth product that yields filters with


higher operating frequencies than those using conven- (b)
tional operational amplifiers. Figure 21. Resistance simulation using OTAs. (a) Grounded resistor.
2. Can be electronically tuned to modify its transconduc- (b) Floating resistor.
tance.
3. Infinite input impedance and infinite output impedance.
from which:
The circuit symbol for the OTA is shown in Fig. 20, for     
I1 gm1 −gm1 V1
which = (29)
I2 −gm2 gm2 V2
I0 = gm (V + − V − ) (25)
For matched devices, gm1 ⫽ gm2, and Eq. (29) represents a
where gm is the transconductance, a typical value being 500 floating resistor of value 1/gm. Various building blocks can
애A/V. gm can be controlled by Ic such that: now be developed, forming the basis for simulation of struc-
tures such as the state variable. For example, the simple
gm = KIc (26) summer shown in Fig. 22(a) yields
gm1 g
where Ic is in microamps and a typical value of K is 15. Of V0 = V + m2 V (30)
gm3 1 gm3 2
particular importance, Eq. (26) is valid over a wide range,
perhaps as much as six decades for Ic, that is, 0.001 to 1000
애A. In addition, the gain-bandwidth is also proportional to
Ic and may extend to hundreds of megahertz. This will be +
limited by input and output parasitics. 1
An OTA-C filter structure depends upon the ability of the –

OTA to simulate large values of resistance. Hence, in conjunc-
+ 3
tion with relatively small values of capacitance, it is possible V1 +
2
to set the appropriate filter time constants without undue use V2 V0

of silicon real estate.
Resistance can be simulated by the circuits shown in Figs.
21(a,b). For the grounded resistance,
(a)
−I1 = I0 = gm (0 − V − ) = −gmV1

Hence, +
1 – 2
– +
V 1 V+
Req = 1 = (27) V0
I1 gm V– C

Thus, if gm ⫽ 10⫺5S, Req ⫽ 100 k⍀.


For the floating resistance of Fig. 21(b):
(b)
I1 = −gm1 (V2 − V1 ) (28a)
Figure 22. OTA filter building blocks. (a) Summer. (b) Damped inte-
I2 = −gm2 (V1 − V2 ) (28b) grator.
222 ACTIVE FILTERS

Bandpass 5. W. J. Kerwin, L. P. Huelsman, and R. W. Newcomb, State-vari-


Low-pass able synthesis for insensitive integrated circuit transfer func-
+
tions, IEEE Journal, SC-2: 87–92, 1967.
1 –
– 2 + 6. F. E. Girling and E. F. Good, Active filters, Wireless World, 76:
+ 3 341–345, 445–450, 1970. The leapfrog method was first described
– by the same authors in RRE Memo No. 1177, September, 1955.
7. R. A. Friedenson et al., RC active filters for the D3 channel bank
filter, Bell Syst. Tech. J., 54 (3): 507–529, 1975.
8. R. W. Brodersen, P. R. Gray, and D. A. Hodges, MOS switched-
– capacitor filters, Proc. IEEE, 67: 61–75, 1979.
4 9. R. Schaumann, M. S. Ghausi, and K. R. Laker, Design of Analog
+ Filters, Englewood Cliffs, NJ: Prentice-Hall, 1990.
10. R. W. Daniels, Approximation Methods for Electronic Filter De-
sign, New York: McGraw-Hill, 1974.
11. P. Bowron and F. W. Stephenson, Active Filters for Communica-
Figure 23. OTA circuit yielding both bandpass and low-pass second- tions and Instrumentation, London: McGraw-Hill, 1979.
order transfer functions.
12. A. I. Zverev, Handbook of Filter Synthesis, New York: Wiley, 1967.
13. E. Christian and E. Eisenman, Filter Design Tables and Graphs,
New York: Wiley, 1966.
whereas the circuit of Fig. 22(b) realizes a damped integrator, 14. F. W. Stephenson (ed.), RC Active Filter Design Handbook, New
for which York: Wiley, 1985.
gm1 15. L. P. Huelsman, Active and Passive Analog Filter Design—An
V0 = (V + − V − ) (31) Introduction, New York: McGraw-Hill, 1993.
sC + gm2
16. F. W. Stephenson, Single-amplifier multiple-feedback filters, in
W-K. Chen, (ed.), The Circuits and Filters Handbook, New York:
Furthermore, by setting gm2 ⫽ 0 (eliminating the second OTA), CRC Press/IEEE Press, 1995.
Eq. (31) reduces to that of an undamped integrator.
17. T. Deliyannis, High-Q factor circuit with reduced sensitivity,
Other biquads, not based directly on earlier topologies, Electron. Lett., 4 (26): 577–579, 1968.
may also be realized. For example, the biquad of Fig. 23 may
18. J. J. Friend, C. A. Harris, and D. Hilberman, STAR: an active
be analyzed to yield a bandpass output of biquadratic filter section, IEEE Trans. Circuits Syst., CAS-22:
115–121, 1975.
Vbp s(gm1 /C1 )
= (32) 19. L. P. Huelsman and P. E. Allen, Introduction to the Theory and
Vi gm2 g g Design of Active Filters, New York: McGraw-Hill, 1980.
s2 + s + m3 m4
C2 C1C2 20. F. W. Stephenson and W. B. Kuhn, Higher-order filters, in J. T.
Taylor and Q. Huang (eds.), CRC Handbook of Electrical Filters,
New York: CRC Press, 1997, pp. 119–139.
SUMMARY 21. G. S. Moschytz, A second-order pole-zero pair selection for nth-
order minimum sensitivity networks, IEEE Trans., CT-17 (4):
RC active filters have reached a degree of maturity that could 527–534, 1970.
not have been envisaged when they were first conceived over 22. M. S. Ghausi and K. R. Laker, Modern Filter Design, Englewood
40 years ago. The successive introductions of operational am- Cliffs, NJ: Prentice-Hall, 1981.
plifiers, laser trimming, hybrid microelectronic fabrication, 23. A. Antoniou, Realization of gyrators using operational amplifiers
and, finally, fully integrated filters have all helped advance and their use in RC-active network synthesis, Proc. IEEE, 116
the state of the art. However, the thread linking all of these (11): 1838–1850, 1969.
technological advances has been the retention of a small num- 24. L. T. Bruton, Network transfer functions using the concept of
ber of topologies and techniques that have been proven to frequency-dependent negative resistance, IEEE Trans., CT-16:
yield reliable filters for large-scale practical applications. 406–408, 1969.
These structures have formed the basis for discussion in this 25. A. W. Keen and J. L. Glover, Active RC equivalents of RCL net-
article. By no means do they represent all the possibilities, works by similarity transformation, Electron. Lett. 7 (11): 288–
but they do form a solid basis upon which further study may 290, 1971.
be based. 26. L. T. Bruton and A. B. Haase, Sensitivity of generalized immit-
tance converter-embedded ladder structures, IEEE Trans., CAS-
21 (2): 245–250, 1974.
BIBLIOGRAPHY 27. G. Hurtig, The primary resonator block technique of filter synthe-
sis, Proc. IEEE International Filter Symposium, Santa Monica,
1. F. Jay (ed.), IEEE Standard Dictionary of Electrical and Electronic CA, April 1972, p. 84, [US Patent 3,720,881, March, 1973].
Terms, 4th ed., New York: IEEE Press, 1988. 28. K. R. Laker and M. S. Ghausi, Synthesis of low-sensitivity
2. J. G. Linvill, RC active filters, Proc. IRE, 12: 555–564, 1954. multiloop feedback active RC filter, IEEE Trans., CAS-21 (2):
3. R. P. Sallen and E. L. Key, A practical method of designing RC 252–259, 1974.
active filters, IRE Trans., CT-2: 74–85, 1955. 29. J. Tow, Design and evaluation of shifted-companion form of ac-
4. A. G. J. Holt and J. R. Taylor, Method of replacing ungrounded tive filters, Bell Syst. Tech. J., 54 (3): 545–568, 1975.
inductances by grounded gyrators, Electron. Lett., 1 (4): 105, 30. J. R. Brand and R. Schaumann, Active R filters: Review of theory
1965. and practice, IEEE J., ECS-2 (4): 89–101, 1978.
ACTIVE PERCEPTION 223

31. A. S. Sedra and P. O. Brackett, Filter Theory and Design: Active


and Passive, Portland OR: Matrix, 1978.
32. Y. Tsividis, M. Banu, and J. Khoury, Continuous-Time MOSFET-
C Filters in VLSI, IEEE Trans., CAS-33: 1125–40, 1986.
33. Y. P. Tsividis and J. O. Voorman (eds.), Integrated Continuous-
Time Filters, Piscataway, NJ: IEEE Press, 1993.
34. R. L. Geiger and E. Sanchez-Sinencio, Active filter design using
operational transconductance amplifiers: A tutorial, IEEE Circ.
Dev. Mag., CDM-1: 20–32, 1985.
35. M. Atarodi and J. Choma, Jr., A 7.2 GHz bipolar operational
transconductance amplifier for fully integrated OTA-C filters, J.
Analog Integ. Circ. Signal Process., 6 (3): 243–253, 1994.

F. WILLIAM STEPHENSON
Virginia Polytechnic Institute and
State University

ACTIVE FILTERS. See ANALOG FILTERS; CASCADE NET-


WORKS.
ACTIVE NETWORK SYNTHESIS. See CURRENT CON-
VEYORS.
ADAPTIVE FILTERS 259

Now consider the expectation of Eq. (37): For stable convergence each term in Eq. (45) must be less
than one, so we must have
w (n + 1)] = E[w
E[w w (n)] + 2µE[d(n)xx(n)]
(38) 1
w (n)]
− 2µE[xx (n)xx (n)T ]E[w 0<µ< (46)
λmax
We have assumed that the filter weights are uncorrelated
with the input signal. This is not strictly satisfied, because where ␭max is the largest eigenvalue of the correlation matrix
the weights depend on x(n); but we can assume that 애 has R, though this is not a sufficient condition for stability under
small values because it is associated with a slow trajectory. all signal conditions. The final convergence rate of the algo-
So, subtracting the optimum solution from both sides of Eq. rithm is determined by the value of the smallest eigenvalue.
(38), and substituting the autocorrelation matrix R and cross- An important characteristic of the input signal is therefore
correlation vector p, we get the eigenvalue spread or disparity, defined as

w (n + 1)] − R−1 p = E[w


E[w w (n)] − R−1 p + 2µR{R−1 p − E[w
w (n)]} λmax/λmin (47)
(39)
So, from the point of view of convergence speed, the ideal
Next, defining value of the eigenvalue spread is unity; the larger the value,
the slower will be the final convergence. It can be shown (3)
w (n + 1)] − R−1 p
ξ (n + 1) = E[w (40) that the eigenvalues of the autocorrelation matrix are
bounded by the maximum and minimum values of the power
from Eq. (39) we obtain spectral density of the input.
It is therefore concluded that the optimum signal for fast-
ξ (n + 1) = (I − 2µR)ξξ (n) (41) est convergence of the LMS algorithm is white noise, and that
any form of coloring in the signal will increase the conver-
This process is equivalent to translation of coordinates. Next, gence time. This dependence of convergence on the spectral
we define R in terms of an orthogonal transformation (7): characteristics of the input signal is a major problem with the
LMS algorithm, as discussed in Ref. 6.
R = K T QK (42)
LMS-Based Algorithms
where Q is a diagonal matrix consisting of the eigenvalues
(␭0, ␭1, . . ., ␭N) of the correlation matrix R, and K is the uni- The Normalized LMS Algorithm. The normalized LMS
tary matrix consisting of the eigenvectors associated with (NLMS) algorithm is a variation of the ordinary LMS algo-
these eigenvalues. rithm. Its objective is to overcome the gradient noise amplifi-
Substituting Eq. (42) in Eq. (41), we have cation problem. This problem is due to the fact that in the
standard LMS, the correction 애e(n)x(n) is directly propor-
ξ (n + 1) = (I − 2µK T QK)ξξ (n) tional to the input vector x(n). Therefore, when x(n) is large,
(43) the LMS algorithm amplifies the noise.
= K T (I − 2µQ)Kξξ (n)
Consider the LMS algorithm defined by
Multiplying both sides of the Eq. (43) by K and defining
w (n + 1) = w (n) + 2µe(n)xx (n) (48)
v (n + 1) = Kξξ (n + 1)
(44) Now consider the difference between the optimum vector w*
= (I − 2µQ)v
v (n)
and the current weight vector w(n):
we may rewrite Eq. (44) in matrix form as
v (n) = w ∗ − w (n) (49)
 
v0 (n)
 v (n)  Assume that the reference signal and the error signal are
 1 
 
 .. 
 .  d(n) = w ∗Tx (n) (50)
vN−1 (n) e(n) = d(n) − w (n)Tx (n) (51)
 
(1 − 2µλ1 )n
 (1 − 2µλ2 )n  Substituting Eq. (50) in Eq. (51), we obtain
 
=
 .. 

 .  e(n) = w ∗Tx (n) − w (n)Tx (n)
(1 − 2µλN )n w ∗T − w (n)T ]xx (n)
= [w (52)
 
v0 (0) = v (n)xx (n)
T
 v (0) 
 1 
  (45)
 ..  We decompose v(n) into its rectangular components
 . 
vN−1 (0) v (n) = v o (n) + v p (n) (53)
260 ADAPTIVE FILTERS

vp(n–1) Therefore, the NLMS algorithm given by Eq. (64) is equiva-


vp(n) lent to the LMS algorithm if

α
2µ = (66)
x T (n)xx (n)
vp(n) vp(n) x(n)

Figure 13. Geometric interpretation of the NLMS algorithm. NLMS Algorithm


Parameters : M = filter order
α = step size
where vo(n) and vp(n) are the orthogonal component and the Initialization : Set w (0) = 0
parallel component of v(n) with respect to the input vector. Computation : For n = 0, 1, 2, . . ., compute
This implies
y(n) = w (n)Tx (n)
v p (n) = Cxx (n) (54) e(n) = d(n) − y(n)
α
where C is a constant. Then substituting Eq. (53) and Eq. (54) β= T
x (n)xx (n)
in Eq. (52), we get
w (n + 1) = w (n) + βe(n)xx (n)
e(n) = [v
v o (n) + v p (n)]Tx (n) (55)
e(n) = [v
v o (n) + Cxx (n)]Tx (n) (56) Time-Variant LMS Algorithms. In the classical LMS algo-
rithm there is a tradeoff between validity of the final solution
Because vo(n) is orthogonal to x(n), the scalar multiplication and convergence speed. Therefore its use is limited for several
is practical applications, because a small error in the coefficient
vector requires a small convergence factor, whereas a high
v Tox (n) = 0 (57) convergence rate requires a large convergence factor.
The search for an optimal solution to the problem of ob-
Then solving for C from Eqs. (56) and (57) yields taining high convergence rate and small error in the final
solution has been an arduous in recent years. Various algo-
e(n) rithms have been reported in which time-variable conver-
C= (58)
x T (n)xx (n) gence coefficients are used. These coefficients are chosen so
as to meet both requirements: high convergence rate and low
and MSE. Interested readers may refer to Refs. 9–14.

e(n)xx (n)
v p (n) = (59) Recursive Least-Squares Algorithm
x T (n)xx (n)
The recursive least-squares (RLS) algorithm is required for
The target now is to make v(n) as orthogonal as possible to rapidly tracking adaptive filters when neither the reference-
x(n) in each iteration, as shown in Fig. 13. The above men- signal nor the input-signal characteristics can be controlled.
tioned can be done by setting An important feature of the RLS algorithm is that it utilizes
information contained in the input data, extending back to
v (n + 1) = v (n) − αv
v p (n) (60) the instant of time when the algorithm is initiated. The re-
sulting convergence is therefore typically an order of magni-
Finally, substituting Eq. (49) and Eq. (59), we get tude faster than for the ordinary LMS algorithm.
In this algorithm the mean squared value of the error sig-
e(n)xx (n)
w ∗ − w (n + 1) = w ∗ − w (n) − α (61) nal is directly minimized by a matrix inversion. Consider the
x T (n)xx (n) FIR filter output
e(n)xx (n)
w (n + 1) = w (n) + α (62) y(n) = w Tx (n) (67)
x T (n)xx (n)

where, in order to reach the target, 움 must satisfy (9) where x(n) is the input vector given by x(n) ⫽ [x(n), x(n ⫺ 1,
. . ., x(n ⫺ M ⫹ 1)]T and w is the weight vector. The optimum
0<α<2 (63) weight vector is computed in such a way that the mean
squared error, E[e2(n)] is minimized, where
In this way
e(n) = d(n) − y(n) = d(n) − w Tx (n) (68)
w (n + 1) = w (n) + βe(n)xx (n) (64)
E[e (n)] = E[{d(n) − w x (n)} ]
2 T 2
(69)
where
To minimize E[e2(n)], we can use the orthogonality principle
α in the estimation of the minimum. That is, we select the
β= T (65)
x (n)xx (n) weight vector in such a way that the output error is orthogo-
ADAPTIVE FILTERS 261

nal to the input vector. Then from Eqs. (67) and (68), we ob- Next, for convenience of computation, let
tain
Q(n) = R−1 (n) (82)
E[xx (n){d(n) − x (n)w
w}] = 0
T
(70)
and
Then
R−1 (n − 1)xx (n)
E[xx (n)xxT (n)w
w] = E[d(n)xx (n)] (71) K(n) = (83)
λ + x T (n)R−1 (n − 1)xx (n)

Assuming that the weight vector is not correlated with the


Then from Eq. (81) we have
input vector, we obtain
1
E[xx (n)xxT (n)]w
w = E[d(n)xx (n)] (72) w (n) = [Q(n − 1) − K(n)xx T (n)Q(n − 1)]
λ (84)
p (n − 1) + d(n)xx(n)]
[λp
which can be rewritten as
1
w (n) = Q(n − 1)p
p (n − 1) + d(n)Q(n − 1)xx (n)
w=p
Rw (73) λ
− K(n)xx T (n)Q(n − 1)p
p (n − 1) (85)
where R and p are the autocorrelation matrix of the input 1
signal and the correlation vector between the reference sig- − d(n)K(n)xxT (n)Q(n − 1)xx (n)
λ
nal d(n) and input signal x(n), respectively. Next, assuming
ergodicity, p can be estimated in real time as 1
w (n) = w (n − 1) + d(n)Q(n − 1)xx (n)
λ

n
Q(n − 1)xx (n)xxT (n)w
w (n − 1)
p (n) = λn−k d(k)xx (k) (74) − (86)
k=0
λ + x T (n)Q(n − 1)xx (n)

n−1 1 d(n)Q(n − 1)xx (n)xxT (n)Q(n − 1)xx (n)

p (n) = λn−k d(k)xx (k) + d(n)xx (n) λ λ + x T (n)Q(n − 1)xx (n)
k=0
(75) 1 Q(n − 1)xx (n)

n−1 w (n) = w (n − 1) +
=λ λ n−k−1
d(k)xx (k) + d(n)xx (n) λ λ + x T (n)Q(n − 1)xx (n)
k=0 × [λd(n) + d(n)xxT (n)Q(n − 1)xx (n) (87)

p (n) = λp
p (n − 1) + d(n)xx(n) (76) − λxxT (n)w
w (n − 1) − d(n)xxT (n)Q(n − 1)xx (n)]
1 Q(n − 1)xx (n)
where ␭ is the forgetting factor. In a similar way, we can ob- w (n) = w (n − 1) +
tain λ λ + x T (n)Q(n − 1)xx (n) (88)
× λ[d(n) − x T (n)w
w(n − 1)]
R(n) = λR(n − 1) + x (n)xx T (n) (77)
Finally, we have
Then, multiplying Eq. (73) by R⫺1 and substituting Eq. (76)
and Eq. (77), we get w (n) = w (n − 1) + K(n)(n) (89)

w = [λR(n − 1) + x (n)xxT (n)]−1 [λp


p (n − 1) + d(n)xx (n)] (78) where

Next, according to the matrix inversion lemma Q(n − 1)xx (n)


K(n) = (90)
λ + x T (n)Q(n − 1)xx (n)
−1 −1 −1 −1 −1 −1 −1
(A + BCD) =A −A B(DA B +C ) DA (79)
and ⑀(n) is the a priori estimation error, based on the old
with A ⫽ ␭R(n ⫺ 1), B ⫽ x(n), C ⫽ 1, and D ⫽ xT(n), we least-square estimate of the weights vector that was made at
obtain time n ⫺ 1, and defined by

1 −1 1 −1

w (n) = R (n − 1) − R (n − 1)xx (n) (n) = d(n) − w T (n − 1)xx (n) (91)
λ λ
−1 1
1 T Then Eq. (89) can be written as
× x (n)R−1 (n − 1)xx (n) + 1 x T (n)R−1 (n − 1)
λ λ
w (n) = w (n − 1) + Q(n)(n)xx(n) (92)
× [λp
p (n − 1) + d(n)xx (n)]
(80)
1 −1
R (n − 1)xx (n)xx (n)R xT −1
(n − 1)
 where Q(n) is given by
w (n) =
λ
R−1 (n − 1) −
[λ + x T (n)R−1 (n − 1)xx (n)] 1 Q(n − 1)xxT (n)Q(n − 1)

Q(n) = Q(n − 1) − (93)
× [λp
p (n − 1) + d(n)xx(n)] (81) λ λ + x T (n)Q(n − 1)xx (n)
262 ADAPTIVE FILTERS

The applicability of the RLS algorithm requires that it ini- 3. S. Haykin, Adaptive Filter Theory, 3rd ed., Upper Saddle River,
tialize the recursion of Q(n) by choosing a starting value NJ: Prentice-Hall, 1996.
Q(0) to ensure the nonsingularity of the correlation matrix 4. B. Friedlander, Lattice filters for adaptive processing, Proc.
R(n) (3). IEEE, 70: 829–867, 1982.
5. J. J. Shynk, Adaptive IIR filtering, IEEE ASSP Mag., 6 (2): 4–
RLS Algorithm 21, 1989.
Initialization : Set Q(0) 6. P. Hughes, S. F. A. Ip, and J. Cook, Adaptive filters—a review of
techniques, BT Technol. J., 10 (1): 28–48, 1992.
w (0) = 0
7. B. Widrow and S. Stern, Adaptive Signal Processing, Englewood
Computation : For n = 1, 2, . . ., compute Cliffs, NJ: Prentice-Hall, 1985.
Q(n − 1)xx (n) 8. B. Widrow and M. E. Hoff, Jr., Adaptive switching circuits, IRE
K(n) =
λ + x T (n)Q(n − 1)xx (n) WESCON Conv. Rec., part 4, 1960, pp. 96–104.
9. J. Nagumo and A. Noda, A learning method for system identifi-
(n) = d(n) − w T (n − 1)xx (n) cation, IEEE Trans. Autom. Control, AC-12: 282–287, 1967.
w (n) = w (n − 1) + K(n)(n) 10. R. H. Kwong and E. W. Johnston, A variable step size LMS algo-
w (n + 1) = w (n) + βe(n)xx (n) rithm, IEEE Trans. Signal Process., 40: 1633–1642, 1992.
Q(n) = R−1 (n) 11. I. Nakanishi and Y. Fukui, A new adaptive convergence factor
with constant damping parameter, IEICE Trans. Fundam. Elec-
tron. Commun. Comput. Sci., E78-A (6): 649–655, 1995.
IMPLEMENTATIONS OF ADAPTIVE FILTERS 12. T. Aboulnasr and K. Mayas, A robust variable step size LMS-
type algorithm: Analysis and simulations, IEEE Trans. Signal
In the last few years many adaptive filter architectures have Process., 45: 631–639, 1997.
been proposed, for reducing the convergence rate without in- 13. F. Casco et al., A variable step size (VSS-CC) NLMS algorithm,
creasing the computational cost significantly. The digital im- IEICE Trans. Fundam., E78-A (8): 1004–1009, 1995.
plementations of adaptive filters are the most widely used. 14. M. Nakano et al., A time varying step size normalized LMS algo-
They yield good performance in terms of adaptivity, but con- rithm for adaptive echo canceler structures, IEICE Trans. Fun-
sume considerable area and power. Several implementations dam., E78-A (2): 254–258, 1995.
achieve power reduction by dynamically minimizing the order 15. J. T. Ludwig, S. H. Nawab, and A. P. Chandrakasan, Low-power
of the digital filter (15) or employing parallelism and pipelin- digital filtering using approximate processing, IEEE J. Solid
ing (16). On the other hand, high-speed and low-power appli- State Circuits, 31: 395–400, 1996.
cations require both parallelism and reduced complexity (17). 16. C. S. H. Wong et al., A 50 MHz eight-tap adaptive equalizer for
Is well known that analog filters offer advantages of small partial-response channels, IEEE J. Solid State Circuits, 30: 228–
area, low power, and higher-frequency operation over their 234, 1995.
digital counterparts, because analog signal-processing opera- 17. R. A. Hawley et al., Design techniques for silicon compiler imple-
tions are normally much more efficient than digital ones. mentations of high-speed FIR digital filters, IEEE J. Solid State
Moreover, since continuous-time adaptive filters do not need Circuits, 31: 656–667, 1996.
analog-to-digital conversion, it is possible to prevent quanti- 18. M. H. White et al., Charge-coupled device (CCD) adaptive dis-
zation-related problems. crete analog signal processing, IEEE J. Solid State Circuits, 14:
Gradient descent adaptive learning algorithms are com- 132–147, 1979.
monly used for analog adaptive learning circuits because of 19. T. Enomoto et al., Monolithic analog adaptive equalizer inte-
their simplicity of implementation. The LMS algorithm is of- grated circuit for wide-band digital communications networks,
ten used to implement adaptive circuits. The basic elements IEEE J. Solid State Circuits, 17: 1045–1054, 1982.
used for implementing the LMS algorithm are delay elements 20. F. J. Kub and E. W. Justh, Analog CMOS implementation of high
(which are implemented with all-pass first-order sections), frequency least-mean square error learning circuit, IEEE J. Solid
multipliers (based on a square law), and integrators. The State Circuits, 30: 1391–1398, 1995.
techniques utilized to implement these circuits are discrete- 21. Y. L. Cheung and A. Buchwald, A sampled-data switched-current
time approaches, as discussed in Refs. 18 to 21, and continu- analog 16-tap FIR filter with digitally programmable coefficients
ous-time implementations (22,23,24). in 0.8 애m CMOS, Int. Solid-State Circuits Conf., February 1997.
Several proposed techniques involve the implementation of 22. J. Ramirez-Angulo and A. Dı́az-Sanchez, Low voltage program-
the RLS algorithm, which is known to have very low sensitiv- mable FIR filters using voltage follower and analog multipliers,
Proc. IEEE Int. Symp. Circuits Syst., Chicago, May 1993.
ity to additive noise. However, a direct analog implementa-
tion of the RLS algorithm would require a considerable effort. 23. G. Espinosa F.-V. et al., Ecualizador adaptivo BiCMOS de tiempo
To overcome this problem, several techniques have been pro- continuo, utilizando una red neuronal de Hopfield, CONIELEC-
OMP’97, UDLA, Puebla, México, 1997.
posed, such as structures based on Hopfield neural networks
(23,25,26,27). 24. L. Ortı́z-Balbuena et al., A continuous time adaptive filter struc-
ture, IEEE Int. Conf. Acoust., Speech Signal Process., Detroit,
1995, pp. 1061–1064.
BIBLIOGRAPHY 25. M. Nakano et al., A continuous time equalizer structure using
Hopfield neural networks, Proc. IASTED Int. Conf. Signal Image
1. S. U. H. Qureshi, Adaptive equalization, Proc. IEEE, 73: 1349– Process., Orlando, FL, November 1996, pp. 168–172.
1387, 1985. 26. G. Espinosa F.-V., A. Dı́az-Méndez, and F. Maloberti, A 3.3 V
2. J. Makhoul, Linear prediction: A tutorial review, Proc. IEEE, 63: CMOS equalizer using Hopfield neural network, 4th IEEE Int.
561–580, 1975. Conf. Electron., Circuits, Syst., ICECS97, Cairo, 1997.
ADAPTIVE RADAR 263

27. M. Nakano-Miyatake and H. Perez-Meana, Analog adaptive fil-


tering based on a modified Hopfield network, IEICE Trans. Fun-
dam., E80-A: 2245–2252, 1997.

Reading List
M. L. Honig and D. G. Messerschmitt, Adaptive Filters: Structures,
Algorithms, and Applications, Norwell, MA: Kluwer, 1988.
B. Mulgrew and C. F. N. Cowan, Adaptive Filters and Equalisers,
Norwell, MA: Kluwer, 1988.
S. Proakis et al., Advanced Signal Processing, Singapore: Macmillan.

GUILLERMO ESPINOSA FLORES


VERDAD
JOSÉ ALEJANDRO DÍAZ MÉNDEZ
National Institute for Research in
Astrophysics, Optics and
Electronics
ALL-PASS FILTERS proximates the ideal brick-wall low-pass magnitude char-
acteristic [see Fig. 1(a)] in a maximally flat manner. An
ideal filter also has linear phase in the passband in order
NETWORKS, ALL-PASS to avoid phase distortion. But the Butterworth filter does
not have linear phase. So an all-pass filter is designed to
be connected in cascade with the Butterworth filter in or-
FILTERS, ALL-PASS der to linearize its phase characteristic. This application is
discussed in greater detail later in this article.
Another application of all-pass filters is creation of delay
PHASE EQUALIZERS for a variety of signal-processing tasks. A signal-processing
system may have several branches, and, depending on the
All-pass filters are often included in the catalog of classical application, it may be important to make the delay in each
filter types. A listing of types of classical filters reads as branch approximately equal. This can be done with all-
follows: low-pass, high-pass, bandpass, band-stop, and all- pass filters. On the other hand, a signal processing task
pass filters. The transfer functions (see Transfer func- may require delaying one signal relative to another. Again,
tions) of all of these filters can be expressed as real, ra- an all-pass filter can be used to provide the delay. This
tional functions of the Laplace transform variable s (see application is also discussed in greater detail in this article.
Laplace transforms). That is, these transfer functions
can be expressed as the ratio of two polynomials in s which
PROPERTIES OF ALL-PASS FILTERS
have real coefficients. All of the types of filters listed have
frequency-selective magnitude characteristics except for
The transfer function of an all-pass filter, TAP (s), has the
the all-pass filter. That is, in the sinusoidal steady state,
form
a low-pass filter passes low-frequency sinusoids relatively
well and attenuates high-frequency sinusoids. Similarly, a
bandpass filter in sinusoidal steady state passes sinusoids
having frequencies that are within the filter’s passband
where the constant H is the gain factor, which can be posi-
relatively well and attenuates sinusoids having frequen-
tive or negative, and D(s) is a real polynomial of s. Thus, a
cies lying outside this band. It should be kept in mind that
first-order all-pass filter transfer function, denoted by TAP1 ,
all of the filters on the list modify the phase of applied
with a pole on the negative real axis at s = −a is given by
sinusoids (see Filtering theory). Figure 1 shows idealized
representations of the magnitude characteristics of classi-
cal filters for comparison.
However, the all-pass filter is the only filter on the list
having a magnitude characteristic that is not frequency and a second-order transfer function, denoted as TAP2 , with
selective; in the sinusoidal steady state, an all-pass filter complex poles described by undamped natural frequency
passes sinusoids having any frequency. The filter does not (or natural mode frequency) ω0 and Q (½ < Q < ∞ for
change the amplitude of the input sinusoid or it changes complex poles in the open LHP) is given by
the amplitudes of input sinusoids by the same amount no
matter the frequency. An all-pass filter modifies only the
phase, and this is the property that is found useful in signal
processing. Of course, an all-pass transfer function can be created that
Only the transfer function of the all-pass filter, ex- has two real-axis poles as would be obtained by cascad-
pressed as a rational function of s must have zeros (loss ing two buffered first-order transfer functions, but all-pass
poles) in the right-half s plane (RHP). The poles and zeros transfer functions with complex poles are the most useful
of the transfer function are mirror images with respect to for phase equalization of filters.
the origin. The transfer functions of the other filters are To show that the magnitude characteristic is constant
usually minimum phase transfer functions, meaning that for all frequencies for all-pass transfer functions of any or-
the zeros of these transfer functions are located in the left- der, we first obtain from Eq. (1)
half s plane (LHP) or on the imaginary axis but not in the
open RHP. As a result of these properties, the transfer func-
tion of an all-pass filter, TAP (s), can be expressed as a gain
factor H times a ratio of polynomials in which the numer-
where ∗ indicates the conjugate. Then from Eq. (4), we ob-
ator polynomial can be constructed from the denominator
tain
polynomial by replacing s by −s thereby creating zeros that
are images of the poles. H can be positive or negative.
The primary application of all-pass filters is in phase
equalization of filters having frequency-selective magni-
tude characteristics. A frequency-selective filter usually re- This result is also shown graphically in Fig. 2 for the case
alizes an optimum approximation to ideal magnitude char- of a second-order all-pass transfer function with complex
acteristics. For example, a Butterworth low-pass filter ap- poles. An arbitrary point P has been selected on the jω axis,
and we see that the lengths of the vectors from the poles to

J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright © 2007 John Wiley & Sons, Inc.
2 Phase Equalizers

Figure 1. Idealized magnitude characteristics of classical filters. (a) Low-pass filter. (b) High-pass
filter. (c) Bandpass filter. (d) Band-stop filter. (e) All-pass filter.

Figure 3. Phase plots for the first-order all-pass transfer func-


tion of Eq. (2) with a zero at s = 1 and for second-order transfer
functions of Eq. (3) with ω0 = 1 and Q = ½, 1, 2, and 4. H is positive
Figure 2. A pole-zero plot for a second-order all-pass transfer
for all the transfer functions.
function with complex poles is shown. An arbitrary point on the
jω axis, denoted as P, has been selected. The lengths of the vectors
from the poles to point P are the same as the corresponding lengths for the second-order transfer function in Eq. (3) with ω0 =
of the vectors from the zeros to point P 1 and Q values equal to ½, 1, 2, and 4. H is positive for
all the transfer functions. Upon examination of the plots
generated by the second-order transfer functions, it is seen
the point are the same as the lengths of the vectors from
that for Q = ½, there is no point of inflection. For certain
the zeros to point P. Thus, the magnitude characteristic is
higher values of Q, there is a point of inflection. The point
determined only by H and is not a function of frequency.
of inflection is obtained by differentiating the expression
The phase, however, is a function of frequency. Denoting
for phase two times with respect to ω, equating the result
the phase of the all-pass transfer function as θ AP , selecting
to zero, and solving for a positive ω. The result is
H to be positive for convenience, and denoting the phase of
D(jω) as θ D , we can write:

The all-pass transfer function produces phase lag, see 1. Thus, for Qs greater than 0.578, there is a point of inflection
If H is negative, then an additional phase of π radians is in the phase plot.
introduced. Figure 3 shows the phase plots obtained for The negative of the derivative of phase with respect to
the first-order transfer function in Eq. (2) with a = 1 and ω is the group delay, denoted as τ(ω). Group delay is also
Phase Equalizers 3

termed envelope delay or merely delay, and its units are


Rewriting Eq. (11), we obtain
seconds. Oftentimes, designers prefer working with delay
rather than phase because delay can be expressed as a ra-
tional function of ω. The expression for phase involves a
transcendental function tan−1 ( ). For example, the phase where it is seen that each sinusoid in vo (t) is delayed by the
of the second-order transfer function with positive H, ω0 = same amount, namely k seconds. The output voltage has
1 and Q = 2 (see Eq. 3) is been delayed by k seconds, but there is no phase distortion.
However, suppose the phase of the system is given by θ =
−kω3 , a nonlinear phase characteristic. With the input sig-
nal given by Eq. (10) and, as before, assuming that |T(jω)|
= |T(2jω)| = 1, we obtain

However, the delay is given by

From Eq. (13), it is seen that the sinusoids are delayed by


different amounts of time. The nonlinear phase character-
istic has resulted in phase distortion. Although the human
which is a rational function of ω resulting from the deriva- ear is relatively insensitive to phase changes (3), applica-
tive of the arctangent function. Figure 4 depicts the de- tions such as control and instrumentation can be greatly
lays corresponding to the phase plots given in Fig. 3. For impaired by phase distortion. To illustrate this important
Q greater than 0.578, the plots of delay exhibit peaks. For point further, assume that a signal vi (t) is applied to three
Q2 > ½, the peaks occur at ω  ω0 = 1. different hypothetical amplifiers. The signal vi (t) is com-
posed of two sinusoids and is given by
PHASE DISTORTION

At steady state, a linear, time-invariant network affects One sinusoid has twice the frequency of the other sinusoid.
only the amplitude and phase of an applied sinusoid to One amplifier is perfectly ideal and has a gain G1 = 10 with
produce an output sinusoid. The output sinusoid has the no phase shift. The second amplifier has a gain magnitude
same frequency as the input sinusoid. If the input sig- equal to 10 and has a linear phase shift given by θ = −ω.
nal is composed of two sine waves of different frequencies, Thus, its transfer function can be expressed as
then, depending on the network, the output signal could
be changed in amplitude or in phase or both. For exam-
ple, suppose the network is a low-pass filter and that the The third amplifier also has a gain magnitude equal to 10,
input signal consists of two sinusoids with different fre- but it has a nonlinear phase characteristic given by θ =
quencies, but both frequencies lie within the passband. In −ω3 . Thus, its transfer function is given by
this case, the network should pass the signal to the out-
put with minimum distortion. Since the frequencies of the
sine waves that make up the input signal lie within the
passband, very little amplitude distortion is encountered. Figure 5 depicts the output of the first amplifier. Since
However, the result can be severely phase distorted. If no the amplifier is perfectly ideal, the output is exactly 10vi .
phase distortion is to be produced, then the phase charac- Figure 6 shows the output of the second amplifier, and it is
teristic in the passband of the network must be linear and, seen that the waveform at the output of the amplifier with
hence, have the form −kω + θ 0 , where k is the magnitude of linear phase is the same as shown in Fig. 5 except that the
the slope of the phase characteristic and θ 0 is the phase at waveform in Fig. 6 has been delayed by 1 s. Delay of the
ω = 0. Furthermore, if θ 0 is neither 0 nor a multiple of 2π entire waveform does not constitute phase distortion. On
radians, then a distortion known as phase-intercept distor- the other hand, the output of the amplifier with nonlinear
tion results. In the following, phase-intercept distortion is phase, shown in Fig. 7, is clearly distorted. For example, its
not considered. The interested reader is referred to Ref. 2 peak-to-peak value is more than 12% larger than it should
for further information on phase-intercept distortion. be. In the next section, we examine the use of a second-
To illustrate the effects that a system with linear phase order all-pass filter to linearize the phase of nth-order low-
has on an input signal, let an input signal v(t), given by pass filters.

PHASE EQUALIZATON
be applied to a network with transfer function T(s). Assume
the phase of the system is given by θ(ω) = −kω, where k is Phase equalization is the term used to describe compen-
a positive constant, and assume that |T(jω)| = |T(2jω)| = sation employed with a filter or a system to remedy phase
1. In Eq. (10), A1 and A2 are the peak amplitudes of the distortion. The goal is to achieve linear phase (flat time
two sinusoids that make up v(t). The output signal can be delay), and the compensator is labeled a phase equalizer.
written as In this section, we derive the specifications for a second-
order all-pass filter that can be used to linearize the phase
of most all-pole low-pass filters. The technique can also be
4 Phase Equalizers

Figure 4. Delay plots for the all-pass transfer


functions listed in Fig. 3. The plot for Q = ½ has
the largest delay near the origin.

Figure 5. Output voltage of perfectly ideal


amplifier with input voltage given by Eq. (14).
The amplifier has a gain equal to 10 with no
phase shift.

Figure 6. Output voltage of amplifier with lin-


ear phase characteristic. The output voltage is
delayed 1 s in comparison to the output voltage
shown in Fig. 5.
Phase Equalizers 5

Figure 7. Output voltage of amplifier with non-


linear phase characteristic with input voltage
given by Eq. (14). The effects of phase distortion
are easily seen when this waveform is compared
with those in Figs. 5 and 6.

The use of a program that is capable of performing symbolic


algebra is recommended to obtain the Maclaurin series for
θ L . The results are
Figure 8. Cascade connection of a second-order low-pass filter
with a second-order all-pass filter. It is assumed there is no loading
between the two filters.

extended to other phase-equalization tasks. We begin the


derivation by linearizing the phase of a second-order low-
pass filter having a transfer function given by
Equation (20) can be used also to write the series for the
phase of the all-pass filter directly. Then, forming θ = θ L +
θ A and truncating the results after the term containing ω7
we obtain
Figure 8 depicts the cascade connection of this low-pass
filter with a second-order all-pass filter with transfer func-
tion TAP (s). The form of the transfer function of the all-pass
filter is given by Eq. (3), but for the purposes of this deriva-
tion, let us designate its undamped natural frequency as
ωA and its Q as QA . The overall phase of the cascade cir-
cuit is θ(ω) = θ L (ω) + θ A (ω) where θ L and θ A are the phase
contributed by the low-pass filter and the all-pass filter, re-
spectively. We wish to make θ(ω) approximate linear phase
in the Maclaurin sense (1). Since θ is an odd function of ω,
the Maclaurin series for θ has the form The next step is to set the coefficients of ω3 and ω5 equal to
zero in Eq. (21). Thus, we must satisfy the equations

where K1 is the first derivative of θ(ω) with respect to ω with


the result evaluated at ω = 0, and K3 is proportional to the
third derivative evaluated at ω = 0, and so on. Therefore, we
want to choose ωA and QA to make K3 and K5 equal to zero
in Eq. (18). Then K7 ω7 will be the lowest order undesired
term in the series for θ(ω).
Introduce parameters a and b to represent the left sides of
The phase θ L contributed by the second-order low-pass
Eqs. 22a and 22b, respectively. That is, let
filter can be expressed as
6 Phase Equalizers

is given by
Thus, we have two equations, Eqs. 22a and 22b, that involve
a, b, QA , and ωA . Upon eliminating ωA , we obtain a twelfth-
order equation for QA given by

k is positive, and TE (s) is the remaining portion of the over-


all transfer function and is of even order. We have assumed
that the odd order of To (s) arises because of the existence
of one real axis pole, the usual case. All other poles of To (s)
occur in complex conjugate pairs. Denoting the phase of
T1 (jω) as θ 1 (ω), we write
where

If we consider the case of linearizing the phase given in


Eq. (30) with a second-order all-pass transfer function, we
For a given second-order low-pass transfer function, d can obtain
be found from Eqs. 23a, and 23b. Then a positive solution
for QA is sought from Equation (24). Finally, ωA is obtained
from
and the terms given in Eq. (31) are added to the expressions
for the parameters a and b for higher order odd transfer
functions.

Note that a positive result must be found both for QA from


Eq. (24) and for ωA from Eq. (26) in order to obtain a solu-
tion.
Although only a second-order low-pass filter transfer
function was utilized to derive Eqs. 24 and 26, these two
equations are used for the nth order all-pole case as well
because only the parameters a, b, and d need to be modi-
fied. For example, suppose we wish to linearize the phase
of a normalized fourth-order Butterworth filter, denoted as
B4 (s), with a second-order all-pass filter. The transfer func-
tion B4 (s) is given by

where ω1 = ω2 = 1, Q1 = 0.541196, and Q2 = 1.306563. The


parameters a and b become

Table 1 provides the values for QA and ωA needed to


linearize the phase of low-pass Butterworth filters with a
3.01-dB variation in the passband and the phase of 1-dB
ripple Chebyshev low-pass filters. Note that no solution
Calculating d from Eq. (25) and employing Eqs. 24 and 26, exists for the second-order Butterworth filter. As an appli-
we obtain QA = 0.5434 and ωA = 1.0955. If the normalized cation of Table 1, we find the step responses of two normal-
Butterworth transfer function is to be frequency scaled to ized fifth-order Butterworth filters. One filter has a second-
a practical frequency, then the all-pass transfer function order all-pass connected in cascade in order to linearize its
must be frequency scaled by the same amount. phase, and the other does not. The transfer function B5 (s)
Phase equalization has been applied only to transfer is given by
functions of even order in the derivation and the example.
To apply phase equalization to an odd-order filter, we must
determine the additional factor to add to each parameter a
and b. An odd-order, all-pole, low-pass filter transfer func- In Fig. 9, the step response of B5 (s) has less delay, and the
tion To (s) can be expressed as To (s) = T1 (s)TE (s) where T1 (s) step response of B5 (s)TAP2 (s) with QA and ωA obtained from
Phase Equalizers 7

Figure 10. Essential components of a slope-polarity detector.

slope of vi (t) is positive, vo (t) is high, and vo (t) is low when


Figure 9. Step responses of fifth-order Butterworth low-pass fil- the slope of vi (t) is negative. Actually, the circuit’s output
ters with and without phase equalization. The step response of the changes state at a time which is slightly past the time at
filter with phase equalization exhibits preshoot and has greater which vi (t) changes slope. It is at this later time that the
delay. delayed input to the comparator, vA (t), causes the polarity
of the voltage (vi (t) − vA (t)) between the leads of the com-
Table 1 has greater delay due to the presence of the all-pass parator to change. The need for an all-pass filter in this
filter. However, it is seen from Fig. 9 that the response for application is clear because the amplitude of the input sig-
the phase-equalized filter more nearly approximates the nal must not be changed by the delaying circuit no matter
step response of an ideal low-pass filter with delay because the frequencies present in the input signal. A first-order
the response of an ideal filter should begin ringing before it all-pass filter is ordinarily adequate for the task. The pole
rises to the upper amplitude level. In other words, it should and zero can be set far from the origin, and their placement
exhibit “preshoot.” is not overly critical. Too little delay results in insufficient
Oftentimes, the design of filters having frequency- overdrive for the comparator. Too much delay increases the
selective magnitude characteristics other than low-pass is error in the time at which the comparator changes state be-
accomplished by applying Cauer transformations to a low- cause the polarity of the voltage between the leads of the
pass prototype transfer function. Unfortunately, the Cauer comparator does not change soon enough after the slope
transformations do not preserve the phase characteristics of vi (t) changes. For the example illustrated in Fig. 11 in-
of the low-pass transfer function. Thus, if a Cauer low-pass volving a simple sine wave, the amplitudes of the input
to bandpass transformation is applied to a low-pass filter and delayed sine waves are equal at a time closest to zero
transfer function that has approximately linear phase, the denoted by tE and given by
resulting bandpass filter transfer function cannot be ex-
pected to have linear phase, especially if the bandwidth of
the bandpass filter is relatively wide. An approach to lin-
earizing the phase of filters other than low-pass filters is to
The voltage difference, denoted as VE , between the peak of
make use of a computer program that plots delay resulting
the input sine wave and the level at which the input and
from the cascading of a specified magnitude-selective filter
delayed sine waves are equal is given by
with one or more all-pass filters. Using Eq. (7) and Figure
4 as guides, the peaks of the time delays of the all-pass fil-
ters can be placed to achieve approximately linear overall
phase.
If, for example, the delay provided by the all-pass filter is
0.5 ms for the 100-Hz input sine wave, then the input sine
AN APPLICATION OF DELAY
wave will have decreased by approximately 50 mV from its
peak value before the comparator begins to change state.
An all-pass filter can be combined with a comparator to ob-
This circuit works well at steady state for input signals
tain a slope-polarity detector circuit (4). The basic arrange-
that do not contain significant high-frequency components.
ment of the all-pass filter and the comparator is shown in
Thus, it works reasonably well if the input signal is a tri-
Fig. 10. An LM311 comparator works well in this circuit,
angular waveform, but it does not work well with square
and a first-order all-pass filter can be used for input signals
waves.
that are composed of sinusoids that do not differ greatly in
frequency. To understand the behavior of this circuit, sup-
pose vi (t) = Asin(ωt), where A is positive and represents the A SYNTHESIS APPLICATION
peak value of the sine wave. The output voltage of the all-
pass filter is vA (t) = Asin(ωt-ωt1 ), where t1 is the delay in First-order all-pass filters can be utilized to realize filters
seconds caused by the filter. Figure 11 depicts vi (t), vA (t), with magnitude-selective characteristics. For example, the
and the output voltage of the comparator vo (t), for A = 4 V circuit shown in Fig. 12, which is based on (5) and (6), re-
and ω = 2π(100) rad/s. The output terminal of the compara- alizes a bandpass filter transfer function by using a first-
tor has a pull-up resistor connected to 5 V. Ideally, when the order all-pass circuit in a feedback loop. The overall trans-
8 Phase Equalizers

Figure 12. Second-order bandpass filter realized by incorporat-


ing a first-order all-pass filter in a feedback path.

Figure 11. Input voltage vi (t), delayed input voltage vA (t), and
comparator output voltage vo (t) for the slope-polarity detector
shown in Figure 10 when the input voltage is a sine wave.

Figure 13. Passive circuit that can be used to realize a first-order


fer function of the circuit is
all-pass filter. Only one capacitor is needed.

ALL-PASS CIRCUIT REALIZATIONS

Voltage-mode Realizations
In this section, we examine a variety of circuits used to
realize all-pass transfer functions for which the input and
output variables of interest are voltage. Inductorless cir-
cuits for first-order all-pass filters can be realized using
the bridge circuit shown in Fig. 13. The transfer function
where K1 is the gain factor associated with the transfer of this circuit is given by
function of the first-order all-pass filter. If C1 R1 = CR, K1
= 1, and R2 = R3 , then Eq. (35) reduces to the transfer
function of a standard second-order bandpass filter given
by

If R1 = R2 , then Eq. (38) reduces to the transfer function of


an all-pass filter (7). However, the requirement that R1 =
R2 results in a gain factor equal to −½, which is small in
magnitude. Also, a common ground does not exist between
the input and output ports of the circuit.
The Q and ω0 of the poles in Eq. (36) are The bridge circuit shown in Fig. 14, which can be re-
drawn as a symmetrical lattice, can realize first-order all-
pass transfer functions with a gain factor equal to 1. The
transfer function of this circuit is

Although the circuit requires the matching of elements and


several operational amplifiers, including, possibly, a buffer
at the input, it demonstrates that all-pass filters can be If ZB = R and ZA = 1/(sC), a first-order all-pass transfer
employed in the realization of filters having frequency- function is obtained. If inductors are allowed in the circuit,
selective magnitude characteristics. then the circuit in Fig. 14 can realize higher order all-pass
Phase Equalizers 9

Figure 14. Passive circuit that can be used to realize first-order


all-pass filters with gain factor equal to 1. Two capacitors are
needed. If inductors are allowed, this circuit can realize higher
order all-pass transfer functions with complex poles.

transfer functions. For example, suppose a circuit is needed


to realize a third-order all-pass transfer function TAP3 (s)
given by

where p(s) and q(s) are the numerator and denomina-


tor polynomials, respectively. The denominator polynomial Figure 15. Single op-amp active realizations of first-order all-
q(s) can be expressed as the sum of its even part, m(s), and pass filters. (a) First-order all-pass circuit with gain factor equal
its odd part, n(s). Thus, q(s) = m(s) + n(s). If the roots of q(s) to +1. (b) First-order circuit with gain factor equal to −1.
are confined to the open LHP, then the ratios n/m and m/n
meet the necessary and sufficient conditions to be an LC
to realize the all-pass circuits used in Figs. 10 and 12. Both
driving point impedance (8). Thus, if the numerator and de-
circuits in Fig. 15 can be modified to realize second-order
nominator of the transfer function in Eq. (40) are divided
inductorless all-pass transfer functions, but the poles and
by m(s), we obtain
zeros are confined to the real axis. Resistor R1 in Fig. 15(a)
and resistor R2 in Fig. 15(b) are replaced by RC impedances
Z1 and Z2 , respectively. The circuit in Fig. 15(a) is clearly
related to the all-pass circuit shown in Fig. 13. An op-amp
By comparing the result in Eq. (41) with Eq. (39), it is seen has been employed so that the input and output voltages
that ZA = 1  and the box labeled ZB in Fig. 14 consists of have a common point of reference.
the series connection of a 1 Henry inductor and an LC tank The realization of inductorless second-order all-pass cir-
circuit that resonates at 1 rad/s. However, the resulting cuits with complex poles can be achieved with the circuits
circuit requires six reactive elements and does not have a shown in Fig. 16. These circuits are minimal in the num-
common ground between the input and output ports, and ber of capacitors required. If the op-amps are ideal in the
these properties may preclude the use of bridge circuit all- sense of having infinite gain-bandwidth product, then both
pass networks in some applications. circuits have the same transfer function, namely,
Single transistor first-order all-pass transfer function
realizations have been described by several authors. The
interested reader may refer to Refs. 9 and 10 for addi-
tional information. Inductorless second-order realizations
are also described in Refs. 9 and 10 but the poles and zeros
of the transfer functions are confined to the real axis. Rubin
and Even extended the results in Ref. 9 to include higher where
order all-pass transfer functions with complex poles, but
inductors are employed (11).
Figure 15 shows two first-order all-pass circuits based
on operational amplifiers (op-amps) (12, 13, also see Active
filters). The transfer functions are given by Ta = (Z2 − In order to obtain an all-pass transfer function, we must
kR1 )/(Z2 + R1 ) and Tb = (−kZ1 + R2 )/(Z1 + R2 ). Thus, if impose the requirement
Z2 in Fig. 15(a) or if Z1 in Fig. 15(b) are selected to be the
impedances of capacitors and k = 1, then first-order all-pass
circuits are realized. The circuit in Fig. 15(a) can be used
10 Phase Equalizers

Figure 17. Second-order all-pass circuit realization based on a


bandpass filter circuit with negative gain factor.

a second-order all-pass can be realized by summing the


output of the bandpass filter with the input signal. Figure
17 shows an all-pass realization using this scheme that is
based on the bandpass filter described earlier in this arti-
cle. The transfer function is

where ω0 and Q are given in Eq. (37). To obtain an all-pass


filter, RX and RY must satisfy
Figure 16. Op-amp circuits for the realization of inductorless
second-order all-pass filters with complex poles. (a) Circuit use-
ful in low Q applications in which a light load must be driven. (b)
Circuit employed in higher Q applications, but it requires a buffer
if a load must be driven.
It is seen that this same scheme is employed in the all-
pass filter circuit depicted in Fig. 16(b). If a second-order
band-pass filter is available that has a positive gain fac-
If Eq. (44) is satisfied, then Eq. (42) becomes
tor, then a second-order all-pass filter can be obtained by
“interchanging input and ground” (17).
Operational transconductance amplifiers (OTAs) can
also be used to obtain active circuit realizations of voltage-
mode all-pass filters. These active devices are approx-
imations to differential-input voltage-controlled current
where sources (18, 19) and ideally have infinite input and out-
put impedances. Figure 18 shows circuit configurations for
a first-order and a second-order all-pass filter. If the OTAs
are ideal, the transfer function for the first-order filter is

Although both circuits have the same transfer function


when the op-amp is ideal, there are differences in the cir-
cuits. The circuit in Fig. 16(a) can drive light loads with-
where gm1 and gm2 are the transconductances of OTA1 and
out an output buffer (14), whereas the circuit in Fig. 16(b)
OTA2, respectively. The transconductance is controlled by
requires a buffer for such loads (15). However, it can be
a control current that is applied to a terminal (not shown)
shown that when the finite op-amp gain-bandwidth prod-
of the OTA. Ideally, the transconductance is constant for
uct is taken into account, the circuit in Fig. 16(b) is better
a constant control current. If the control currents for the
suited for the realization of all-pass transfer functions with
OTAs in Fig. 18(a) are adjusted so that gm1 = gm2 , then a
poles having a Q greater than about five. Since the Qs re-
first-order all-pass filter is obtained. The transfer function
quired for phase equalization of low-pass filters are usually
for the circuit shown in Fig. 18(b) is
quite low, the circuit in Fig. 16(a) is a good choice for that
application.
If the requirement for a minimum number of capaci-
tors is relaxed, then many inductorless active circuits are
available that can realize second-order all-pass transfer To obtain a second-order all-pass circuit, the transconduc-
functions with complex poles. The interested reader is in- tances in Fig. 18(b) must satisfy
vited to consult Ref. 16. In fact, if a second-order bandpass
circuit is available which has a negative gain factor, then
Phase Equalizers 11

Figure 19. Current-mode all-pass circuits. (a) First-order. (b)


Second-order.

sive current-mode all-pass filters are easily obtained from


passive voltage-mode filters because the networks are re-
ciprocal. Thus, if the output port of the voltage-mode cir-
cuit is excited by a current source and if the input port of
the voltage-mode circuit is shorted with the output current
flowing through this short, then the current transfer func-
Figure 18. Operational transconductance amplifier (OTA) all-
tion of the resulting circuit is the same as the voltage-mode
pass filter realizations. (a) First-order all-pass circuit. (b) Second- transfer function of the original circuit. However, the input
order all-pass circuit. and output currents do not have a common ground. Active
current-mode all-pass filters with a common ground can be
obtained from voltage-mode filters that incorporate a volt-
age amplifier by using the adjoint network concept (22). For
and gm4 = gm5 . For realizing integrated circuit versions of
example, the application of the adjoint network concept to
filters (see Analog processing circuits), OTAs are partic-
the first-order voltage-mode all-pass circuit in Figure 15(b)
ularly suited, because they are relatively simple in struc-
results in the fully current-mode all-pass circuit shown in
ture, and they can operate at higher frequencies than, say,
Fig. 19(a). This first-order all-pass filter employs a second-
voltage-mode op-amps. However, OTAs depart from ideal
generation, positive current conveyor (CCII+) as the active
in many aspects, of which the chief aspects are finite input
device (see Current conveyors). The transfer function of
and output impedances, a frequency-dependent transcon-
the circuit is
ductance, and a limited range of input signal that is allowed
for linear operation. The input and output impedances also
are dependent on the control current (18, 19). The non-
ideal characteristics of OTAs must be taken into account
in the design of most circuits if accurate results are to be If Z1 is chosen as (1/(sC), then a first-order current-mode
obtained. all-pass filter is obtained. Although the all-pass filter in
Voltage-mode all-pass filters can also be constructed us- Fig. 19(a) uses the minimum number of passive elements,
ing current-feedback op-amps (CFOAs). Soliman (20) pro- the capacitor is not counted as a grounded capacitor (a ca-
vides several useful realizations. pacitor in which one lead of the capacitor has its own con-
nection to ground), because it is connected to ground only
through the output lead. If this circuit is cascaded with
Current-mode Realizations
another circuit, the output lead may be connected to a vir-
All-pass filters in which the input and output variables tual ground, and in this case, the capacitor would not be
of interest are currents are called current-mode circuits. a physically grounded one. Other first-order all-pass real-
If, in addition, the variables of interest throughout the izations that incorporate one (grounded) capacitor and use
circuit are currents, then the current is a fully current- one CCII have been given (23), although they employ four
mode circuit. Active, fully current-mode circuits are of in- resistors. These realizations are easily cascaded.
terest because they offer a larger bandwidth if properly A second-order all-pass filter that uses only one CCII is
designed than do active voltage-mode circuits (21). Pas- shown in Fig. 19(b). This circuit can realize complex poles
12 Phase Equalizers

and uses the minimum number of capacitors (23). Note that


no feedback elements are connected to the output terminal
z of the current conveyor, and so this all-pass realization
can be easily cascaded to achieve higher order realizations.
Either a positive or a negative current conveyor can be
employed. The transfer function of the circuit is given by

where a and b are identified in Fig. 19(b) and k is

The plus sign is chosen if a CCII+ is used, and the minus


sign is chosen if a CCII− is utilized.
To realize an all-pass transfer function, the elements
must also satisfy
Figure 20. Transfer function pole-zero plots. (a) and (c) Plots for
minimum phase transfer functions. (b) and (d) Plots for nonmini-
mum phase transfer functions. The transfer functions correspond-
ing to the plots in (a) and (b) have the same magnitude character-
Minimum passive sensitivities are obtained with C1 = C2 , istics but differ in phase characteristics. The transfer functions
but the spread of element values can be reduced for larger corresponding to the plots in (c) and (d) have the same phase char-
Qs by choosing C2 larger than C1 . acteristics but differ in magnitude characteristics.
CCIIs are simpler to construct than first-generation cur-
rent conveyors (CCIs), and so are much more widely used.
same, but the magnitude characteristics differ. Still, the
However, a second-order all-pass filter with complex poles
second-order transfer function corresponding to Fig. 20(d)
can be realized using a single CCI (24). This circuit uses
is a nonminimum phase one. Note that it has the same
the minimum number of capacitors, and both capacitors
phase as the fourth-order transfer function corresponding
are grounded.
to Fig. 20(c).
A nonminimum phase transfer function can be ex-
MINIMUM PHASE AND ALL-PASS FILTERS pressed as the product of a minimum phase transfer func-
tion and an all-pass transfer function. For example, sup-
We say that a real, rational, stable transfer function in s pose we have the transfer function
is a minimum phase transfer function if all its zeros are
confined to the closed LHP. No zeros are allowed in the (s − 1)[(s − 3)2 + 1]
T (s) =
RHP. On the other hand, a nonminimum phase transfer [(s + 1)2 + 1][(s + 2)2 + 1]
is one that has one or more zeros in the RHP. An all-pass By multiplying T(s) by
transfer function is nonminimum phase. Figure 20 depicts
pole-zero diagrams for minimum phase [Figs. 20(a) and (c)]
and nonminimum phase [Figs. 20(b) and (d)] transfer func-
tions. To convert the diagram in Fig. 20(a) to a diagram cor-
responding to a nonminimum phase transfer function with and regrouping the factors, we obtain
the same magnitude characteristic, we reflect the zeros in
Fig. 20(a) through the origin. Thus, z3 = −z1 and z4 = −z2 in
Fig. 20(b). The corresponding transfer functions have the
same magnitude characteristics because the lengths of the
vectors from the zeros z1 and z2 to an arbitrary point P on
the jω axis in Fig. 20(a) are the same as the lengths of the where TMP (s) is a minimum phase transfer function and
vectors from the zeros z3 and z4 to P in Fig. 20(b). How- TAP (s) denotes an all-pass transfer function.
ever, considering the order of the transfer functions (third For sinusoidal steady state analysis applications, a min-
order) and that both have the same magnitude character- imum phase transfer function T(s) can be expressed in the
istic, there is more phase lag associated with the pole-zero form
diagram in Fig. 20(b) than with Fig. 20(a).
In Figs. 20(c) and 20(d), a pair of complex poles, labeled
p1 and p2 , has been reflected into the RHP as zeros labeled where α(ω) is the attenuation function in nepers and θ(ω)
z1 and z2 , where z1 = −p1 and z2 = −p2 . The phase char- is the negative of the phase function in radians. These
acteristics of the corresponding transfer functions are the two functions are not independent but are related by the
Phase Equalizers 13

Hilbert transforms given by maximally flat at ω = 0.

DIGITAL ALL-PASS FILTERS

Digital filters can be classed into two categories: finite im-


pulse response (FIR) filters and infinite impulse response
where  is a dummy variable and α(0) is the value of the (IIR) filters (see Digital filters). The FIR filters can be
attenuation function at zero frequency (25). Equations 55a designed with perfectly linear phase. However, in general,
and 55b show that if α(ω) is specified for all ω, then θ(ω) stable, realizable IIR filters cannot achieve perfectly linear
is also specified over all ω for a minimum phase transfer phase. Although IIR filters can be designed to approximate
function. Thus, α(ω) and θ(ω) cannot be specified indepen- given magnitude and phase requirements, a popular ap-
dently. Even if α(ω) is specified over only part of the jω axis proach to digital filter design is to base a design on contin-
and θ(ω) is specified over the remaining parts, then T(jω) uous time filter approximations and transform the result
is determined over the whole axis. to digital filter form. Then the phase is linearized (equal-
However, attenuation and phase are independent of ized) using cascaded digital all-pass filters. This approach
each other in the case of nonminimum phase transfer func- is a practical one (among several practical approaches) if
tions. This is the reason that nonminimum phase transfer the order of the all-pass filter required is reasonable (28).
functions usually are used to meet simultaneous attenu- To devise a first-order, real, stable, all-pass transfer
ation and phase specifications (26). Nevertheless, all-pole function, we place a zero outside the unit circle in the z
low-pass minimum phase transfer functions can serve as plane on the real axis at z = (1/r1 ) corresponding to a pole
useful prototypes for all-pass filters (27). An all-pole filter at z = r1 , |r1 | < 1. The resulting transfer function is given
has a transfer function given by by

where we take the gain factor H to be positive for conve-


nience, and m(s) and n(s) are the even and odd parts of or
the denominator polynomial q(s), respectively. The phase
of this low-pass filter is given by

An all-pass transfer function constructed from this low-


Evaluating H(z) in Eq. (68) for z = ejωT , where T is the sam-
pass transfer function has the form
pling interval, we obtain

and has a phase characteristic that can be expressed as


The numerator of the term in parentheses in Eq. (69) is the
conjugate of the term in the denominator. Thus, the magni-
tude of the term in parentheses is one. Also, the magnitude
Thus, the phase and delay characteristics are the same of −ejωT is one. As a result, we have |H(ejωT )| = 1/|r1 |. The
as for the low-pass prototype transfer function except for phase, denoted as β(ω), for r1 assumed to be positive is ob-
the factor of two. Suppose that the low-pass prototype is tained from Eq. (69) as
a Bessel filter transfer function. Then an all-pass transfer
function can be devised that also has maximally flat delay
at ω = 0 and which has, ideally, a lossless magnitude charac-
teristic. For example, the third-order Bessel filter transfer
function is Since the magnitude characteristic is a constant over fre-
quency and yet the phase characteristic changes as a func-
tion of frequency as can be seen from Eq. (70), the transfer
function in Eq. (67) is an all-pass one.
A second-order, real, stable all-pass transfer function
Thus, the corresponding all-pass transfer function is
with complex poles can be devised in a manner similar to
that used for the first-order transfer function. For a com-
plex pole at z = rejθ , 0 < θ < π, we must have another pole
at z = re−jθ if the transfer function is to be real. We take
The Bessel filter produces a 1-s delay, and the all-pass fil- r positive for convenience and r < 1 for stability. Thus, for
ter generates a 2-s delay, but the delay in both cases is each pair of complex poles given by z = re±jθ , we place a pair
14 Phase Equalizers

Figure 21. Poles and zeros of a digital third-order all-pass filter


transfer function.

of complex zeros outside the unit circle at z = (1/r)e±jθ . The


resulting transfer function is given by

which can be rearranged into

Figure 22. Plots of the first function to the right of the equal sign
The magnitude characteristic is easily obtained by evalu- in Eq. (70) for values of r = 0.2, 0.4, 0.6, 0.75, and 0.8.
ating H(z) in Eq. (72) for z = ejωT . The result is |H(ejωT )| =
1/r2 , and the phase is given by
The delay of an IIR digital filter can be made more flat
by connecting all-pass filters in cascade. The coefficients
required for the all-pass filters as well as the number of
all-pass filters needed are best determined by a cut-and-try
process using a computer program that plots delay charac-
A pattern is indicated by Eqs. 68 and 72 for higher order, teristics interactively and quickly. However, an aid for de-
real, stable all-pass transfer functions. Let N be the order lay equalization can be established. For this purpose, let θ
of the all-pass transfer function, and let the poles be inside in the transfer function for a second-order all-pass transfer
the unit circle and occur in conjugate pairs if complex. That function in Eq. (71) be expressed in terms of the sampling
is, each complex pole pair is described by interval T as θ = αT. Then the normalized time delay char-
acteristic can be obtained from −dβ/dω applied to Eq. (73).
Thus, we have

where 0 ≤ m ≤ N/2 and 0 < ri < 1. Then the transfer func-


tion is given by

Equation (77) expresses the normalized delay as the sum


where k is given by of two functions. Let us examine the first function to the
right of the equal sign. Its maximum value is (1 + r)/(1 −
r), which occurs at ω = α in −π ≤ ωT ≤ π. Since the de-
lay characteristics obtained from cascaded all-pass filters
are described by a sum of functions of this type, a conve-
and all the coefficients ai in Eq. (75) are real. The mag- nient design aid (29) is obtained by plotting this function
nitude characteristic of the transfer function in Eq. (75) for several values of r. These plots are shown in Fig. 22. The
evaluated for z = ejωT is 1/|k|. Figure 21 shows a pole-zero frequency axis has been normalized to (ω − α)/ωs , where ωs
plot on the z plane for an all-pass transfer function with a is the sampling frequency given by ωs = 2π/T.
real pole at z = r1 and two conjugate complex poles at z = As an illustration of the concept, we apply delay equal-
r2 e±jθ . The transfer function is real and stable. ization to a bandpass filter transfer function (8) given by
Phase Equalizers 15

Figure 24. Digital all-pass filter realizations. (a) First-order re-


alization. (b) Second-order realization.

where X and Y are the input and output variables, respec-


Figure 23. Equalizing the delay characteristic of a bandpass fil- tively, and a1 is the coefficient of a multiplier. The structure
ter in the neighborhood of its center frequency with a second-order in Fig. 24(b) can be used to realize second-order all-pass
all-pass filter. transfer functions. Its transfer function is given by

Both structures in Fig. 24 are minimal in the number of


delays required. Higher order all-pass filters can be con-
This filter has its center frequency at ω = ωs /4 and has a structed by cascading first- and second-order realizations.
bandwidth described by 0.2ωs ≤ ω ≤ 0.3ωs . The normal- There are many other structures that can be used to re-
ized delay characteristic of the bandpass filter is denoted alize first- and second-order all-pass filters; an extensive
by τ BP /T and is shown in Fig. 23. It is clear that this charac- catalog of such structures is given in Ref. 30, and a discus-
teristic would benefit by the addition of a delay lump from sion of the effects of multiplication roundoff and hardware
a second-order all-pass filter located at ω = ωs /4 with r = requirements is provided.
0.7. That is, a second-order all-pass filter is utilized with
normalized delay characteristic given by Eq. (77) with α
= ωs /4 and r = 0.7. The normalized delay characteristic of
the all-pass filter is labeled τ AP /T in Fig. 23. The resulting
overall normalized delay characteristic, denoted by τ o /T, is
also shown, and it is seen that the result is flatter in the
neighborhood of the center frequency at the expense of the
characteristic at the edges of the bandpass filter passband.
Additional all-pass delay lumps can be employed to correct
the delay at the band edges.
First- and second-order digital all-pass filters can be re-
alized using the structures shown in Fig. 24. Figure 24(a)
shows a realization for a first-order filter that employs only
one delay (30). Its transfer function is
16 Phase Equalizers

BIBLIOGRAPHY 25. C. S. Lindquist Active Network Design, Long Beach, CA: Stew-
ard & Sons, 1977.
1. A. Budak Active and Passive Network Analysis and Synthesis, 26. A. S. Sedra P. O. Brackett Filter Theory and Design: Active and
Boston: Houghton Mifflin, 1974; reprinted Prospect Heights, Passive, Portland: Matrix Publishers, 1978.
IL: Waveland Press, 1991. 27. L. P. Huelsman Active and Passive Analog Filter Design, New
2. H. J. Blinchikoff A. I. Zverev Filtering in the Time and Fre- York: McGraw-Hill, 1993.
quency Domains, New York: Wiley, 1976; reprinted Malabar, 28. L. R. Rabiner B. Gold Theory and Application of Digital Signal
FL: Robert E. Krieger, 1987. Processing, Englewood Cliffs, NJ: Prentice-Hall, 1975.
3. M. S. Ghausi K. R. Laker Modern Filter Design, Englewood 29. S. A. Tretter Introduction to Discrete-Time Signal Processing,
Cliffs, NJ: Prentice-Hall, 1981. New York: Wiley, 1976.
4. P. Klemp Phase shifter yields slope-polarity detection, EDN, 30. S. K. Mitra K. Hirano Digital all-pass networks, IEEE Trans.
41 (6): 92, 94, 96, 1996. Circuits Syst. CAS-21: 688–700, 1974.
5. D. J. Comer J. E. McDermid Inductorless bandpass charac-
teristics using all-pass networks, IEEE Trans. Circuit Theory,
CT-15 (4), 501–503, 1968. Reading List
6. D. T. Comer D. J. Comer J. R. Gonzalez A high-frequency in-
tegrable bandpass filter configuration, IEEE Trans. Circuits W.-K. Chen ed., The Circuits and Filters Handbook, Boca Raton,
Syst. II, Analog Digit. Signal Process., 44 (10): 856–860, 1997. FL: CRC Press, 1995.
A. B. Williams F. J. Taylor Electronic Filter Design Handbook, New
7. A. Budak Circuit Theory Fundamentals and Applications, 2nd York: McGraw-Hill, 1995.
ed, Englewood Cliffs, NJ: Prentice-Hall, 1987.
8. H. Lam Analog and Digital Filters, Englewood Cliffs, NJ: PETER ARONHIME
Prentice-Hall, 1979. University of Louisville, 10
9. P. Aronhime A one-transistor all-pass network, Proc. IEEE, Eastern Parkway, Louisville,
55: 445–446, 1967. KY, 40292
10. H. J. Orchard Active all-pass networks with constant resis-
tance, IEEE Trans. Circuit Theory, CT-20: 177–179, 1973.
11. H. Rubin R. K. Even Single-transistor all-pass networks,
IEEE Trans. Circuit Theory, CT-20: 24–30, 1973.
12. R. Genin Realization of an all-pass transfer function using
operational amplifiers, Proc. IEEE, 56: 1746–1747, 1968.
13. P. Aronhime A. Budak An operational amplifier all-pass net-
work, Proc. IEEE, 57: 1677–1678, 1969.
14. T. Deliyannis RC active allpass sections, Electron. Lett., 5 (3):
59–60, 1969.
15. A. Budak P. Aronhime Frequency limitations on an opera-
tional amplifier realization of all-pass transfer functions with
complex poles, Proc. IEEE, 58: 1137–1138, 1970.
16. G. S. Moschytz A general all-pass network based on Sallen-Key
circuit, IEEE Trans. Circuit Theory, CT-19: 392–394, 1972.
17. D. Hilberman Input and ground as complements in active fil-
ters, IEEE Trans. Circuit Theory, CT-20: 540–547, 1973.
18. R. Schauman M. S. Ghausi K. R. Laker Design of Analog Fil-
ters, Englewood Cliffs, NJ: Prentice-Hall, 1990.
19. P. Aronhime Applications of operational amplifiers. InJ. C.
Whitaker (ed.), The Electronics Handbook, 2nd Ed. Boca Ra-
ton, FL: CRC Press, 2005.
20. A. M. Soliman Applications of the current feedback opera-
tional amplifiers, Analog Integr. Circuits Signal Process., 11:
265–302, 1996.
21. C. ToumazouF. J. LidgeyD. G. Haigh eds., Analogue IC Design:
The Current-Mode Approach, London: Peter Peregrinus, 1990.

22. G. W. Roberts A. S. Sedra All current-mode frequency selective


circuits, Electron. Lett., 25 (12): 759–761, 1989.
23. A. M. Soliman Generation of current conveyor-based all-pass
filters from op amp-based circuits, IEEE Trans. Circuits Syst.
II, Analog Digit. Signal Process., 44: 324–330, 1997.
24. P. Aronhime D. Nelson J. Zurada C. Adams Realization of
current-mode complex pole all-pass networks using a single
current conveyor. In Proceedings of the International Sympo-
sium on Circuits and Systems, vol.4, 1990, pp. 3193–3196.
446 ANALOG COMPUTER CIRCUITS

VI

V0 = K(VP – VI )
+
VP
(a)

+15

VI

+
– V0 = K(VP – VI )
+
VP

–15
(b)

Figure 1. Operational amplifier symbol. (a) Operational amplifier.


(b) Operational amplifier with power supply voltages attached.

and transistors) connected to process analog signals (as op-


posed to digital signals) which are conceptually modeled as
continuous functions of time. Analog computers have limited
bounds namely, Emax and Emin. Since the early 1960s, analog
computers have used solid state components, and the signal
range is typically ⫾10 V. The operational amplifiers are usu-
ally made in integrated circuit form. They may be supplied as
separate modules, mounted on a circuit board, or a part of a
larger integrated circuit. We are here primarily interested in
the operation of such electronic systems to solve ordinary dif-
ferential equations, although operational amplifiers are often
used in the design of signal filtering circuits and in the design
of interface signal-conditioning subsystems to go between
real-world signals from a wide variety of transducers and sub-
sequently to digital signal processing systems used for data
logging and analysis.
A typical ideal operational amplifier model is shown in Fig.
1. The ideal model has an input–output description

V0 = K(VP · VI ), where K  1

V1 –K1

V2 –K2
Σ V0
Vn –Kn

(a)
–K1
–K2
V0
–Kn

(b)
–K1
V1
V2 –K2
V0
Vn –Kn
ANALOG COMPUTER CIRCUITS
(c)
The term analog computer usually refers to an electronic cir- Figure 2. Conventional operational amplifier circuit block symbols.
cuit consisting of operational amplifiers, resistors, and capaci- (a) Summer–inverter. (b) Inverting summer–integrator. (c) Older
tors along with additional electronic components (e.g., diodes symbol for inverting summer–integrator.

J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
ANALOG COMPUTER CIRCUITS 447

R1 R1 Basic input–output relations for the circuit in Fig. 3(c) are


V1 V1
R2 R2

n

V2 V2 V0 = −RF (V /R) i = 1, 2, . . ., n
i=1
RF C
= −RF (V1 /R1 + V2 /R2 + · · · )
Rn Rn Zini = Ri
Vn – Vn –
V0 V0 For Fig. 3(d):
+ +
RF ZF V0 = −(1 + RF /Ri )V
Ki = Ki =
Ri Ri
Example. Consider the mechanical system of Fig. 4(a). A
(a) (b)
simple force balance equation gives

RF f =0
R1 R1 RF
RF
V2 – with initial conditions X(0) and X(0) or
R2 Vo
V2 + – −F + MẌ − DẊ − KX = 0
Vo
Vn Vin + or
Rn
Ẍ = (K/M)X + (D/M)Ẋ + (F/M)
(c) (d)

Figure 3. Operational amplifier circuits. (a) Summer–inverter. (b) Let M ⫽ 5 kg, D ⫽ 0.1 Ns/m, and K ⫽ 1.0 m/N; then
Inverting summer–integrator. (c) Basic summer–inverter circuit. (d)
Basic noninverting circuit. Ẍ = (0.2)X + 0.02Ẋ + 0.2F)

The operational amplifier circuit of Fig. 4(b) shows a basic


More information on operational amplifiers is available in analog computer model for this system. F(t) may be a voltage
Refs. 1 and 2. generated by a variety of signal sources—for example, ‘‘func-
Primary operational amplifier circuits for analog comput- tion generator’’ types of variable-frequency sine-square wave
ing are integrating and summing circuits. Figure 2(a) shows generators, recorded signal sources, and so on. Often we may
the block diagram for a summer–inverter. Figure 2(b) shows not want a ‘‘real’’ model of this system but will want to scale
a summer–inverting integrator. A skilled analog computer or denormalize the circuit to get a repetitive display (e.g., on
programmer learns to cleverly program his or her collection an oscilloscope). We can slow down a simulation by making
of summers and integrators to implement an electronic model the capacitors larger, or we can speed it up by making them
which ‘‘solves’’ a set of ordinary differential equations (ODEs) smaller by the same time scale factor.
F (t) = a0 X + a1 Ẋ + a2 Ẍ + · · ·

;;;
OPERATIONAL AMPLIFIER CIRCUITS
Figure 3 shows corresponding operational amplifier circuits.
As indicated in Chapter 1 of Ref. 1, the ideal operational For precise signal processing, one often uses nonlinear opera-
amplifier has a very high open loop gain and input impedance tional amplifier circuits. Important nonlinear operations in-
and a relatively low output impedance. clude:

;
C C R

5R
x F – R
5R – R
+ X –
K + –X
M X
F(t) +
mass
Spring 50R

Friction
D

(a) (b)

Figure 4. Spring-mass system. (a) Mechanical system. (b) Operational amplifier analog com-
puter model. R ⫽ 106 ⍀, C ⫽ 10⫺6 F.
448 ANALOG COMPUTER CIRCUITS

R1
eg 5R
Nonlinear
V1
network
+15 V
R2 RA
V2 – V0 = f ( in ) D1 1500 Ω

+
Vin RB
500 Ω
eg 0 i1 + i2 + in = 0 R1 RF
5 kΩ
Figure 5. General nonlinear operational amplifier circuit configu-
ration.

V0
+
p i
+
RC
On
D2 500 Ω
i V
On i > 0 Off i < 0
Off V RD
RF
– K= 1500 Ω
R1
n
(a) (b) (c) (d) –15 V

Figure 6. P–n junction diode. (a) Diode symbol; (b) ‘‘demon-with-a- Figure 9. Operational amplifier circuit for a limiter amplifier.
switch’’ model; (c) diode ON or forward biased (d) diode OFF or re-
verse biased.

V0
iE iC
VE VC No RF
Emitter Collector 5
RF /R1

With RF

VEB VCB V1
α RI C α FI E
iB
αF
βF ≤ 1– α
VB F
1
Base 1– αF –5

Figure 7. Npn bipolar junction transistor model (BJT). 웁F is forward


biased current gain.
Figure 10. Direct-current transfer characteristic for circuit in Fig. 9.

V1 V0 V1
V0
ER

V0 V0

L+

K
V1
ER
V1
L–

Figure 11. Comparator block symbol. ER is reference voltage.

Figure 8. Limiter operator.


ANALOG COMPUTER CIRCUITS 449

Clamp or VI
5R
feedback – V0
limiter
+
V1 VP VV
– V0 = 1 2
10
V0 (a)
+
RA – V2 > 0
–ER
+
RB R2
R1
V0 – V0
(a)
+ 10R2 V1
V0 V0 = –
R1 V2

V+ (b)

Eh Figure 13. Block symbols: (a) Multiplier. (b) Divider.


V1

E RR 1
R2 used in instrumentation systems to prevent signal overloads.
One amplifier actually provides an inverting limiter.
(b) The one-amplifier limiter or comparator circuit of Fig. 9
V0 V0 actually provides the transfer characteristic of Fig. 10. The
With hysteresis resistance string RA –RD sets the limiting levels.
Without RF, the circuit of Fig. 9 provides a comparator,
V+
V+ which may operate with a reference voltage ER, (Figs. 11 and
12).
0 t 0 t Useful comparator circuits should have some hysteresis
Squegging Eh to prevent an ambiguous chattering or ‘‘squegging’’ at the
comparator switch point (just as a household thermostat pro-
(c) (d)
vides hysteresis with a small magnet associated with its con-
Figure 12. Comparator behavior. (a) General circuit diagram. (b) Di- tacts). Figure 12 shows a one-amplifier (inverting) compara-
rect-current transfer characteristic. (c) ‘‘squegging’’ (i.e., no hystere- tor. The hysteresis is established by the network RA –RB,
sis). (d) Comparator behavior with hysteresis. which yields

Eh = RB (V+ )/(RA + RB )
Limiters (Figs. 8 to 10)
Comparators (Figs. 11 and 12) Analog multipliers and dividers are designed in a variety of
Multipliers and dividers (Fig. 13) ways (Fig. 13). A popular method uses logging–antilogging
Waveform generators (Figs. 14 and 15) circuits (see Ref. 1). Sinusoidal waveform generators may be
implemented using the block diagrams of Figs. 14 and 15. The
circuit of Fig. 14 generates sine waves by implementing the
Circuits based upon these types of operations may be ex-
solution of an undamped second-order ODE. The block dia-
tended to circuits that precisely measure absolute value, am-
plitude, peak value, and logarithmic operations. (Another way
of making a multiplier is by the use of antilog or exponentia-
tion operators.) A variety of other waveform generators, in-
cluding triangle waveform and very low-frequency oscillators Soft amplitude Inverting
(function generators) and frequency modulation (FM) oscilla- included limiter integrator
tors, may be implemented. Detailed discussions of nonlinear –w0 –w0
operators appear in Refs. 1 and 2. V0
Figure 5 shows a general diagram for nonlinear opera-
tional amplifier circuits. The operational amplifier forces the
– w0
current at the inverting terminal or ‘‘summing junction volt-
age’’ to be zero.
Figure 6 shows a ‘‘demon with a switch’’ model of a junc- –1
tion diode. When the diode is ‘‘on,’’ the forward drop is not –V0
really zero but may be as much as 0.5 V. The bipolar junction
<< 1
transistor (BJT) (Fig. 7) provides a more flexible active device
for nonlinear circuit design. The limiter operator (Fig. 8) is Figure 14. Sinusoidal waveform generator.
450 ANALOG COMPUTERS

chanical calculators, but were vastly more powerful in their


ability to do arithmetic. A second class of computing tech-
VT niques was developed to help in the performance of integral
and differential calculus as required for the simulation of dy-
namic mechanical and electromechanical systems, such as
—K
ships and aircraft, and for a wide variety of control tasks.
V1 The members of the first category became known as digital
computers, while the second class was termed analog comput-
Inverting Bistable ers and devices.
integrator The years immediately following World War II saw the
rapid extension of electronic computers to new application
Figure 15. Function generator block diagram for generating low-fre- areas and the formation of industrial enterprises to commer-
quency triangle and square waves. cialize them. For a variety of reasons, analog computing de-
vices emerged from military projects more ready for immedi-
ate general application than did digital computers, and in the
late 1940s a number of companies were formed to market
gram of Fig. 15 shows a diagram of the ‘‘function generator’’ products specifically designed for the solution of the systems
type of circuit to generate square and triangle waveforms (see of nonlinear ordinary differential equations characterizing dy-
Ref. 1). namic systems. These computers were termed electronic dif-
ferential analyzers (EDAs), and they became so widely used
in the 1950s that the term analog computer became largely
BIBLIOGRAPHY synonymous with EDA.
As digital computers evolved during the same period, they
1. J. V. Wait, L. P. Huelsman, and G. A. Korn, Introduction to Opera- gradually began to be used in competition with analog com-
tional Amplifier Theory and Applications, New York: McGraw- puters. Until well into the 1970s, however, digital computers
Hill, 1992. tended to be less cost effective than analog computers in the
2. S. Franco, Design with Operational Amplifiers and Analog Inte- specialized simulation application, and they were too slow to
grated Circuits, New York: McGraw-Hill, 1988. permit real-time operation. EDAs had their heydays in the
1970s as free-standing simulators or in concert with digital
Reading List
computers in hybrid computer systems. Companies such as
Electronic Associates, Inc., Comcor, Inc., Applied Dynamics,
Analog Devices, Designers References Manuals, Norwood, MA. Inc., and a number of others in the United States, Germany,
Burr-Brown Corp., Integrated Circuits Data Books, Tucson, AZ. and Japan grew to large size and maintained an important
F. H. Mitchell, Jr. and F. H. Mitchell, Sr., Introduction to Electronics position in the military and industrial marketplace. In the
Design, Englewood Cliffs, NJ: Prentice-Hall, 1988. meantime companies such as IBM, Control Data Corporation,
R. J. Smith and R. C. Dorf, Circuits, Devices and Systems, 5th ed., Digital Equipment Corporation, and many others developed
New York: Wiley, 1992. more and more powerful simulation hardware and software.
Texas Instruments, Linear Circuits, Dallas, TX. By the end of the 1970s, the balance began to shift in favor
of digital simulation, and gradually the market for EDAs
JOHN V. WAIT evaporated. It disappeared almost completely in the 1990s.
By then, all the tasks formerly performed by electronic analog
computers in the simulation of dynamic systems were han-
dled more effectively by digital computing systems. In other
ANALOG COMPUTERS application areas, however, analog devices thrived as special-
purpose components embedded in a wide variety of systems.
Computing devices capable of mapping inputs to outputs The requirements for these analog devices in communication
without human intervention and of providing numerical solu- and control systems and in a myriad of military, industrial,
tions to complex problems have been available in various and commercial projects has grown almost continuously, and
forms for over 150 years. In many of the early devices, infor- many prosperous companies throughout the world specialize
mation was represented in mechanical form, as in the me- in their manufacture.
chanical calculators that became invaluable for business data In this article, the evolution analog computing devices is
processing in the first half of the twentieth century. Others first briefly reviewed, including a discussion of the electrical
employed electric representations, as in the network ana- network analyzers and mechanical differential analyzers that
lyzers that played an important role in a wide variety of engi- were important before World War II. Next, a survey of the
neering applications during same period. EDAs that became popular during the 1960s and 1970s is pre-
The utilization of electronic circuits as components of auto- sented. Finally, the rise and eventual decline of hybrid
matic computers was made possible by developments and in- (analog/digital) computers in the 1980s and early 1990s is
ventions stimulated by military requirements during World considered. Further details may be found in Refs. 1–5.
War II, particularly in the United States and Great Britain.
One class of these computers was primarily developed as part ANALOG AND DIGITAL PROCESSING
of the Manhattan Project to help solve the complex partial
differential equations that characterize various physical pro- Modern science and engineering are based upon a quantita-
cesses in atomic bombs. These represented extensions of me- tive description of the physical universe. A variety of so-called
ANALOG COMPUTERS 451

physical variables is measured, and inferences are drawn mathematical operations which are to be performed. The
from the results of these measurements. In this connection, structure of a digital processing system, on the other hand,
it is necessary first to distinguish between independent and includes standardized memory, control, and arithmetic units
dependent variables. In most system analyses, time and space and is more or less independent of the types of computations
constitute the independent variables. That is, measurements that are to be performed.
are distinguished from each other and ordered according to The accuracy of a computation performed by a digital pro-
the location in the time–space continuum at which the mea- cessor is determined by the number of bits employed to repre-
surements were made. The measured quantities are the de- sent data. For example, if two numbers are to be multiplied
pendent variables, and they may be expressed as functions of in a digital processing system in which numbers are repre-
time and/or space. Some familiar dependent variables include sented by 32 binary digits, the result of the multiplication
voltage, displacement, velocity, pressure, temperature, stress, must be rounded up or down to the nearest least significant
and force. The measurement of these variables requires the bit. There is, therefore, a chance of a roundoff error corre-
selection of appropriate instruments, along with a decision as sponding to one-half of the least significant bit. In an analog
to the manner in which the measurements are to be recorded processor, data are not discretized, and roundoff errors are
and utilized. There are two major ways in which a dependent therefore not incurred. Instead, the accuracy is limited and
variable is treated by instrumentation and data processing error is introduced by the nonideal functioning of the opera-
systems: analog and digital. These are defined as follows: tional units used to carry out the computations—that is, by
the quality of its components. If two variables are to be added
1. A dependent variable is said to be an analog variable if electrically, they are each applied as continuous voltages to
it can assume any value between two limits. an adder unit. The output voltage of the adder then corre-
sponds to the sum of the two variables. The accuracy of this
2. A dependent variable is said to be a digital variable if
addition operation is limited by the quality (tolerance) of the
its magnitude is limited or restricted to certain specified
electronic components making up the adder and by the preci-
values or levels.
sion with which the output voltage can be measured and re-
corded. In the performance of linear mathematical operations
It should be recognized that this distinction does not apply to
(such as addition, subtraction, and multiplication by a con-
the domains of the independent variables. Thus analog com-
stant), relative errors are usually larger than 0.01% of full
puters or simulators may maintain the time and the space
scale; in the case of nonlinear operations, the best available
variables in continuous form, or they may restrict their atten-
electronic units are subject to relative errors of 0.1%.
tion to discretely spaced points in the time and space do-
The speed with which a sequential digital computation can
mains.
be performed is determined by the complexity of the computa-
The decision as to whether to process data in analog or
tions. The larger the number of arithmetic operations that
digital form has far-reaching consequences on the organiza-
must be performed, the longer the time required. One hun-
tion of the computer system and its cost, upon the accuracy dred additions require nearly 10 times as much computing
of the computations, and upon their speed. In order to place time as 10 additions. By contrast, in analog data processing,
the discussion of analog signal processing in its proper per- the time required for computations is independent of problem
spective, these considerations are briefly summarized. complexity. One hundred additions require precisely the same
A basic distinction between analog and digital data pro- time as 10 additions; approximately 10 times as much hard-
cessing is that digital computations are usually performed se- ware is required, however. The speed with which a mathe-
quentially or serially, while analog computations are per- matical operation can be performed using an analog unit is
formed simultaneously or in parallel. Digital data processing determined by the characteristics of its electronic components
generally requires reference to data and programmed instruc- as well as by the characteristics of the measuring or output
tions stored in a memory unit. For technical reasons, there devices.
exists a bottleneck at the entrance to this memory, so that In most modern systems utilizing analog processing, only
only one item (or a very small number of items) of information the operational units actually required for the specific task at
can be read into or read out of the memory at any particular hand are provided. These are interconnected in a permanent
instant of time. Therefore, only one arithmetic operation can or semipermanent fashion for a specific application. By con-
be performed at a time. This implies that data processing con- trast, the so-called general-purpose analog computers or
sists of a sequence of arithmetic operations. For example, if EDAs, which have by now almost completely disappeared,
10 numbers are to be added, 10 successive additions are per- were fashioned by assembling a variety of operational units
formed. No additional equipment is needed if 100 additions and permitting the user sufficient flexibility to interconnect
are required instead. them as required for the solution of differential equations.
By contrast, an analog processor generally does not require Since the analog methods described in this article were ap-
a memory, which must be time-shared among the various plied almost exclusively to the implementation of mathemati-
mathematical operations. Rather, a separate electronic unit cal models of real-world systems and to the experimentation
or ‘‘black box’’ is supplied for each mathematical operation. If with these models, the terms analog computer and analog
a computation requires 10 additions, 10 analog operational simulator gradually became synonymous and are used in this
units must be provided and interconnected; and all of these way in this article.
units operate simultaneously. If the number of required addi-
tions is increased to 100, the amount of electronic equipment CLASSIFICATION OF ANALOG METHODS
necessary is multiplied by a factor of 10. The hardware struc-
ture and the cost of an analog data processing system is The various devices and methods comprising the general area
therefore determined by the types and numbers of specific of analog computers and simulators are best classified ac-
452 ANALOG COMPUTERS

cording to their basic principles of operation. The systems set of algebraic or differential equations. An assemblage of
falling into the resulting categories are subdivided, in turn, analog computing units or elements, each capable of per-
according to the type of physical variables which constitute forming some specific mathematical operation, such as addi-
the continuous data within the computer. tion, multiplication or integration, is provided, and these
One major class of analog devices depends for its operation units are interconnected so as to generate numerical solutions
upon the existence of a direct physical analogy between the of the problem. Such computing systems are termed indirect
analog and the prototype system being simulated. Such an analog computers. Prior to World War II, powerful indirect
analogy is recognized by comparing the characteristic equa- analogs for the solution of differential equations were fash-
tions describing the dynamic or static behavior of the two sys- ioned from mechanical components and termed mechanical
tems. An analogy is said to exist if the governing, characteris- differential analyzers. Electronic differential analyzers were
tic equations are similar in form, term by term. For every introduced after World War II and became very important
element in the original system, there must be present in the tools in the design of aerospace systems, control systems, and
analog system an element having mathematically similar chemical process controllers in the United States, western
properties—that is, an element having a similar excitation/ Europe, Japan, and the Soviet Union.
response relationship. Furthermore, the analog elements An important distinction between direct and indirect ana-
must be joined or interconnected in a similar fashion. Mem- logs involves the significance of the physical variables within
bers of this category of analog devices are termed direct ana- the computer. In a direct analog, an analog variable has the
logs. Direct analogs may be of either the continuous (distrib- same significance everywhere within the analog system. For
uted) or the discrete variety. example, in the electrical analog simulation of a mechanical
Continuous direct analog simulators make use of distrib- system, voltage everywhere in the analog may represent ve-
uted elements such as sheets or solids, made of an electrically locity. The time derivative of the analog voltage would then
conductive material, so that every spatial point in the analog represent acceleration. In an indirect analog, on the other
corresponds to a specific point in the system being simulated. hand, a transient voltage at some junction in the analog may
The conductive sheets and electrolytic tanks described below represent acceleration; this voltage is then applied to an inte-
fall into that category. Stretched membrane models, in which grator unit, and the transient voltage at the output of the
soap films or thin rubbers sheets are supported by a mechani- integrator would represent velocity.
cal framework, were also used for a time to simulated fields The general classification of analog methods is illustrated
governed by Laplace’s and Poisson’s equations. Hydrody- diagrammatically in Fig. 1. It should be emphasized that con-
namic models, termed fluid mappers, as well as direct analog tinuous and discrete direct analog simulators played a very
simulators utilizing thermal fields, electrochemical diffusion significant role before World War II. By 1980 they had all
phenomena, polarized light, and electrostatic fields, have also been virtually completely eclipsed by digital simulation meth-
been successfully used for that purpose. ods. Indirect analog computers enjoyed wide use in the 1960s,
Discrete direct analog simulators employ lumped physical 1970s, and 1980s; but by the early 1990s, they too had largely
elements, such as electrical resistors and capacitors, in which been replaced by digital computers.
case the behavior of the system being simulated is obtained
only for the points in the system that correspond to the junc- DIRECT ANALOG SIMULATORS
tions in the electrical circuit. Networks of electrical resistors,
resistance–capacitance networks, and inductance–capaci- Examples of Continuous Direct Analog Simulators
tance networks have all been widely used to simulate fields
governed by elliptic, parabolic, hyperbolic, and biharmonic One of the fundamental equations characterizing distributed
partial differential equations. parameter systems in a wide variety of areas of physics is
The other major class of analog simulation systems in- Laplace’s equation,
cludes mathematical rather than physical analogs. The be-
havior of the system under study is first characterized by a ∇ 2φ = 0 (1)

Analog computer and simulators

Direct Indirect

Electrical Mechanical Electronic Mechanical

One-Shot Repetitive

Conductive paper Stretched membrane EAI-PACE Philbric Mechanical


Eletrolytic tank Mass–spring system Comcor GPS differential
Resistance network Fluid mapper Applied Dynamics analyzer
R-C network Beckman EASE
Figure 1. Classification of analog simula- L-C network etc.
tion methods and analog computers. L-C transformer network
ANALOG COMPUTERS 453

and Poisson’s equation

∇2φ = K (2)
Conductive sheet Direct-current
Equation (1) arises, for example, in the study of the steady- oscillograph
state temperature distribution in a flat plate, subject to heat
Probe
sources or sinks at its boundaries. Let’s apply a direct analog
simulation method to such a problem:
Silver
1. A sheet made of an electrically conductive material hav- electrode Silver
electrode
ing the same geometrical shape as the field under study (a)
is fashioned in the laboratory.
2. The boundary conditions of the original field are simu-
lated in the analog system by appropriate voltage and
Potentiometer P
current sources. For example, if one boundary of the
sheet is specified to have a temperature of 100⬚C, and
another boundary a temperature of 0⬚C, voltage sources
100 V and 0 V in magnitude might be applied to the
Microammeter M
corresponding locations in the analog.
3. By means of suitable sensing equipment, such as a volt-
meter or an oscilloscope, lines of equal voltage in the
conductive medium are detected and recorded.
4. The voltage distribution measured in the analog then
Probe Silver
constitutes the solution to the problem. Silver electrode
electrode
Over the years, the suitability of many different conductive Teledeltos
materials was investigated so as to devise practical analog (b)
simulators. One technique widely used in the 1960s and Figure 2. (a) Simple conductive sheet analog simulator for modeling
1970s involved the utilization of Teledeltos Paper developed fields governed by Laplace’s equation in two dimensions. (b) Potenti-
and marketed by the Western Union Telegraph Company as ometer plotting arrangement for drawing equipotential lines directly
a recording medium for telegrams and graphic chart instru- on the conductive paper.
ments. This paper is formed by adding carbon black, a con-
ductive material, to paper pulp in the pulp-beating stage of
the paper-manufacturing process. This results in a high-qual- 3. Alternating-current (ac) voltage sources of appropriate
ity paper with a fairly uniform dispersion of carbon. Because magnitudes are applied to all equipotential boundaries.
of its wide use, the paper was quite inexpensive and well- 4. The voltage distribution along the surface of the electro-
suited for ‘‘rough and dirty’’ simulation applications. A typical lyte is measured and recorded. Lines of constant voltage
setup of this type is shown in Fig. 2(a). At times, lines of equal within the analog then correspond directly to the equi-
potential were drawn directly on the conductive paper, using potential lines of the system being simulated.
a ball point pen, as illustrated in Fig. 2(b). In that case, the
potentiometer is set to the voltage corresponding to the equi- If a field governed by Laplace’s equation in three dimensions
potential line to be sketched, and the probe is moved over the was to be simulated, the sensing probe could be extended into
paper in such a manner that the deflection of the microameter
remains zero. When a complete equipotential line has been
drawn, the potentiometer is set to a different voltage, and the
process is repeated until the equipotential lines of the entire
field have been plotted. Power supply
For greater accuracy, an electrically conductive liquid was
used in place of the resistance paper. Such so-called electro-
lytic tank analog simulators, shown in Fig. 3, were employed
to simulate fields governed by Laplace’s equation and were
used as follows:
Null
indicator
1. A large container (the tank), open at the top is filled Probe Plotter
with a suitable weak saline solution (the electrolyte).
2. A scale model of the boundary configuration of the two- Potentiometer Electrolyte
dimensional field under study, or a conformal transfor- Tank
mation thereof, is immersed in the container. Bound-
Electrodes
aries which are equipotential surfaces are made of
metal, while streamline boundaries are fashioned from Figure 3. Typical conductive liquid analog simulation system (elec-
an insulating material. trolytic tank) for modeling fields governed by Laplace’s equation.
454 ANALOG COMPUTERS

3 3
5
R3 R5 R3

R2 R1 R2 R1 R2 R1
2 0 1 2 0 1 2 0 1

Figure 4. Typical nodes of resistance– R6


capacitance networks used to simulated C0 C0
C0 R4 R4
fields governed by the heat-transfer or dif- 6
fusion equations. Networks may contain
thousands of such node elements. (a) One 4 4
dimension, (b) two dimensions, (c) three
space dimensions. (a) (b) (c)

the liquid and a three-dimensional record of the potential dis- Other network simulators for the simulation of fields char-
tribution within the tank obtained. Great care was taken to acterized by partial differential equations included one-, two-,
achieve highly accurate modeling and sensing devices, so that and three-dimensional networks of resistors. These served to
relative solution errors could be kept below 0.01%. Through- model fields governed by elliptic partial differential equations
out the first half of the twentieth century and until the advent such as Eqs. (1) and (2). Networks of inductors and capacitors
of digital simulators in the 1980s, electrolytic tanks remained were occasionally used to simulate fields governed by the
the premier method for the accurate mapping of potential wave equation, particularly in the design of electromagnetic
fields (see Ref. 1). systems such as waveguides and cavity resonators.
One very sophisticated and elaborate network computer
Examples of Discrete Direct Analog Simulators was designed at Caltech and by Computer Engineering Asso-
ciates for the simulation elastic beam problems governed by
Electrical network simulators are based on finite difference or the biharmonic partial differential equations,
finite element approximations of one-, two-, or three-dimen-
sional partial differential equations. By far the most widely
∇4φ = 0 (5a)
used discrete direct analog simulators were the resistance/
capacitance networks for the simulation of fields governed by ∂ 2φ
∇4φ = k 2 (5b)
the diffusion equation, ∂t

∂φ In addition to inductors and capacitors, this simulator in-


∇2φ = k (3)
∂t cluded high-quality transformers in every network node ele-
ment. Figure 5 illustrates the simulation of the vibration of a
in one, two, and three Cartesian coordinates. In this ap- cantilever beam using this approach. Similar networks were
proach, the derivatives with respect to the space variables are used to simulate the deflection of two-dimensional systems
replaced by finite differences, while the time variable is kept such as elastic plates. Another network analyzer including re-
in continuous form, as sistors, reactors and transformers was marketed by General
Electric and used for the simulation of electric power distribu-
φ1 − φ0 φ −φ ∂φ
+ 2 20 ∼ =k 0 (4a) tion networks. More details are provided in Ref. 1.

x2
x ∂t
φ1 − φ0 φ2 − φ0 φ3 − φ0 φ4 − φ0 ∼ ∂φ0
+ + + =k (4b)

x2
x2
y2
y2 ∂t INDIRECT ANALOG SIMULATORS
φ1 − φ0 φ2 − φ0 φ3 − φ0 φ4 − φ0
+ + + Mechanical Differential Analyzers

x2
x2
y2
y2
φ −φ φ −φ ∂φ The possibility of obtaining computer solutions of ordinary
+ 5 20 + 6 20 ∼ =k 0 (4c)

z
z ∂t differential equations by successive mechanical integrations
was first suggested by Lord Kelvin in 1876. No successful
Electrical networks are then fashioned from resistors and ca- machines using this method appear to have been con-
pacitors, with typical nodes as shown in Fig. 4, where the structed until researchers at MIT, under the leadership of
magnitudes of the circuit elements are determined by the lo- Vannevar Bush, constructed a series of these computers,
cal magnitudes of the parameters in the field being simulated. termed mechanical differential analyzers, in the 1930s. In
Networks of this type proved extremely useful in the study of the 1940s, General Electric marketed several such analog
transient heat transfer (so-called thermal analyzers) and of machines, and others were subsequently constructed and
the flow of fluids in porous media as in aquifers and oil reser- installed at a number of locations in Western Europe and
voirs. In a number of instances, such networks contained in the Soviet Union.
many thousands of node elements, as well as sophisticated In mechanical differential analyzers, all dependent prob-
electronic circuitry for the application of boundary and ini- lem variables are represented by the rotations of as many as
tial conditions. 100 parallel shafts, rather than by voltages as in electronic
;; S1
2
0
∆x
1

2
∆x
2

2
∆x

(a)
3
∆x

m1∆ x S1 1 m2∆ x S2 1 m3∆ x S3 1 m4∆ x S4 1


2
4

2
1
∆x

2 m5 ∆ x
5

S5
z
x
ANALOG COMPUTERS

z
455

M0 M1 M2 M3 M4 M5
x+ y
z=
2
(EI)0 (EI)1 (EI)2 (EI)3 (EI)4
∆x ∆x ∆x ∆x ∆x (a)

(b)
Input

10n
9n
8n
7n
6n
5n
4n
3n
Figure 5. Network for the simulation of the vibrations of an elastic
cantilever beam, governed by the biharmonic equation, which is
fourth-order in x and second order in time. (a) Schematic of the beam
including five finite difference or finite element sections. (b) Network
containing inductors, capacitors and a transformer at each node. (See
Refs. 1 and 2.)

4m
Splined coutershaft

10n
differential analyzers. These shafts are interconnected and
driven by mechanical units that accept one or more shaft ro-
tations as inputs, and they drive another shaft the rotation of
Output
which provides the output corresponding to the desired func-

12m
4m
6m
tional input–output relationship.
The addition of two dependent variables, x and y, is accom-
plished with the aid of differential gears, as shown in Fig.
6(a). Multiplication by a constant is readily achieved by cou-
pling two shafts by gears. By selecting appropriate gear ra-
(b)
tios, one turn of one shaft can be translated into a desired
multiple or fraction of a turn of the second shaft. This is illus-
trated in Fig. 6(b).
Integration of a dependent variable with respect to another
dependent variable or with respect to an independent vari- z
a
able can be carried out using a disk-and-wheel integrator as
shown schematically in Fig. 6(c). The turns of the disk, called
y
the turntable, represents the differential variable x to a suit-
able scale factor. The distance of the wheel centerplane from
the axis of the turntable represents the integrand, y, again to
some suitable scale factor. These are the two inputs to the x
integrator. The turns of the integrating wheel represent the
value z of the integral to a scale factor determined by the two
input scale factors and the actual structural details of the (b)
unit. This is the output of the integrator.
A rotation of the disk through an infinitesimal fraction of Figure 6. Mechanical computing elements employed in mechanical
differential analyzers. (a) Differential gear for producing a shaft rota-
a turn, dx, causes the wheel to turn through a correspond-
tion z which is proportional to the sum of rotations x and y. (b) Multi-
ingly small part of a turn, dz. For a wheel of radius a, we
plication of the rotation of a shaft using step-up or step-down gear
obtain ratios. (c) Disk-wheel integrator for generating the integral z of wheel
displacements y with respect to wheel displacement x of the disk.
1
dz = y dx (6)
a
456 ANALOG COMPUTERS

D
Motor
;;C

B
A

Figure 7. Polarized-light servomecha- Amplifier


nism for torque amplification in a wheel–
disk integrator.

During a finite time interval, the x turntable will turn taken into account by providing suitable initial settings of the
through a finite number of revolutions, and the distance y two integrator wheels. Note that this equation would be much
will vary, ranging through positive (on one side of center) to more difficult to implement using an electronic analog com-
negative (on the other side of center) values as called for by puter, since electronic integrators are limited to integrating
the problem. The total number of turns registered by the inte- with respect to time.
grating wheel will then be To illustrate the application of the mechanical differential
 analyzer, consider first the almost-trivially simple problem of
x
1 finding the area under a curve. Specifically, a curve y ⫽ f(x)
z= y dx (7)
a x0 is shown plotted on a sheet of paper fastened to an input ta-
ble I in Fig. 9(a). The curve starts at some value, x1, of the
Adequate operation of the integrator requires that the wheel independent variable x and ends at some other value x2. The
roll with negligible slip, even as the rotation z is transmitted curve
mechanically to other shafts. This calls for torque amplifica-  x1
tion, and a variety of ingenious mechanism were introduced z= y dx (9)
for that purpose. The polarized light servomechanism for x1
torque amplification is shown schematically in Fig. 7. The in-
tegrating wheel, A, consists of a polarizing disk with a steel is to be plotted on the output table O. The differential equa-
rim and a steel hub. The direction of optical polarization is tion corresponding to Eq. (9) is
shown by the direction of the crosshatch lines on the wheel.
The follow-up system consists of a pair of similar polarizing dz
=y (10)
disks B and C on the motor-driven output shaft D. The two dx
disks are mounted with their planes of polarization at right
angles to each other. Two beams of light pass through polar- where y is given as a plotted function of x. The mechanical
izer A and are polarized in the same direction. One light beam differential analyzer system for generating this solution is
passes through polarizer B, while the other passes through shown in detail in Fig. 9(a) and schematically in Fig. 9(b).
polarizer C. The light beams are picked off by separate pho- The variable y displaces the integrating wheel when the hand
totubes, which are connected through an amplifier to a split-
field series motor. Any difference in light intensity striking
the two phototubes will cause the motor to turn. This will
cause the output shaft D to assume an orientation with re- z = xy
spect to wheel A so that the plane of polarization of wheel A
bisects the right angle between the two planes of polarization
of disks B and C. The output shaft D is thus constrained to +
y
follow the motions of the integrating wheel, with only the
light beams as the coupling medium between them. x
Note that the shafts representing the variables x and y can
be driven by the outputs of other integrators or by a separate
motor. For example, the turntable can be driven by a motor
at constant speed. In that case, integration with respect to
time is achieved. Multiplication of two dependent variables x
and y can be effected by connecting two integrators as shown
in Fig. 8, resulting in an output:
  y dx x dx
xy = x dy + y dx (8)
Figure 8. Schematic diagram showing the multiplication of two de-
In Fig. 8, conventional symbols are used to represent the inte- pendent variables x and y by implementing the formula for integra-
grators, adder, and shafts. The initial values of the product is tion by parts, Eq. (8).
;; ;;
ANALOG COMPUTERS 457

I O

y z
P

; ;
;;;;;;;;;
x1 x2 x x

Motor

;; C

;;
W
D

(a)

x x

z z

Figure 9. Mechanical differential ana-


lyzer method for generating the area un-
der a specified curve. (a) Detailed figure,
(b) (b) schematic diagram.

crank on the input table is turned manually to keep a peep- curve z ⫽ f(x), as a nut on the horizontal lead screw traverses
hole on the given curve, while the x lead screw shifts the the x range.
peephole horizontally via the independent variable motor Consider now a simple second-order differential equation
drive. The motor also turns the integrator disk D. The inte- of the form
grator wheel W operates through a torque-amplifying cou-
pling C to drive the vertical lead screw on the output table d2y dy
M +b + ky = 0 (11)
O. A nut on this lead screw carries a pen P which traces the dx2 dx
458 ANALOG COMPUTERS

where M, b, and k are specified constants, and initial values ferential analyzers became available in installations ranging
of y and dy/dx are also given. The solution process is from a modest 10 operational amplifiers to well over 2000 op-

d2y
b dy k
 erational amplifiers. The accuracies of these computers in
solving nonlinear equations ranged from 2% of full scale for
=− + y (12a)
dx2 M dx M relatively low-cost devices to better than 앑0.1% for the most
 x elegant models.
dy d2y ◦
= dx + y(0) (12b) Very early in the development of electronic analog comput-
dx 0 dx2
 x ers, it became apparent that there exist two distinct philoso-
dy
y= dx + y(0) (12c) phies or approaches to the application of these devices. In one
0 dx class of analog computers, the time necessary to obtain a solu-
tion varies from approximately 10 s to several minutes. The
Assume that it is desired to plot y and dy/dx as functions of
initial conditions and driving functions are applied at an in-
x and that dy/dx is also required as a function of y and also
stant of time corresponding to t ⫽ 0, and continuous graphical
as a function of the second derivative of y with respect to x.
outputs are generated from selected points in the computer
The mechanical differential analyzer implementation is
system. This type of EDA is termed a long-time or one-shot
shown schematically in Fig. 10. All variable shafts are shown
analog computer. The other class of differential analyzers op-
as horizontal lines. Adders and the gear trains interconnect-
erates on a greatly speeded-up time scale, so that solutions of
ing the various shafts are shown at one end. Connections
problems are obtained in several milliseconds. In that case,
from the various shafts are carried over to the integrators and
the problem run is repeated automatically several times per
output tables by cross shafts. In a similar manner, systems of
second, and the result of the computation is displayed on a
simultaneous nonlinear differential equations with variable
cathode-ray oscilloscope. Members of this second class are
coefficients can be solved.
termed repetitive or high-speed analog computers. While both
Major mechanical differential analyzer facilities included
approaches had their enthusiastic adherents, the long-time
20 or more integrators and a substantial number of input and
computer assumed a preponderant position by a wide margin,
output tables. Using high-precision mechanical components,
in terms of both (a) the number of companies engaged in its
they were capable of solving complex engineering problems to
production and (b) the number of computers actually in use.
a higher accuracy than were the electronic differential ana-
Almost all commercial ‘‘long-time’’ installations are de-
lyzers that eventually replaced them. At the time they were,
signed around a centrally located patch-bay housed in a con-
however, very costly to construct and to maintain, and they
trol console. Wires leading to the inputs and outputs of all
occupied an inordinate amount of space. Additional details
computer units and components are brought out to an array
are discussed in Ref. 2.
of several hundred or even thousands of patch-tips. Remov-
able problem boards, made of an insulating material, are ma-
Electronic Differential Analyzers (EDAs)
chined to fit precisely over these tips in such a manner that
Electronic analog computers were first developed for military a clearly identified hole in the problem board lies directly over
applications during World War II. Subsequently, numerous each patch-tip. Most of the programming and connecting of
manufacturers entered into competition to provide progres- the computer can then be accomplished by means of patch-
sively larger, more accurate, and more flexible general-pur- cords interconnecting the various holes in the problem board.
pose computers. The design of the electronic computer units Usually a considerable number of problem boards are avail-
and the programming of EDAs is considered in detail in other able with each computer. Problems can be programmed on
articles in this encyclopedia. General-purpose electronic dif- these boards, which can be stored for subsequent experimen-

x y
y d 2y
dy/dx dy/dx dx2

k dy/dx
– y
M d 2y
+
dx2
b dy

M dx k
– b
y M –
M
dy/dx
x

Figure 10. Mechanical differential ana-


lyzer schematic for the solution of the sec- dy d 2y
dx dx
ond-order differential equation, Eq. (11). dx dx2
ANALOG COMPUTERS 459

Digital-analog Analog-hold
converters circuits
Demultiplexer
Demultiplexer

Buffer

Timing Analog
and system
Digital data control
processor unit
Recorders

Printer,
tape units
Analog-digital Figure 11. Major components of a hybrid
converter (analog/digital) computer system of the type
widely used in the aerospace industry in the
Buffer Multiplexer 1970s and 1980s for the design of systems
(digital-hold)
and for the training of pilots and astronauts.

tal work while the computer is being employed to solve an oven so as to minimize drift errors. All computers have vari-
entirely different problem. In that manner, the computer in- able dc power supplies for the application of initial conditions
stallation is not ‘‘tied-up’’ by a single problem. A considerable to the integrators and for the generation of excitations. The
effort has been expended in optimizing the design of problem output devices are generally mounted separately and may in-
boards to facilitate their use. Even so, the programming of clude direct-writing oscillographs for relatively high-speed re-
reasonably complex problems results in a veritable maze of cording, servo-driven recorders, and digital voltmeters. In ad-
plug-in wires, a factor which not infrequently leads to errors dition, most analog facilities possess a number of multipliers,
and makes debugging very difficult. To help alleviate this sit- resolvers for the generation of trigonometric functions, arbi-
uation, most manufacturers introduced color-coded plug-in trary function generators, Gaussian noise generators, and
connectors and multicolored problem boards, as well as spe- time-delay units for simulating transport lags. Further de-
cial ‘‘problem-check’’ circuitry. tails are presented in Refs. 3 and 5.
In addition to the patch-bay, the control console generally
includes the principal operating relays or solid-state switches Hybrid (Analog/Digital) Computers
for resetting initial conditions and for commencing computer
runs, as well as potentiometers and amplifier overload indica- When relatively low-cost, on-line digital computers became
tors. One set of solid-state switches facilitates the connection available in the late 1960s and 1970s, so-called hybrid com-
of direct-current (dc) power supplies to the outputs of all inte- puters became popular. Analog and digital computer units
grators for the setting of specified initial conditions. At the were interconnected, using analog–digital and digital–analog
start of the computer run, at t ⫽ 0, all of these switches open converters, while a single control unit controlled all comput-
simultaneously, and at the same instant of time, other ers comprising the system. In such a hybrid computer, the
switches connect the specified driving functions into the cir- computing tasks were divided among the analog and digital
cuit. To repeat the computer run, the control switch is moved units, taking advantage of the greater speed of the analog
from the ‘‘compute’’ to the ‘‘reset’’ position, and the identical computer and the greater accuracy of the digital computer.
initial conditions are again applied. Frequently a control unit For example, in simulating a space vehicle, the guidance
includes a ‘‘hold’’ setting. In this position, all integrator capac- equations were solved digitally, while the vehicle dynamics
itors are disconnected from the input resistors, so that they were implemented on the analog computer. Such a hybrid
are forced to maintain whatever charge they possess at the computer system is shown in Fig. 11. Further details are to
instant the control switch is turned to the ‘‘hold’’ position. The be found in Ref. 4.
voltages at various points in the circuit can then be examined Throughout the 1970s and well into the 1980s, hybrid com-
at leisure. puters played a crucial role in the development of many mili-
The rest of the components are mounted in standard racks tary and civilian aerospace systems, including guided mis-
in such a manner that the computer facility can readily be siles, aircraft and space vehicles, and so on, as well as in
expanded by purchasing and installing additional racks of training pilots and astronauts. By 1990, however, the devel-
equipment. Precision resistors and capacitors are used opment of minicomputers and microprocessors had reached a
throughout; and in the more refined high-accuracy installa- level of performance that permitted all tasks formerly as-
tions, all resistors and capacitors actually taking part in the signed to the analog computer to be performed digitally at
computing operation are kept in a temperature-controlled adequate speed and greatly reduced cost. This effectively
460 ANALOG FILTERS

spelled the end of hybrid computers as a tool for engineering


design and simulation.

BIBLIOGRAPHY

1. W. J. Karplus, Analog Simulation: Solution of Field Problems, New


York: McGraw-Hill, 1958.
2. W. J. Karplus and W. W. Soroka, Analog Methods: Computation
and Simulation, New York: McGraw-Hill, 1959.
3. R. Tomovic and W. J. Karplus, High-Speed Analog Computers, New
York: Wiley, 1962.
4. G. A. Bekey and W. J. Karplus, Hybrid Computation, New York:
Wiley, 1968.
5. A. S. Jackson, Analog Computation, New York: McGraw-Hill,
1960.

WALTER J. KARPLUS
University of California at Los
Angeles
494 ANALOG PROCESSING CIRCUITS


+
+
V− +
+ Vout
V+
− − −

Figure 1. General analog signal processing circuit.

In recent years, the trend to smaller and cheaper electronic


systems has resulted in mixed-mode integrated systems
where both digital and analog signal processing circuits are
manufactured in the same chip (3).
Analog signal processing circuits can be divided in two cat-
egories: linear and nonlinear circuits. Among linear circuits
for signal processing are filters (4) which use amplifiers,
adders, and integrators. High-frequency signal processing is
an area where analog circuits are the main signal processors.
For nonlinear analog signal processing, the most impor-
tant circuits are data converters, where comparators are
widely used, as well as adders and integrators. Instrumenta-
tion and control (5) are also areas that use analog signal pro-
cessing circuits intensively, for example, to measure ac sig-
nals or to control positioning motors.
This article is divided into two parts. The first part covers
linear circuits, such as adders and integrators, and circuits
that use them, such as filters. The second part covers nonlin-
ear circuits, such as comparators, limiters, log and antilog
amplifiers, and their applications. Because most analog signal
processing circuits use operational amplifiers, a brief section
on op-amps is included.

OPERATIONAL AMPLIFIERS

An operational amplifier (op-amp), shown in Fig. 1, is a three-


terminal device that has a high-input impedance Zin, a low
output impedance Zo, and a very high gain A (6). For an ideal
op-amp, these quantities are

Zin → ∞
Zo = 0 (1)
A→∞

The op-amp input–output relationship is given by

Vout = A(V+ − V− ) (2)

The input terminal with a plus sign is called the noninverting


input, and the input terminal with a minus sign is called the
ANALOG PROCESSING CIRCUITS inverting input.

Analog signal processing is still the primary mode of signal


processing in many applications, despite the tremendous de-
velopment in digital signal processing circuitry. For example, + +
at high frequencies signal processing is implemented with
very simple analog circuits. Very low-power applications are +
V− A(V+ − V−) Vout
+ −
also realized with analog circuitry. In addition, even in sys-
V+
tems using digital signal processing, it is necessary to include − − −
some form of analog signal processing and data conversion as
an interface for analog systems (1,2). Figure 2. Operational amplifier characteristics.

J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
ANALOG PROCESSING CIRCUITS 495

Iout
IF RF
Rin
+

+
V− gm(V+ − V−) Iin
+ Vin +
+ Vout
V+
− − − −

Figure 3. Operational amplifier macromodel.

(a)

The op-amp variables are shown in Fig. 1. In addition to


Eqs. (1) and (2), the very high input impedance forces
RF
Vin K=– Vout
I+ = I− = 0 (3) Rin

A macromodel for an ideal op-amp, where A 씮 앝, is given in (b)


Fig. 2. Note that Zo ⫽ 0, and Zin 씮 앝. Furthermore, because
Vout is finite when A 씮 앝, (V⫹ ⫺ V⫺) must be very small. That Figure 5. Inverting amplifier. (a) Circuit; (b) block, diagram.
is,

V+ = V− (4) current law


Iin + IF = 0 (7)
Another type of op-amp that has the macromodel shown in
Fig. 3, also has a high input impedance, but it has as the In addition, because V⫺ ⫽ 0 due to the infinite op-amp gain,
output variable an output current given by (6)
Vin
Iin = (8)
Iout = gm (V+ − V− ) (5) Rin

and
Note that now the output impedance is infinite because the
output element is a current source. The value of the transcon- Vout
iF = (9)
ductance gm is a function of the bias current Ibias. Thus, RF

gm = gm (Ibias ) (6) Thus,


Vin V
+ out = 0 (10)
Because the gain factor for this op-amp is the transconduc- Rin RF
tance gm, this op-amp is called an operational trasconductance
amplifier (OTA). The symbol for an OTA is shown in Fig. 4. which can be rewritten as
Usually, the OTA has a higher operating frequency than the RF
conventional voltage mode op-amp and thus, it is usually Vout = − V (11)
Rin in
found in high-frequency circuits.
Thus, the output voltage is given by the product of the input
voltage and the inverting amplifier gain ⫺RF /Rin. Figure 5(b)
LINEAR CIRCUITS FOR SIGNAL PROCESSING shows a block diagram for the inverting amplifier. An in-
verting unity gain amplifier is obtained by making Rin ⫽
The simplest circuit in linear signal processing is the in- RF ⫽ R as shown in Fig. 6. Now let us consider the circuit
verting amplifier (2) shown in Fig. 5(a). Because no current
flows into the inverting input of the op-amp, by Kirchhoff ’s

IF Rin
Rin

+
− Iout Iin
+ Vin +
+ Vout
+ − −
V− + Ibias
V+
− −

Figure 4. OTA macromodel. Figure 6. Inverting adder. (a) Circuit; (b) block diagram.
496 ANALOG PROCESSING CIRCUITS

RF
K1 = –
R1
V1

R1 RF
K2 = –
V1 R2
R2 RF V2
V2
RF
R3 K3 = –
R3
V3 − V3 Σ Vo
Vo
+
Rn
Vn Vn
RF
Figure 7. Two input inverting adder. (a) Kn = –
(a) Rn (b)
Circuit; (b) block diagram.

shown in Fig. 7 (8). The currents ik are Thus, we have obtained an inverting adder. Each input is am-
plified by the factor ⫺RF /Ri. A block diagram for the inverting
V1 adder is shown in Fig. 7(b).
I1 = (12a)
R1
V EXAMPLE 1. Figure 8(a) shows a two-input inverting adder.
I2 = 2 (12b)
R2 The output voltage is given by
..
. 10 k 10 k
Vo = − V − V (17)
and 5 k 1 1 k 2

Vo Thus,
IF = (13)
RF
Vo = −2V1 − 10V2 (18)
Furthermore, because no current flows into the inverting in-
put, by Kirchhoff ’s current law A block diagram is shown in Fig. 8(b).

I1 + I2 + · · · + In + IF = 0 (14)
A more general inverting adder (2) is shown in Fig. 9.
Thus, Here each impedance Zi is given by the T-circuit in Fig.
10. The input short circuit impedances at nodes 1 and 2
V1 V Vn Vo are given by
+ 2 + ··· + + =0 (15)
R1 R2 Rn RF  
V1 (s)  V2 (s) 
Zsc (s) = =
Solving for Vo, I2 (s) V I1 (s) V
2 (s)=0 1 (s)=0
(19)
R R R Z (s)Z2 (s) + Z2 (s)Z3 (s) + Z1 (s)Z3 (s)
Vo = − F V1 − F V2 − · · · − F Vn (16) = 1
R1 R2 Rn Z2 (s)

5 kΩ 10 kΩ −2
V1 V1
1 kΩ
V2 −
Vo
Σ Vo

−10
+ V2

Figure 8. General inverting adder. (a) (b)


ANALOG PROCESSING CIRCUITS 497

Zo(s) 10 kΩ 10 kΩ

V1(s) Z1(s) R2 = ?

− V1 10 kΩ

Vo(s) Vo = −100 V1
+
V2(s) Z2(s) +

Vn(s) Zn(s)
Figure 11. Noninverting amplifier.

Figure 9. Tee network. and

Vo − V1
I2 = (23)
R2

As with the inverting adder, Kirchhoff ’s current law at node Using Kirchhoff ’s current law at the inverting input node,
Vg gives
I2 = I1 (24)
V1 (s) V2 (s) Vn (s) Vo (s)
+ + ··· + + =0 (20)
Z1 (s) Z2 (s) Zn (s) Zo (s) Thus,

Solving for Vo, Vo − V1 V


= 1 (25)
R2 R1
Zo (s) Zo (s) Zo (s)
Vo (s) = − V1 (s) − V2 (s) − · · · − V (s) (21)
Z1 (s) Z2 (s) Zn (s) N Solving for Vo,

R1 + R2
EXAMPLE 2. We want the circuit shown in Fig. 11 to have Vo = V1
an inverting gain of 100. By solving Eq. (19) with R1 ⫽ R3 ⫽  R1 R  (26)
10 k⍀, R2 ⫽ 102.04 ⍀.
= 1 + 2 V1
R1
A noninverting amplifier is shown in Fig. 12. Because the
op-amp forces the inverting input voltage to be equal to the We readily see that the amplification factor for the nonin-
noninverting input voltage, the currents I1 and I2 are given verting amplifier is always greater than unity.
by
EXAMPLE 3. If we desire to design a noninverting amplifier
V with a gain of 3, we can choose R2 ⫽ 2 k⍀ and R1 ⫽ 1 k⍀.
I1 = 1 (22)
R1 Thus,

R2 2 k
1+ =1+ =3 (27)
R1 1 k

I1 I2 The final circuit is shown in Fig. 13.


V1 Z1 Z3 V2

R2

Z2 I2
R1

I1 Vo(s)
V1(s) +

Figure 10. Amplifier with a gain of 100. Figure 12. Noninverting amplifier with a gain of three.
498 ANALOG PROCESSING CIRCUITS

2 kΩ


1 kΩ
− Vo(s)
V1(s) +
Vo(s)
V1(s) +
Figure 15. Differential amplifier.
Figure 13. General noninverting amplifier.

A general inverting amplifier is shown in Fig. 14. Simi- Thus, we see that the circuit takes the difference of the input
larly to the noninverting amplifier, the output voltage is given voltages. Therefore, this circuit is called a differential ampli-
by fier. By choosing Ro ⫽ R3 and R1 ⫽ R2, we can write Eq. (33)
as
 
Z (s)
Vo (s) = 1 + 2 V (s) (28) Ro
Z1 (s) 1 Vo = (V − V1 ) (34)
R1 2
As before, the gain is noninverting and greater than unity. If
Z2(s) ⫽ 0 (short circuit), the circuit equation is which gives the input voltage difference amplified by the fac-
tor Ro /R1.
Vo (s) = V1 (s) (29)

that is, we have a unity gain amplifier. Note that Z1(s) has no EXAMPLE 4. It is desired to find an amplifier whose output
effect and can be deleted (an open circuit.) The resulting cir- voltage is given by
cuit, shown in Fig. 15 is also called a voltage follower be-
cause the output voltage follows the input voltage. Now let Vo = 10(V2 − V1 )
us consider the circuit (9) in Fig. 16. Voltage V⫹ is given
by

R3
V+ = V (30)
R2 + R3 2
R1 V− Ro
Using superposition, voltage V⫺ is given by V1

Ro R1
V− = V + V (31) −
Ro + R1 1 Ro + R1 2
Vo
+
Because the op-amp forces both voltages V⫺ and V⫹ to be
equal, R2 R3
V2
R3 Ro R1
V = V + V (32) V+
R2 + R3 2 Ro + R1 1 Ro + R1 2
(a)
Solving for Vo,

R3 (Ro + R1 ) Ro R1 Ro
Vo = V − V (33) V−
R1 (R2 + R3 ) 2 R1 1 V1


Vo
+
Z1(s) Z2(s)
R1 R0
V2

V+
Vo(s)
V1(s) + (b)

Figure 14. Voltage follower. Figure 16. Differential amplifier with a gain of 10.
ANALOG PROCESSING CIRCUITS 499

1 kΩ 10 kΩ Defining impedances ZA(s) and ZB(s) by


V1
 
1 1
m
1 1
= + + (37)

ZA (s) ZIS (s) i=1 ZIi (s) Zo (s)
Vo
+ and
 
1 kΩ 10 kΩ 1 1
n
1
V2 = + (38)
ZB (s) ZNS (s) k=1 ZNk (s)

Figure 17. General inverting and noninverting amplifier. and because for an ideal op-amp,

VA = VB (39)

from Eqs. (35)–(39) we can write


By choosing Ro ⫽ R3 ⫽ 10 k⍀ and R1 ⫽ R2 ⫽ 1 k⍀ in Fig. 16,
we obtain the desired output voltage. The final circuit realiza-
VA Vo (s) m
VIi (s)
tion is shown in Fig. 17. = + (40)
ZA (s) Zo (s) i=1 ZIi (s)
A differential amplifier (2) can be extended to the general
combined inverting and noninverting amplifier shown in Fig. and
18. Kirchhoff ’s current law at nodes A and B gives
VB
n
VNk (s)
  = (41)
1 1 1 1 ZB (s) k=1 ZNk (s)
VA + + ··· + +
ZIS (s) ZI1 (s) ZIm (s) Zo (s)
Vo (s) m
VIi (s) Finally, from Eqs. (40) and (41) together with Eq. (39), we can
= + (35) write
Zo (s) i=1 ZIi (s)

Vo m
VIi (s) VB
and = + (42)
Zo (s) i=1
Z Ii (s) Z A (s)
  n
1 1 1 VNk (s)
VB + + ··· + = (36) Solving for Vo,
ZNS (s) ZN1 (s) ZNn (s) Z (s)
k=1 Nk
 
ZB (s) n
VNk (s) m
VNi (s)
Vo (s) = Zo (s) − (43)
ZA (s) k=1 ZNk (s) i=1 ZIi (s)

V (s)
– + Im This equation allows us to design combined inverting and
ZIm(s) ZIS(s)
noninverting adders. Each inverting input has a gain
....

....
...

V (s)
– + I2 Zo (s)
ZI2(s)
GIi (s) = − (44)
ZIi (s)
– +VI1(s)
ZI1(s) Zo(s)
and each noninverting input has a gain given by

VA − ZB (s) Zo (s)
GNk (s) = (45)
Vo(s) ZA (s) ZNk (s)
VB +
The values of ZIS(s) and ZNS(s) can be picked such that

V (s)
+ N1 ZA(s) ⫽ ZB(s), so that the noninverting gains are given by
ZN1(s)
Zo (s)
V (s) GNk (s) = (46)
– + N2 ZN2(s) ZNk (s)
......
....

....

....

V (s) EXAMPLE 5. Consider the circuit in Fig. 19(a). Suppose we


– + Nn
ZNn(s) ZNS(s)
want

Figure 18. Inverting and noninverting adder. V0 = 2VN1 + 3VN2 − 4VI1 − 2VI2
500 ANALOG PROCESSING CIRCUITS

RIS

RI1 Ro 50 kΩ 100 kΩ
VI1 VI1 +2
VN1
RI2 50 kΩ
VI2 − VI2 − +3
VN2

+
Vo
+
Vo
VI1
−2 Σ Vo

RN1 RNs −2
50 kΩ 20 kΩ VI2
VN1 VN1
RN2 33.3 kΩ
VN2 VN2
(a) (b) (c)

Figure 19. Inverting and noninverting amplifier. (a) Schematic circuit; (b) block diagram.

We start by picking Ro ⫽ 100 k⍀. We set RA ⫽ RB by a proper From Eqs. (37) and (38)
choice of RIS and RNS (usually this can be done by making any
RA = RIS RI1 RI2 R0 = RIS 20 k
one infinite.) From our desired output
RB = RNS RN1 RN2 = RNS 25 k
GN1 = 2
By setting RIS ⫽ 앝,
GN2 = 3
RA = 20 k
GI1 = −2
GI2 = −2 Then, the value that makes RA ⫽ RB is
RNS = 100 k
From Eq. (46) for the noninverting gains
The final circuit is shown in Fig. 19(b), and a block diagram
Ro 100 k is shown in Fig. 19(c).
RN1 = = = 50 k
GN1 2
INTEGRATORS
and
Integrators are basic building blocks in analog signal pro-
Ro 100 k
RN2 = = = 33.3 k cessing (10). For example, state variable filters such as the
GN2 3 KHN (11) and Tow–Thomas (12) filters are based on inte-
grators within a loop. The most popular integrator circuit is
and for the inverting gains the inverting Miller integrator depicted in Fig. 20. For an
ideal op-amp, its transfer function is given by
Ro 100 k Vout 1
RI1 = = = 50 k =− (47)
GI1 2 Vin sRC
and As can be seen, this integrator has its pole at the origin.
Ro 100 k Another widely used integrator circuit is the inverting
RI2 = = = 50 k lossy integrator shown in Fig. 21. Its transfer function is
GI2 2

R2

C C

R R1
Vin − Vin −
Vout Vout
+ +

Figure 20. Miller inverting integrator. Figure 21. Lossy inverting integrator.
ANALOG PROCESSING CIRCUITS 501

V+ + Vin +
+ +
Vout Vout
+ +
V− − −
+ C C R
− − − − −

Figure 22. OTA based integrator. Figure 24. OTA-based lossy integrator with external resistor.

given by of these dc errors, the integrator output consists of two com-


ponents, namely, the integrator signal term and an error
1 term. Thus, now Vout is given by
Vout R1 C
=− (48) 1 1
Vin 1 Vout (t) = − Vin (t) dt + Vos (t) dt
s+ RC RC
R2 C (52)
1
+ ID (t) dt + VDS
From this transfer function we see that a pole is located on C
the negative real axis at
An option for high-frequency applications is using an opera-
1 tional transconductance amplifier (OTA). Because Iout ⫽
s=− (49) gm(V⫹ ⫺ V⫺) in an OTA, by loading the OTA with a capacitor,
R2 C
as shown in Fig. 22, we obtain (13)
Now if we take into account the finite op-amp gain A0, routine
Iout gm (V+ − V− )
circuit analysis gives the inverting Miller integrator transfer Vout = = (53)
function as sC sC

Vout A0 If any one of the input voltages is zero, we obtain either an


=− (50) inverting or a noninverting integrator, as shown in Fig. 23 for
Vin 1 + (1 + A0 )sRC
an inverting integrator. Note that OTA-based integrators are
open loop integrators. We can realize a lossy integrator with
Note that the pole shifts from the origin to a location on the
the circuit in Fig. 24. In this case the transfer function is
negative real axis given by
given by
1 gm
s=− (51)
(1 + A0 )RC Vout C
= (54)
Vin 1
Because A0 is very large, this pole is very close to the origin s+
RC
but on the negative real axis. Thus, the Miller integrator for
very high frequencies behaves like a lossy integrator. We can also realize a lossy integrator by producing a resistor
Other sources of error in the inverting Miller integrator with the OTA, as shown in Fig. 25. In this case the transfer
are the op-amp dc offset voltage and bias current (8). Because function achieved is given by
gm
Vout C
= gm (55)
Vin s+
Vin + C
+
Vout
+
− If we require realizing Eq. 55 with different numerator and
C denominator coefficients, then we can use an additional OTA
− − to produce the resistor, as shown in Fig. 26. The resulting

(a)

Vin −
+
Vout −
+
+ Vout
C +
Vin +
+ C
− −
− −
(b)
Figure 25. OTA-based lossy integrator without external resistor.
Figure 23. (a) Non-inverting integrator. (b) Inverting integrator. The OTA feedback realizes the resistor.
502 ANALOG PROCESSING CIRCUITS

NONLINEAR ANALOG SIGNAL PROCESSING CIRCUITS



gm2 The general form of a nonlinear analog signal processing cir-
Vin + Vout
+ + cuit (2) is shown in Fig. 29, where f is a nonlinear function of
gm1 +
C
the current IN. In Fig. 29, the output voltage is given by

− − Vout = f (IN ) (57)

Figure 26. OTA-based lossy integrator with different coefficients. Because no current flows into the inverting input of the op-
amp
transfer function is given by IN = −I1 − I2 (58)
gm1 and, because the inverting input voltage is zero due to the
Vout C
= g (56) infinite gain
Vin s + m2
C Vin1
I1 = (59a)
R1
There are some advantages when using OTAs in integrators:
and
1. The operating frequency range is greatly increased.
Vin2
2. The dynamic range is also increased because now we I2 = (59b)
have a current as the output variable for the opera- R2
tional amplifier.
Thus,
3. Modern OTAs require lower supply voltages.  Vin1 Vin2

Vout = f − − (60)
EXAMPLE 6. As an application of integrators, let us consider R1 R2
the circuit shown in Fig. 27. This circuit is known as a state
variable filter, or KHN filter (11), after its inventors. As can
COMPARATORS
be seen, this circuit is formed by two Miller inverting inte-
grators and an inverting and noninverting adder. The trans-
A comparator is a circuit that has the transfer characteristic
fer function is given by
shown in Fig. 30. Here we have a comparison level ER. The
  function of this circuit is to compare the input voltage Vin with
1 + R6 /R5 s
− the reference voltage ER and decide which one is larger. The
VBP (s) 1 + R3 /R4 R1C1
= ideal comparator is described by
V1 (s) s 1 + R6 /R5 R6 /R5
s2 + + 
R1C1 1 + R4 /R3 R1 R2C1C2 
L+ Vin > ER
Vout = 0 Vin = ER (61)
The first op-amp realizes the adder and the last two op-amps 

L− Vin < ER
realize the integrators.
This function is plotted in Fig. 31.
EXAMPLE 7. Another very popular filter realization is the Now let us consider the circuit shown in Fig. 32. The func-
Tow–Thomas filter (12). This filter is shown in Fig. 28(a). It
tion f(•) is realized by a zener diode [see Fig. 33(a)] for which
consists of a lossy integrator followed by a noninverting inte- an ideal description is given by
grator. The noninverting integrator is formed by a Miller in-

verting integrator cascaded with a unity inverting amplifier. Ez IN < 0
An alternative realization of this filter using OTAs is shown f (iN ) = (62)
0 IN > 0
in Fig. 28(b).

R5

C1
R6 C2
− R1
R3 − R2
+ −
+ +
+
V1 VBP
− − +

R4
Figure 27. KHN biquad filter.
ANALOG PROCESSING CIRCUITS 503

C2 R

C1
Rin RQ R
− R1
+
V1 − R
+ VBP −

+
+

(a)

+
gm1 −
− VBP gm2
+
+
V1
− C1 C2

Figure 28. Tow–Thomas biquad filter.


(a) With voltage mode op-amps; (b) with
(b) OTAs.

Vout

R1 I1 IN L+
Vin1 ƒ

0 ER Vin
R2 I2
Vin2 −
L−
Vout = ƒ(In)
+
Figure 31. Transfer characteristic for a comparator.

Figure 29. General nonlinear signal processing circuit. F is a nonlin-


ear function.

R1
Vin Clamp


R2 Vout
VREF +

RB
Vin
RA
Vout
ER

Figure 30. Block diagram for a comparator circuit. Figure 32. Comparator circuit.
504 ANALOG PROCESSING CIRCUITS

IN Vout

Forward
IN
biased
+ VREF R1

vD EZ R2
− 0 vD
Vin
Reversed
biased −EZ

(a) (b) Figure 35. Transfer characteristic for the comparator from Fig. 32
with the zener diode reversed.
Figure 33. Zener diode. (a) Symbol and variables; (b) I-V character-
istic.

that is, the circuit compares the input voltage Vin with the
reference voltage ⫺VREFR1 /R2. If Vin is either smaller or
as shown in Fig. 33(a). For our circuit, greater than ⫺VREFR1 /R2, then Vout is chosen according to Eq.
(62). Thus the circuit is a comparator. Its transfer character-
Vin istic is shown in Fig. 34. This is equal to the comparator char-
I1 = (63)
R1 acteristic from Fig. 31 with a sign reversal. By reversing the
diode, we get the characteristic shown in Fig. 35. Figure 36
and shows a bipolar comparator using a double-anode zener diode.
Using two zener diodes with different zener voltages we ob-
VREF tain different output voltages.
I2 = (64)
R2 A related circuit is the limiter whose function is shown in
Fig. 37. A limiter is realized by adding resistive feedback to a
The current IN is given by comparator, as shown in Fig. 38(a). The circuit acts as a volt-
age amplifier with gain RF /Rin as long as the output voltage
Vin VREF is between ⫺Ez2 and Ez1. When this condition does not hold,
IN = − − (65)
R1 R2 then the output is clamped either to Ez1 for positive output
voltage or to ⫺Ez2 when the output voltage is negative. Thus,
Thus, the two zener diodes act as a level clamp or feedback limiter
 Vin VREF

Vout = f − − (66)
R1 R2

Using Eq. (62) in Eq. (66),


 Vin −
 R1

E z Vin < −VREF Rin Vout
R2 +
Vout = (67)

 R
0 Vin > −VREF 1
R2
(a)

Vout Vout

EZ

0 Vin

VREF R1 Vin

R2

(b)

Figure 36. Comparator using double-anode zener diodes. (a) Circuit;


Figure 34. Transfer characteristic for the comparator from Fig. 32. (b) transfer characteristic.
ANALOG PROCESSING CIRCUITS 505

characteristics. This comparator is called a soft comparator


Vin Vout because there is rounding in the corners and nonzero
slopes.
A hard comparator, where the clamp levels are well con-
Figure 37. Block diagram for a limiter circuit. trolled, is shown in Fig. 40. The input-output transfer charac-
teristic for the comparator is shown in Fig. 41. Here the di-
odes are substituted by transistors. The operation is similar
to the comparator from Fig. 39, but the slopes are reduced by
the transistors 웁’s (2).
whose purpose is to limit output level excursions but other-
wise do not affect the inverting amplifier behavior. This char-
acteristic is shown in Fig. 38(b). Suppression of Leakage Currents and Stray Capacitances
Let us consider the circuit in Fig. 39(a). There are two com-
binations of possible diode states. If the design calls for a precision comparator where the clamp
levels are to be as accurate as possible, then we have to sup-
press leakage currents from the diodes D1 and D2 in the clamp
1. D1 ON, D2 OFF. If Vin is sufficiently positive, Vout will be
circuit which tend to create an offset error similar to an op-
sufficiently negative and eventually e1 reaches 0 V, and
amp offset current. In addition, the use of low resistance val-
D1 turns on. Node e1 acts as a virtual ground, and the
ues helps to improve circuit speed and reduces the effects of
output voltage is given by [see Fig. 39(c)]
offset currents and parasitic capacitances. The comparator of
E 1 RB Fig. 39 is improved in Fig. 42 with extra diodes D3 and D4
Vout = − (68) and resistor R5 to suppress leakage currents and establish
RA
precision comparator levels (2).

2. D1 OFF, D2 ON. This state is reached when Vin is nega-


tive. Then Vout becomes positive, e2 is eventually 0 V,
and D2 turns ON. Similar to state 1, the equivalent cir- HYSTERESIS
cuit is shown in Fig. 39(d), and Vout is given by
A comparator is improved by adding positive feedback to cre-
E 2 RD
Vout = + (69) ate a hysteresis loop. Hysteresis is usually added to a compa-
RC rator to give some noise immunity. Figure 43 shows an
example (2) where hysteresis adds noise immunity to a
3. The transfer characteristic is shown in Fig. 39(b). The comparator. Let us consider the circuit shown in Fig. 44
slopes in the limiting region are given as follows: which is a comparator with positive feedback added. With-
For state 1, out the positive feedback, a fast amplifier presents a posi-
tive oscillation at the output [see Fig. 43(a).] If the amount
dVout R of positive feedback is small, the amount of hysteresis in
=− B (70)
dVin RA Fig. 45 is given by

and for state 2, RA E W


EH = (72)
RA + E W
dVout R
=− D (71)
dVin RC
And, usually, EH is small, on the order of 10 mV to 20 mV.
As can be seen the slopes are nonzero. In addition there Figure 43(b) shows how noise immunity has been added to
is rounding in the corners because of the nonideal diode the comparator.

D1 D2 Vout

E Z1

RF
0 RF Vin
Vin − −
Rin
Rin Vout E Z2
+

Figure 38. Limiter circuit. (a) Schematic


(a) (b) circuit; (b) transfer characteristic.
506 ANALOG PROCESSING CIRCUITS

+E1 > 0

RA Vout
D1
E 2R C
e1
RD

Rin RB
vin −
Vout
Vin
+
RC

E 1R B

RA
D2
RD

−E2 < 0
(a) (b)

+E1 > 0

RA
RB

RA Rin RB
E1 − Vin −
e1
Vout Vout
+ +
RC

RD

−E2 < 0
(c) (d)

Figure 39. Improved comparator. (a) Schematic circuit; (b) transfer characteristic; (c) equivalent
circuit for state 1; (d) equivalent circuit for state 2.

A more accurate circuit is shown in Fig. 46. The dimen- and


sions of the hysteresis loop are given by R2 R6 E N
S− = (73d)
R E R1 R7
L+ = 2 P (73a)
R1 The hysteresis loop is shown in Fig. 47.
R E
L− = − 3 N (73b) LOGARITHMIC AMPLIFIERS
R4
R R E
S+ = 3 6 P (73c) Logarithmic amplifiers, simply called log amplifiers (8), are
R4 R7 extensively used to produce multipliers and signal compres-
ANALOG PROCESSING CIRCUITS 507

+E1 and

Vin
RA IC = I1 = (77)
D1 R1

then
Q1
kT Vin
RB V0 = − ln (78)
RF q RIS
Rin
Vin −
Vout Thus, we obtain an output voltage proportional to the loga-
+ rithm of the input voltage. The only constraint is that Vin ⬎ 0.
External compensation is usually needed (2) for frequency
RC stability. This compensation can be achieved with a capacitor
Q2
CC in parallel with the feedback element which in our case is
the transistor. Unfortunately, this solution lowers the gain as
the operating frequency increases. To compensate for this, we
D2 add a series resistor rC in series with the emitter, placing a
RD limit on the negative feedback resistance through the transis-
tor. This scheme is shown in Fig. 49. Values for CC and rC are
best determined empirically because parasitic effects play a
−E2 < 0
large role but they are usually in the range of 100 pF for CC
Figure 40. Transistor-based improved comparator. and 1 k⍀ for rC. Note that Eq. 78 for the log amplifier is tem-
perature-sensitive. Furthermore, IS is also temperature-de-
pendent. A solution for this problem is provided by the circuit
shown in Fig. 50. Resistor RTC has a positive temperature co-
sors. Figure 48 shows a basic log amplifier circuit. Note that efficient. It can be shown that
the transistor collector is connected to the virtual ground
node. Because the base is also grounded, the transistor volt-  R2
 kT Vin
age–current relationship is Vout = − 1 + ln (79)
RTC q VREF
−qV0 / kT
IC = aIS (e − 1) (74)

Where kT/q 앒 25 mV at 25⬚C. Equation 74 can be rewritten


as
kT
 I0

V0 = − ln +1 (75) +E1 > 0
q aIS
D3
Also, because 움 앒 1 RA
I0 D1
1 (76)
aIS
R
D4 RB
Vout Vin Rin
RC
− Slope −
β 2R 1 E 2R C Vout
RD
+
Rin RC

Vin D2
VREF RD

E 1R B

RC RB −E2 < 0
Slope −
β 1R 1
Figure 42. Scheme to reduce leakage currents and stray capaci-
Figure 41. Transfer characteristic for the comparator from Fig. 40. tance.
508 ANALOG PROCESSING CIRCUITS

Vin Vout

ER E R1

t t

E R2
(a)

Vin Vout

ER E R1

Figure 43. Noise immunity in comparator cir- t t


cuits. (a) Comparator without positive feedback;
E R2
(b) comparator with positive feedback. The noise
immunity is evident. (b)

Note that as T increases, the factor kT/q also increases, but Antilog Amplifiers
because RTC has a positive temperature coefficient, the first
A basic antilog amplifier (8) is shown in Fig. 51. Because
factor in Eq. 79 decreases. By properly matching temperature
coefficients and resistor values, negligible variation of Vout
 R2
 kT Vin
with temperature is achieved. Vout = − 1 + ln (80)
RTC q VREF

R1
Vout
Vin Clamp


R2 Vout
EH
VREF +

Vin
EW
RB
RA

VREF R1
R2
Figure 44. Comparator with added positive feedback to provide hys-
teresis and noise immunity. Figure 45. Hysteresis loop for the comparator in Fig. 43.
ANALOG PROCESSING CIRCUITS 509

+E1 Q1

D3
R1
D4 D1

Rin CC
Vin R6
Vin −
− R5 R2
A1 Vout
− Vout +
+
+ R1
Q2
R3

D2
R4 rC RTC
Rin CC

R7 −E2 A2
VREF
+

Figure 46. Improved circuit with hysteresis loop.


Figure 50. Improved temperature-insensitive logarithmic amplifier.
Includes compensation circuit.
Vout

L+ IC IR

Vin
Q1 RF

S− S+
Vout
Vin
+

L− Figure 51. Antilog amplifier.

Q1
Figure 47. Hysteresis loop for the circuit in Fig. 46.

Q1
rC

Rin
Vin − R1
CC
Vout −
Vout
+
+

Figure 48. Logarithmic amplifier. Q2


Rin
Vin
Q1
RTC

rC

Rin CC R1 CC
Vin − −
Vout
VREF
+ +

Figure 49. Logarithmic amplifier with compensation. Figure 52. Improved antilog amplifier.
510 ANALOG-TO-DIGITAL CONVERSION

Log k1 ln Vin1
Vin1
amp
k3(ln Vin1 + ln Vin2) Antilog k4 Vin1 × Vin2
+
amp

Vin2 Log
Figure 53. Multiplier circuit realized amp k2 ln Vin2
with log and antilog amplifiers.

For Vout, assuming 움 앒 1 and IC /움IS Ⰷ 1, we obtain 3. F. Dielacher, Design aspects for mixed analog-digital circuits, in
W. Sansen, J. H. Huijsing, and R. J. Van de Plassche, (eds.), Ana-
Vout = −RIS e −qVin /kT (81) log Circuit Design, Mixed A/D Circuit Design, Sensor Interface
Circuits and Communication Circuits, Dordrecht: Kluwer, 1994.
This is equivalent of saying that Vout is the antilog of Vin. This 4. L. P. Huelsman, Active and Passive Analog Filter Design, New
circuit has the same drawbacks as the log amplifier for stabil- York: McGraw-Hill, 1993.
ity and temperature dependence. A better circuit is shown in 5. S. Norsworthy, R. Schreier, and G. Temes, Delta-Sigma Convert-
Fig. 52 which includes the compensation circuit (2). ers, New York: IEEE Press, 1996.
It can be shown that 6. G. E. Tobey, J. G. Graeme, and L. P. Huelsman, Operational Am-
plifiers, New York: McGraw-Hill, 1971.
 
q RTC 7. J. Silva-Martı́nez, M. S. J. Steyaert, and W. C. Sansen, A large-
Vout = VREF exp −Vin (82)
kT R2 + RTC signal very low distortion transconductor for high-frequency con-
tinuous-time filters, IEEE J. Solid State Circuits, SC-27: 1843–
EXAMPLE 8. The most popular application of log and antilog 1853, 1992.
amplifiers is in the realization of multipliers. Figure 53 shows 8. J. M. Jacob, Applications and Design with Analog Integrated Cir-
a block diagram of such a multiplier. Each input is fed into a cuits, Reston, VA: Reston, 1982.
log amp. Then, the logs of the inputs are summed. Recall that 9. I. M. Faulkenberry, An Introduction to Operational Amplifiers
the sum of the logarithms is the logarithm of the product. with Linear IC Applications, New York: Wiley, 1992.
Finally, the antilog amp outputs this product. Then the out- 10. A. S. Sedra and P. O. Bracket, Filter Theory and Design: Active
put has the form and Passive, Portland, OR: Matrix, 1978.
11. W. J. Kerwin, L. P. Huelsman, and R. W. Newcomb, State-vari-
Vout = K · Vin1 · Vin2 (83) able synthesis for insensitive integrated circuit transfer func-
tions, IEEE J. Solid-State Circuits, SC-2: 87–92, 1967.
where K is a constant that depends upon the circuit parame- 12. L. C. Thomas, The Biquad: Part I-Some practical design consider-
ters. The only limitation in the circuit is that the input signal ations, IEEE Trans. Circuit Theory, CT-18: 350–357, 1971.
and reference voltage must have the same polarity for each 13. D. Johns and K. Martin, Analog Integrated Circuit Design, New
log amplifier. Thus, our multiplier is a one-quadrant multi- York: Wiley, 1997.
plier. 14. B. Nauta, Analog CMOS Filters for Very High Frequencies, Dor-
drecht: Kluwer, 1993.
Other useful applications of multipliers are frequency dou- 15. J. G. Graeme, Applications of Operational Amplifiers, Third Gen-
blers, amplitude modulation, phase detection, oscillators, and eration Techniques, New York: McGraw-Hill, 1973.
automatic gain control (9). 16. A. Barna and D. I. Porat, Operational Amplifiers, New York: Wi-
ley, 1989.
CONCLUDING REMARKS 17. S. Franco, Design with Operational Amplifiers and Analog Inte-
grated Circuits, New York: McGraw-Hill, 1998.
We have presented circuits for analog signal processing. The
circuits are all based on operational amplifiers. Although the DAVID BÁEZ-LÓPEZ
University of the Americas-Puebla
most commonly used op-amp is the conventional voltage mode
National Institute for Astrophysics,
op-amp, simply called op-amp, we have also included the op- Optics, and Electronics
erational transconductance amplifier (OTA) usually found in
high-frequency integrated circuits. The circuits presented are
those currently used in analog signal processing, and in many
cases they are off-the-shelf parts.

BIBLIOGRAPHY

1. C. Tomazou, J. B. Hughes, and N. C. Battersby, Switched-Cur-


rents, An Analogue Technique for Digital Technology, London: Per-
egrinus, 1993.
2. J. V. Wait, L. P. Huelsman, and G. A. Korn, Introduction to Oper-
ational Amplifier Theory and Applications, 2nd ed., New York:
McGraw-Hill, 1992.
510 ANALOG-TO-DIGITAL CONVERSION

ANALOG-TO-DIGITAL CONVERSION

Analog-to-digital (A/D) converters (ADCs) constitute the key


interface function between analog signals in the physical
world and digital signal processing systems. The importance
of integrated ADCs has grown enormously in recent years, in
line with the increasing importance of mixed analog-digital
VLSI systems. Indeed, with the powerful digital signal pro-
cessing engines available today the fidelity and accuracy of
digitally processed analog signals is fundamentally limited by

J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
ANALOG-TO-DIGITAL CONVERSION 511

b1 Quantization error
Vin b2 LSB
ADC . b3
.
.
bN
Vref 1 LSB
Vin
Figure 1. Symbol of an ADC.
LSB

Figure 3. Quantization error produced by an ADC when the input


the performance of ADCs rather than by any meaningful digi- signal is a ramp.
tal circuitry limitation. Moreover, given the continuing need
to achieve higher integration functionality at minimum cost
and with minimum energy consumption, the design of ADCs
is becoming increasingly tailor-made to specific applications
rather than, as not long ago, being considered as general pur- where Vref is the reference voltage of the converter and
pose components (1). As a result, a wide variety of ADC archi-

N
tectures and circuit design techniques has emerged in recent n= 2i−1 bi (2)
years, ranging from low to high conversion frequency as well i=1
as from high to low conversion resolution. The most relevant
of such conversion architectures and circuit design techniques represents the output digital code. The difference between
are described in this article. two consecutive quantization levels is usually designated the
least significant bit (LSB) of the converter. From Eq. (1) this
is given by
FUNDAMENTAL ASPECTS OF A/D CONVERTERS
Vref
Conversion Between Analog and Digital Samples LSB = (3)
2N
Ideal Conversion Characteristic. An ADC is usually repre-
sented by the symbol indicated in Fig. 1, where the number Quantization Noise. Even assuming that the conversion
of bits N of the output digital word indicates the conversion characteristic of an ADC is ideal, the quantization process
resolution. The conversion characteristic of an ADC is ob- produces a quantization error signal that is a function of the
tained by determining the transition voltages (VT)n of the in- conversion resolution. This is often called the quantization
put analog signal that produce a change of the output digital noise of the converter (2). Figure 3 illustrates the shape of
code. The time interval between two consecutive input sam- such a quantization error signal when the input signal is a
ples indicates the sampling period Ts. The conversion fre- ramp. Assuming that the maximum quantization error is uni-
quency is Fs ⫽ 1/Ts. formly distributed between ⫺LSB/2 and ⫹LSB/2, then it can
Figure 2 illustrates a portion of the ideal conversion char- be shown that the total quantization noise power can be ex-
acteristic of an ADC. The analog input transition voltages are pressed as
defined by
LSB2
V PQ = (4)
VT n = ref n (1) 12
2N
In most practical cases the quantization noise power is
uniformly distributed in the Nyquist frequency band from dc
to ⫹Fs /2, as depicted in Fig. 4, such that the corresponding
Digital output
power spectral density SQ2 ( f) is expressed as
 
111 LSB2 2
S2Q ( f ) = (5)
110 LSB 12 Fs

101

100

011 S Q( f )

010
LSB 2
001 12 Fs
000
0 VT1 VT 2 VT3 VT4 VT 5 VT6 VT7 Vref Vin 0 Fs /2 f

Figure 2. Ideal conversion characteristic of an ADC. Figure 4. Uniform power spectral density of the quantization noise.
512 ANALOG-TO-DIGITAL CONVERSION

For any given frequency band within the Nyquist band, that Digital output
is, from dc to f c ⬍ Fs /2, the total noise power is given by

  2   111
fc 1 - Offset error
LSB 1 LSB2 2 fc
√  df = (6) 110 2 - Full-scale error 2
0 12 Fs /2 12 Fs
101
Equation (6) indicates two possible solutions for reducing
100
the quantization noise of a converted signal at a given fre-
quency. One solution is to reduce the converter LSB by in- 011
creasing its resolution N, whereas the alternative solution
010
corresponds to having a conversion frequency much higher
than the signal being converted. This is called oversampling 001 1
and the factor of oversampling the signal would be defined by
000
0 VT1 VT 2 VT3 VT4 VT 5 VT6 VT7 Vref Vin
(Fs /2)
OSR = (7)
fc Figure 5. Illustrating offset, full scale and gain errors of an ADC.

Increasing the resolution of an ADC gives a reduction of the


noise power of approximately 6 dB per added bit of resolution.
ple, for the representation of ⫺7 we obtain first 0111 (corre-
As this would be guaranteed over the full Nyquist range, such
sponding to the positive number ⫹7), then we obtain 1000
type of converters are called Nyquist converters. In the so-
(corresponding to the complement of all bits of 0111) and fi-
called oversampling converters, a further reduction of the
nally we obtain code 1001 (adding 1 LSB to 1000). An impor-
quantization noise power is obtained by oversampling the sig-
tant advantage of the 2’s complement code is that the addition
nal with respect to the Nyquist frequency; it yields an addi-
of both positive and negative numbers is made by straightfor-
tional gain of approximately 3 dB per octave of oversampling.
ward addition and no extra computations are needed. For ex-
ample, 0111 (number ⫹7) added to 1001 (number ⫺7) gives
Dynamic Range of a Quantized Signal. The dynamic range
0000 (of course the carry bit is neglected).
of a quantized signal is commonly determined with respect to
a sinusoidal waveform between 0 and Vref , whose power is
2
Vref /8. Thus, from Eq. (4), the resulting signal-to-noise (SNR) ADC Limitations and Performance Parameters
ratio of the quantized signal will be given by Offset, Full Scale and Gain Errors. Figure 5 represents both
  the ideal and actual conversion characteristics of a 3-bit ADC
Pin 2
Vref /8 (3). The former is represented by the broken line that unites
SNR(dB) = 10 log = 10 log (8)
PQ LSB2 /12 the white dots corresponding to the ideal input transition
voltages between all digital codes in the absence of conversion
From Eq. (3) this results in errors, whereas the latter is represented by the full line that
unites all black dots corresponding to the actual analog tran-
 
3 2N sition voltages between all digital codes. On the lower ex-
SNR(dB) = 10 log 2 = (6.02N + 1.76) dB (9) treme of the conversion characteristic, the offset error corre-
2
sponding to 䊊 1 represents the difference between the actual

For example, the conversion of an analog sine waveform using and ideal input voltage that produces a transition of one LSB
a 10-bit ADC will lead to a digital sine waveform with an from the output digital code 0. On the upper extreme of the
SNR of approximately 61.8 dB. conversion characteristic, the full-scale error corresponding to
䊊2 represents the difference between the actual and ideal in-

Conversion Codes. When an ADC operates with only posi- put voltages that produce the transition of the output to the
tive (or negative) signals its output is normally a natural bi- full scale digital code. The gain error of the ADC corresponds
nary code. There are many cases, however, when the ADC to the difference between the ideal slope of the conversion
has to operate with both positive and negative signals, thus characteristic and its actual value.
resulting in the need to produce both positive and negative
output digital codes. Two of the most popular of such codes Differential and Integral Nonlinearity. The differential non-
are the sign magnitude code and the 2’s complement code. linearity (DNL) in the ADC expresses the deviation of the dif-
In the sign magnitude code, negative and positive digital ference between the actual input transition voltages Va(n)
numbers are represented by the same code except for the and Va(n ⫹ 1) that produce any two consecutive output digital
most significant bit (MSB): for positive codes MSB ⫽ 0, codes from the difference voltage corresponding to one LSB.
whereas for negative codes MSB ⫽ 1. For example, the sign- Such LSB must be determined through a linear characteristic
magnitude representation for 7 is 0111, whereas for ⫺7 it is with the same offset and full-scale errors. This gives a mea-
1111. sure of the linearity of operation for small incremental signals
In the 2’s complement code, positive codes are represented and can be expressed by
as natural binary codes with MSB ⫽ 0. The negative codes
are obtained from the equivalent positive number by first Va (n + 1) − Va (n)
DNL(n) = −1 (10)
complementing all the bits and then adding 1 LSB. For exam- LSB
ANALOG-TO-DIGITAL CONVERSION 513

for any digital code between n ⫽ 1 and n ⫽ 2N ⫺ 2. The nor- Ideally sampled signal
mal specification for the DNL of the ADC is ⬍ ⫾(1/2) LSB. It Sampling with jitter noise
should also be mentioned that when the DNL is larger than
1 LSB a phenomenon of nonmonotonicity occurs whereby the
output digital code decreases with an increase of the analog
input signal.
Ts
By contrast with the DNL, the integral nonlinearity (INL)
in the ADC gives a measure of the linearity of operation for
t
large signals, as it expresses the deviation between the actual
input transition voltages obtained for a given digital code and
the corresponding ideal transition voltages assuming a linear
characteristic with the same offset and full-scale errors. For
any digital code from n ⫽ 1 to n ⫽ 2N ⫺ 1, this can be defined
as (a)

Va (n) − Va (1)
INL(n) = − (n − 1) (11) n
LSB
(b)
and relates to the DNL through the equation Figure 7. Illustrating the effect of sampling jitter. (a) Sampled sine
waveform. (b) Jitter related error signal.

n−1
INL(n) = DNL(i) (12)
i=1 pling instants, as illustrated in Fig. 7(a). For a fixed conver-
sion frequency, it is easily seen that the resulting signal error,
The normal specification for the INL of an ADC is also ⬍ ⫾(1/ represented in Fig. 7(b), increases as the frequency of the in-
2) LSB. Figure 6 illustrates the preceding definitions of the put analog signal increases. It can be shown that in order to
DNL and INL of an ADC. obtain an error signal smaller than the LSB of the converter
the sampling jitter must be such that

1
Sampling Jitter. An important dynamic error that affects ts < (13)
the performance of an ADC, especially for high-frequency op- π2N+1 f
eration, is due to the timing uncertainty of the sampling in-
stants that produce the input analog samples for conversion where f is the frequency of the input signal. For example, for
(4). Because of this error, commonly called sampling jitter, the a 10-bit ADC at 1 MHz this corresponds to a maximum timing
actual sequence of analog samples is not equally spaced in uncertainty of 310 ps.
time but rather varies with respect to the nominal ideal sam-
Effective Number of Bits. A global parameter that summa-
rizes the performance behavior of an ADC is the effective
number of bits (ENOB) expressed as

SINADdB − 1.76
Digital output ENOB = (14)
6.02

111 where the parameter SINADdB (signal-to-noise-plus-distortion


110
ratio) represents the combined effect of quantization and har-
monic distortion due to the various sources of nonlinearity of
101 INL the converter. An example of the graphical evolution of the
100
ENOB is given in Fig. 8, for an ADC with Fs ⫽ 40 MHz con-
DNL
version frequency, showing that the effective number of bits
011 of the converter decreases as the signal-to-noise-plus-distor-
LSB tion ratio decreases for higher frequencies of input analog
010
signal.
LSB
001
0 Vref
000 NYQUIST ANALOG-TO-DIGITAL CONVERTERS
VT1 VT 2 VT3 VT4 VT 5 VT6 VT7 (ideal transitions) Vin
From the standpoint of the conversion frequency, we can clas-
VT1 VT 2 VT3 VT4 VT 5 VT6 VT7 (actual transitions) sify ADCs into three main groups. Serial converters are the
slowest of all because each bit of the output digital word is
Figure 6. Integral nonlinearity (INL) and differential nonlinearity usually determined in a number of clock cycles that rises pro-
(DNL) of an ADC. portionally to the equivalent bit weight. For example, for the
514 ANALOG-TO-DIGITAL CONVERSION

10.0 trated in Fig. 9(a), comprising an active-RC integrator with


Fs = 40 MHz multiplexed input, a comparator and a digital counter and
60
9.5 control logic. Figure 9(b) illustrates the time-domain wave-
Effective number of bits

forms typically produced in the converter during a complete


9.0 56 conversion cycle. At the beginning of the conversion cycle the

SINAD (dB)
capacitor around the feedback loop of the opamp is reset to
8.5
zero. Then, the integrator input is connected to the input sig-
52
nal terminal to generate the first integration ramp that is
carried out during a fixed time interval T1 controlled by the
8.0
48 digital counter. Thus, for a clock period of Ts the opamp out-
put voltage reached after the first integration ramp is
7.5
44
N1 Ts
7.0 Vx = (−Vin ) (15)
100 k 200 k 500 k 1M 2M 5M 10 M 20 M RC
Input signal frequency (Hz)
where N1 is the fixed number of clock cycles counted during
Figure 8. Evolution of the effective number of bits of an ADC as a
T1. Next, the input terminal is switched to the reference volt-
function of the frequency of the input signal.
age terminal and a second integration ramp is generated
whose slope is the negative of the first integration ramp. This
is carried out until the comparator detects that the output
voltage of the amplifier crosses zero and thereby stops the
LSB this may take only one clock cycle, but for the most sig- digital counter. The duration of this second integration ramp,
nificant bit this may take as long as 2N clock cycles. By con- T2 ⫽ N2Ts, varies as the voltage at the output of the amplifier
trast with their low speed, serial processing converters offer at the end of the first integration ramp is larger or smaller.
the highest resolution of all the converters described in this This is determined from
section. They are therefore particularly useful to interface
slowly varying signals for very high precision signal pro- N2 Ts
0 = Vx + V (16)
cessing as required, for example, in instrumentation and bio- RC ref
medical applications.
Following the serial converters in the speed scale is the
group of algorithmic ADCs. Here, conversion takes place in a
number of clock cycles that is typically proportional to the
conversion resolution. Hence, depending on the actual fre-
quency limitations of the constituting building blocks, conver- C
Vin
sion frequencies of a few megahertz can be achieved without
too much difficulty in modern complementary metal oxide R

semiconductor (CMOS) technology. Algorithmic ADCs consti-
– bN
tute a versatile group of converters that can meet a wide Vref
+ Vx Counter
range of specifications in terms of the conversion frequency + and logic b1
as well as resolution. A particular type of ADC based on the
successive approximation algorithm constitutes an industry
workhorse for a large variety of applications.
The fastest group of converters is based on parallel pro- (a)
cessing techniques that allow a full conversion period to be
performed in only one or, at most, a few (e.g., 2) clock cycles. Vx
One-clock cycle (flash) ADCs in modern CMOS technology can –Vin3
reach conversion frequencies above 100 MHz but their resolu- Phase (II)
tion is limited to no more than 8-bits. An increasingly popular Phase (I)
(Constant slope)
group of parallel ADCs is based on pipeline architectures that
can perform a full conversion period in only two clock cycles, –Vin2
although there is an initial latency period that depends on
the total number of stages of the pipe. Pipeline converters
–Vin1
with optimized silicon and power dissipation are in great de-
mand for video processing applications for the consumer Time
Constant T1
market.
Varying T2 for
three inputs
Serial Processing ADCs (b)
Double-Ramp Integration. One of the best known architec- Figure 9. Serial processing ADC with double-ramp integration. (a)
tures for serial processing analog-digital conversion is illus- Typical circuit realization. (b) Waveforms.
ANALOG-TO-DIGITAL CONVERSION 515

Reset where ⫺Vref (Ci /Cf ) ⱕ Vx ⱕ 0 and n is the output of the counter
(digital integrator). This implies
Vin Reset
+ Vout  
– Vin
– Control n = 2N + (19)
logic Vref
+
CKc U/D
b
where the residual error is 0 ⱕ ⑀ ⱕ 1. Thus, n is an N-bit
b+1
(–1) digital representation of the sampled input voltage, with a
Counter quantization error ⑀ ⱕ 1 LSB.
As in the double-ramp integrator, the conversion charac-
Vref teristic expressed by Eq. (19) is independent of the capaci-
Data out (N ) tance ratio and, hence, resolutions of the order of 16-bits and
Figure 10. Conceptual block diagram of an incremental ADC. above can also be achieved without too much difficulty. Of
course this will be possible only for very low frequency of con-
version due to the serial processing nature of the converter.
and which, combined with Eq. (15), yields
Algorithmic A/D Converters
N2
Vin = V (17) Next in the scale of conversion frequency is the group of algo-
N1 ref
rithmic ADCs where the number of clock cycles needed for
Hence, the variable time interval of the second integration conversion is directly, rather than exponentially, proportional
ramp (expressed in terms of the counted number of clock cy- to the conversion resolution. Two types of algorithmic ADCs
cles) is a measure of the value of the analog input signal inte- will be described. The first is based on the classical successive
grated during the first integration ramp. approximation algorithm and constitutes the industry work-
Because the conversion function Eq. (17) is independent of horse for a large variety of applications. The second is based
the passive circuit elements it allows very high conversion on a cyclic divide-by-two and subtract algorithm whose circuit
resolutions to be achieved, although at rather low conversion implementations can be made more compact than in the case
frequencies. Such high-resolution and low-speed conversion of the successive approximation ADC.
characteristics render double-ramp ADCs particularly suit-
able for applications in telemetry, instrumentation, and mea-
surements.
Vin S1
SR
Incremental Converters. Another type of serial processing
ADC is based on the so-called incremental converter (5,6). Its S2 Ci S5
Vref Cf Latched
conceptual block diagram is illustrated in Fig. 10 where, as – comparator
before, the processing core of the converter is formed by an –
S3
integrator and a comparator. The control logic and digital GND S4 + Vx
counter provide the additional digital processing functions re- + To
quired for conversion. The main difference with respect to the control
logic
previous double-ramp converter lies in the fact that the inte-
gration variable is now formed by the difference between the (a)
sampled input signal and an analog signal determined as a
function of the output voltage of the comparator.
A switched-capacitor implementation of the processing Vx
core of an incremental ADC is shown in Fig. 11(a). It is as-
sumed that during a full conversion cycle the sampled input
signal of the converter is held constant. For simplicity of the 0
t
following discussions it is further assumed that the input
sampled and held signal is positive. At the beginning of the
conversion cycle, the integrating capacitor is reset by closing
switch SR. In each subsequent conversion step switches S1,
S3, S4, and S5 are controlled in such a way as to produce an
incremental variation of ⌬Vx ⫽ ⫺(Ci /Cf )Vin at the output volt-
age of the opamp. Then, whenever Vx reaches zero, a fraction
of the reference voltage, actually (Ci /Cf )Vref , is subtracted from –VRef Ci/C2
the output using switches S2, S3, S4 and S5, and the digital N
1 2 3 4 5
counter is advanced by 1. The typical waveform observed at
the output of the opamp is depicted in Fig. 11(b). At the end 2n integration cycles
of 2N conversion steps the output voltage becomes
(b)
C C
Vx = 2 Vin i − n × Vref i
N
(18) Figure 11. Switched-capacitor incremental ADC. (a) Circuit diagram
Cf Cf and (b) Typical output waveform.
516 ANALOG-TO-DIGITAL CONVERSION

Vref
1111

approximation
111x

Successive
1110

register
11xx
1101 D/A
110x
1100
1xxx –
1011
101x +
1010
10xx
1001 Comparator
100x Vin S/H
1000
xxxx
0111 Figure 13. Block diagram of a successive approximation ADC.
011x
0110
01xx
0101
010x employing digital-to-analog converters with capacitive divi-
0100
sion (1). The latter is one of the most popular forms of imple-
0xxx
0011 mentation, especially for CMOS technology, as it uses a ca-
001x pacitor array that can also provide the additional functions of
0010
00xx sample-and-hold and subtraction needed in the conversion al-
0001
000x gorithm.
0000 Figure 14 illustrates the implementation of a successive
approximation ADC using an array of switched binary-
weighted capacitors. During the execution of one conversion
(MSB) (LSB)
cycle the circuit is sequentially reconfigured as illustrated in
Figure 12. Illustrating the successive approximation algorithm for Fig. 15. First, as seen in Fig. 15(a), the opamp is connected in
analog-to-digital conversion. a unity-gain feedback configuration while the capacitors are
connected to the input terminal. Because of the virtual
ground created at the negative terminal of the opamp the in-
Successive Approximation ADC. The successive approxima- put voltage signal is sampled onto the top plate of the capaci-
tion ADC is based on the algorithm schematically represented tors. In the next phase, shown in Fig. 15(b), the most signifi-
in Fig. 12, for an example of 4-bits conversion. The equivalent cant capacitor is connected to the reference voltage and, as a
analog weights of the digital bits are Vref /2, for bit b4 (the result, a process of charge redistribution between this and the
MSB), Vref /4 for bit b3, Vref /8 for bit b2, and finally Vref /16 for remaining capacitors takes place, yielding a new voltage ex-
the LSB (bit b1). The execution of the algorithm is done from pressed by
the MSB to the LSB during a number of clock cycles equal to
the resolution of conversion. At the beginning of the conver- Vref
Vx = −Vin + (20)
sion, the input signal is compared with the analog weight of 2
the MSB and the result is either b4 ⫽ 1 or b4 ⫽ 0, depending
on whether the signal is above or below Vref /2. If the result is at the negative terminal of the open loop opamp. If this is
‘1,’ then the analog weight of the MSB is subtracted from the negative, then the output voltage indicates the equivalent
input signal; otherwise the input signal remains unchanged. logic value ‘1’; otherwise it indicates the equivalent logic value
In the next phase, the available analog signal is compared ‘0.’ In the former case, the MSB is set to ‘1’ and the corre-
with the analog weight of bit b3 and the result is either b3 ⫽ sponding branch remains connected to the reference voltage.
1 or b3 ⫽ 0, depending on whether the signal is above or be-
low Vref /4. If the result is ‘1,’ then the analog weight of bit b3
is subtracted from the analog signal; otherwise the signal re-
mains unchanged. Similar operations are carried-out in two 1
more steps until the LSB is resolved. 2N– 1C 2N– 2C 2C C C Vx

Figure 13 represents a possible conceptual block diagram Vo
for the implementation of the forementioned successive ap- +
..
..
.

proximation algorithm. The input sample-and-hold block pro- Vin


vides the analog sampled signal for conversion. The digital- 1
..
..
.

2
to-analog converter generates the equivalent analog values of 1
the digital words containing the bits that are sequentially re- 2
..
..
.

solved, from the MSB to the LSB. The comparator acts as


the decision element that indicates to the digital successive bN bN–1 b2 b1
Vref
approximation register how the input analog signal is being
approximated by the reconstructed analog values. Successive approximation register
There are various possible circuit solutions for the imple-
mentation of the block diagram in Fig. 13, some employing Figure 14. Switched-capacitor implementation of a successive ap-
digital-to-analog converters with resistive division and some proximation ADC.
ANALOG-TO-DIGITAL CONVERSION 517

2N– 1C 2N– 2C 2C C C Vx Vin



1 Vx
..
...
S/H 2X +
+ 2
Vin bi

Vref
(a)
+

2N– 1C 2N– 2C 2C C C Vx

bN
..
...

+ Figure 16. Conceptual block diagram of a multiply-by-two cyclic


Vref ADC.

(b)
to meet a wide range of conversion specifications, from a few
kilohertz to the megahertz range.
2N–1C 2N– 2C 2C C C Vx

Cyclic ADC. Another type of algorithmic ADC is illustrated
...
..

+ in the conceptual diagram shown in Fig. 16, comprising an


Vref input sample-and-hold, a two-fold gain amplifier, a compara-
bN = 1 bN–1 = 1 b2 = 0 b1 = 0
tor, and an adder (7). In the sampling phase, the input multi-
plexer is initially connected to the input terminal. Next, the
(c) input signal is multiplied by two and compared with Vref to
Figure 15. Sequence of configurations of the SC successive approxi- resolve the most significant bit. Depending on the result, a
mation ADC during one cycle of the conversion algorithm. residual signal will be fedback to the input of the circuit for
further processing (thus the designation of cyclic conversion).
If Vin ⬎ Vref , then MSB ⫽ 1 and the generated residual signal
will be (2Vin ⫺ Vref ). If, on the contrary, Vin ⬍ Vref , then
In the latter case, the MSB is set to ‘0’ and the corresponding MSB ⫽ 0 and the residual signal will be simply the signal
branch is connected back to ground. In this case, there will be 2Vin. The residual signal will be again multiplied by two and
a further charge redistribution in the array such that the compared with Vref to resolve the second most significant bit
original input voltage appears again at the negative terminal and again generate a new residue. This cycle repeats as many
of the opamp. After all bits have been tested by similar se- times as the number of bits to be resolved and, hence, the
quences of charge redistribution and comparison, the connec- conversion time is directly proportional to the resolution of
tion of the capacitors either to ground or to the reference volt- the converter.
age will reflect the final configuration of the converted output A possible SC implementation of a cyclic ADC is shown in
digital word, as seen in Fig. 15(c). Fig. 17. The first opamp samples the input signal in the first
In the preceding SC successive approximation ADC the ac- conversion cycle, and the residue signal in the remaining con-
curacy of conversion is limited both by capacitance mismatch version cycles. The second opamp performs the combined
errors and by the input referred offset voltage of the opamp. functions of amplification by two of the recycling residue and
Capacitance matching accuracy can be maximized by adopt- addition. The 1-bit digital-to-analog conversion function is
ing careful layout designs of the capacitor arrays properly performed by the switched capacitor that can be connected
sized, whereas the input-referred offset of the opamp can be either to Vref or ground. The number of components and capac-
virtually eliminated by means of auto-zero techniques. Thus, itance spread is independent of the conversion resolution and,
untrimmed conversion resolutions of up to 9 to 10 bits can be
comfortably achieved by SC successive approximation ADCs.
For higher resolutions it is mandatory to employ calibration
techniques which can extend the inherent matching accuracy
of integrated capacitor-arrays well above the inherent tech- Vin
nology-dependent 10-bit level. C C
Regarding the speed of conversion, there are two main lim- – 2C C
itations imposed on the operation of the SC successive ap- – Vx
proximation ADC in Fig. 14. One is due to the speed of the + –
comparator, whereas the other results from the RC time con- + bi
stants associated with each SC branch because of the equiva- +
lent on-resistance of the switches. In most cases such time C
constants can be properly controlled by sizing accordingly
both the transistor aspect ratios and the input capacitors and
hence the speed of conversion becomes limited essentially by Vref
the speed of the comparator. This allows the design of SC
successive approximation ADCs in modern CMOS technology Figure 17. Switched-capacitor realization of a cyclic ADC.
518 ANALOG-TO-DIGITAL CONVERSION

Vin put of the comparators is encoded into an N-bit two’s-comple-


ment binary code and then latched.
VT One circuit solution that is particularly suitable for inte-
2N S/H φ
grated circuit implementation in CMOS technology is shown
in Fig. 19. Here, the multilevel reference voltage generator is
VT
formed by a resistor-string with direct connection of the out-
Multilevel reference voltage generator

2N–1 – put voltage taps to the inputs of a bank of latched compara-

Thermometer to binary encoder


D Q
tors. Each of the latched comparators in the converter em-
+ ploys input offset voltage compensation by auto-zero. Slight
. bN
. modifications of this architecture can also be considered if a
VT
i – . specialized sample-and-hold circuit is employed, rather than
the distributed scheme already mentioned here.
D Q
+ With only one clock cycle per conversion period, the flash
. ADC gives the fastest possible time of conversion. However,
VT . b3
1 – . because of the very large number of resistances and especially
b2 comparators needed (proportional to 2N), this type of convert-
+ D Q ers usually occupies a very large area for implementation and
b1
burns a significant amount of power. In practice, they are
therefore limited to conversion resolutions of no more than 8-
bits. In order to overcome such limitations and still achieve
high speed of conversion, two alternative types of parallel pro-
VT cessing ADCs are described next, namely the subranging and
0 the two-step flash converters.
Figure 18. Typical block diagram of a flash ADC.
Subranging ADC. The conceptual block diagram of the sub-
ranging ADC is illustrated in Fig. 20 and which comprises,
besides the input sample-and-hold, two flash-like converters,
hence, the converter can be very compact even for medium to
one with N1-bits and the other with N2-bits, connected by a
high resolution.
digital-to-analog conversion block (10). The resulting digital
codes of both flash converters are combined to form the con-
Parallel Processing ADCs (8)
verted output digital word of the converter. After input signal
Flash ADC. The block diagram of a flash ADC is repre- sampling, the operation of the subranging converter is per-
sented in Fig. 18 (9). It comprises an input sample-and-hold formed in two phases, namely a coarse conversion phase
circuit, a multilevel reference voltage generator with output where typically the N1 most significant bits are determined,
taps directly connected to the inputs of a bank of comparators and a fine conversion phase where the remaining N2 least sig-
and, finally, some digital circuits for latching and encoding nificant bits are obtained.
the output voltages of the comparators. The input voltage is The behavior of the subranging converter in both the
sampled and held and then compared simultaneously with coarse and fine conversion phases is identical to the behavior
2N ⫺ 1 voltages (for a resolution of N-bit) of the reference of the flash, although the set of voltages to which the input
voltage generator. The resulting thermometer code at the out- voltage is compared is different from one phase to the other,

Vref + Vin

R φ1 φ1 φ1 φ1
Thermometer to binary encoder

..
.
voltage taps

φ2
.. φ 2
.. ..
.. .. bN
R . . .
φ1 φ1 φ1 φ1

..
N –1

.
.. φ 2 φ2
. b3
2

.. ..
R .. .. b2
φ1 . φ1 . φ1 φ1
b1

R
φ2 φ2
Figure 19. The CMOS implementation of
a flash ADC.
Vref –
ANALOG-TO-DIGITAL CONVERSION 519

Vin V

Sample and
Sample and hold
hold
res ra
+ G
_
VT VT
2N 2N
VT
2N
G= 2 N1

N1-bits flash quantizer

N2-bits flash quantizer


N1-bits flash quantizer

N2-bits flash quantizer


VT N1-bits N2-bits
(i + 1) 2 N2

D/A converter
N1-bits N2-bits
D/A converter

VT
(i) 2 N2

VT VT
0 0
VT
0 bN bN bN bN b2 b1
.
.
.

.
.
.
2+2 2 +1 2
bN bN bN bN b2 b1
.
.
.

.
.
.

2+2 2 +1 2
Figure 22. Conceptual block diagram of a two-step flash ADC.
Figure 20. Conceptual block diagram of a subranging ADC.

as seen in Fig. 21. In the coarse conversion phase the input ADCs offer an attractive solution for the integrated imple-
voltage is compared with a set of 2N/2 ⫺ 1 voltages spanning mentation of high-speed ADCs with 8-bits resolution and
the whole input signal range, and the resulting MSBs indi- above.
cate the specific conversion interval that encompasses the
sampled input voltage. Then, in the fine conversion phase the Two-Step Flash ADC. The conceptual block diagram of a
selected conversion interval is segmented into a further two-step flash ADC is shown in Fig. 22, comprising two flash
2N/2 ⫺ 1 voltages in order to determine the remaining LSBs. quantizers, one with N1-bits and the other with N2-bits, a digi-
In subranging ADCs the required number of comparators tal-to-analog converter (DAC) and also a gain block that am-
and resistors is only proportional to 2N/2 rather than to 2N as plifies the difference between the input analog signal and the
in the case of the pure flash ADC. Therefore subranging partially reconstructed analog signal obtained at the output
of the DAC (11,12). The conversion cycle is divided into a sam-
pling phase, a coarse conversion phase, and a phase for the
1st Step 2nd Step amplification of the residue and its fine conversion. After
MSBs LSBs sampling, the input voltage is applied to the input of the N1-
VT bits coarse quantizer to determine the MSBs that are latched
16
into a digital register. Afterwards, the converted digital code
11 is reconstructed back to an analog voltage by means of the
DAC. This voltage is then subtracted from the input voltage
VT VT signal to form the residue signal of the converter. This, in
12 12 11
VT turn, is amplified by the gain block G ⫽ 2N1 and then applied
x x 11 10
10 VT to the N2-bits fine quantizer to determine the LSBs of the
10 01
VT converter. The final output digital code is obtained by combin-
9 00
VT VT ing the MSBs of the first quantization phase together with
18 8
the LSBs of the second quantization. The accuracy of the am-
01 plified residue signal generated internally in the two-step
flash ADC is a key factor to determine the overall linearity of
VT the converter. Under ideal circuit conditions it evolves be-
4
tween two consecutive digital codes as illustrated in Fig. 23,
00
where the slope of the characteristic is defined by the ampli-
fication factor of the gain block.
VT A circuit implementation of the two-step flash ADC that is
0
particularly suitable for integration in CMOS technology is
Figure 21. Illustrating the two quantization phases in a subrang- shown in Fig. 24. The multiplying DAC uses an array of
ing ADC. switched capacitors and an opamp, whereas the flash quan-
520 ANALOG-TO-DIGITAL CONVERSION

pled voltage. A residue amplified by 2N/2 is then generated at


the output of the amplifier. This is again applied to the flash
+1/2 to determine the remaining N/2 LSBs.
Residue (in LSB of the
1st conversion)

Digital Error Correction. Because of unavoidable circuit im-


0 pairments associated with practical integrated circuit realiza-
tions, the quantization window determined by the first flash
quantizer in both the subranging and two-step flash ADCs is
determined with a maximum error of ⫾1/2 LSB of its nominal
resolution, rather than with an ideal 0 LSB error. When this
–1/2 error is passed on to the second quantization phase it may
overflow the quantization range of the flash and thus produce
Vin errors in the overall conversion characteristic.
VT VT VT VT
0 2 N2 (2N1 – 1) 2N2 2N To correct for such errors the flash quantizer may be aug-
Figure 23. Amplified residue signal internally generated in the two- mented beyond its nominal resolution, for example by ⫹1/2
step flash converter under ideal circuit components characteristics. LSB on the upper boundary of the quantization window and
by ⫺1/2 LSB on the lower boundary of the quantization win-
dow, such that the additional resolved bit can be subse-
tizer is identical to the one presented in Fig. 19 because it quently used for digital code correction. This technique is il-
first samples the voltages generated by the resistor-string lustrated in Fig. 25. The quantization zone A corresponds to
into the input capacitors (Ci), and then compares them with the original comparators in the center of the flash quantizer,
the input voltage. It is possible to realize both the coarse and zone B corresponds to the additional lower comparators and
fine conversions by the same flash. The operation of this par- zone C corresponds to the additional upper comparators.
ticular configuration is as follows. The input voltage is sam- When the MSB flash quantizer determines correctly the
pled in the top plates of the capacitor array, while the input quantization zone A, as in Fig. 25(a), the output digital code
capacitors of the flash sample the corresponding voltages gen- is obtained directly from the outputs of the comparators.
erated in the resistor-string. In the next phase, the whole When the flash quantizer resolves instead a code within zone
array is connected as the feedback of the opamp, thus holding B, as shown in Fig. 25(b), the output digital code of the previ-
at its output the sampled voltage. This output is next applied ous quantization will be corrected by ⫺1. Finally, when the
to the input of the flash to determine the N/2 MSBs. In the flash quantizer resolves a code within zone C, as illustrated
next phase, the resolved bits are applied to the DAC to sub- in Fig. 25(c), the output digital code of the previous quantiza-
tract the reconstructed analog voltage from the original sam- tion will be corrected by ⫹1.

Vref + Vin φ1 Vref + Vin Vref + φ2

φ1 φ2 φ1 C N1–1 φ2 φ1
R′ φ1 R′′
φ2 – 1 φ2 φ1 –
D Q CF φ2 .. D Q
.. .. + .. 0 .. .. + ..
. . .. .. . . . ..
.. . . .. .. .
. .. ..
φ1 φ2 .. .. φ1 . φ1 φ2 φ1 ..
R′ .. .. . C2 R′′ .. .
. . .
.. – φ2 – Vref – .. –
. φ2 1 . φ1
+ D Q + + D Q
0

φ1 φ2 φ1 C1 φ2 φ1
R′ R′′
φ2 – 1 φ2 φ1 –
+ D Q + D Q
0
φ1 C0
R′ 2 N1–1 R′′ 2 N2 –1
rows φ2 rows

Vref – Vref –
Vref –
Thermometer to Thermometer to
binary encoder binary encoder
bN b N2 + 1 b N2 b1

Figure 24. The CMOS implementation of a two-step flash ADC.


;
ANALOG-TO-DIGITAL CONVERSION 521

MSBs FLG LBSs Digital error correction Pipeline A/D Converters


. .

;
. . Architecture and Operation. Pipelined ADCs constitute a
. .
1011 type of parallel processing converters that have gained popu-
1 0111
larity in a variety of high-speed conversion applications due
C to the cost/benefit they can achieve over the subranging and
two-step flash converters (13,14). The conceptual block dia-

;
1 0000
0 1111 gram of a pipeline ADC is shown in Fig. 26(a), for an example
MSBs LBSs FLG with five pipelined stages, and the associated timing diagram
Vin Vin is given in Fig. 26(b). During the first phase of the first clock
1010 0 1000 A 1010 1000 0 = no error
cycle, the input stage samples the input signal and executes

;
the first quantization. Then, in the second phase the residue
is generated, amplified, and transmitted to the second stage
0 0000 of the pipeline for further processing. In subsequent clock cy-
1 1111 1010 1000 final result cles similar operations of sampling, quantization, and residue
B generation are again carried out in the first stage. Mean-

;
while, in the second stage, these operations are performed in
1001 1 1000 opposite phases. Input sampling and quantization are per-
. .
. . formed when the first stage is amplifying the residue,
. .
(a) whereas residue amplification and transmission to the next

;
stage are performed when the first stage is receiving a new
MSBs
. .
FLG LBSs Digital error correction input sample. In parallel with this form of horizontal propa-
. . gation of the signal (actually, the signal residues), the digital
. .
words quantized in each stage and in each clock cycle are
1101
1 0111 propagated vertically through digital registers, as seen in Fig.
C 26(a), such that at the end of five clock cycles they are all
available at the output of the converter to produce the con-
1 0000 verted digital word. Then, after this latency period, which is
0 1111
MSBs LBSs FLG needed to fill all the vertical digital registers, there will be

;
one converted digital word every clock cycle.
1011 A 1011 1100 1 = error The maximum resolution achieved with such an architec-
ture is limited mainly by thermal noise, the nonlinearity of
– 1 the MDACs produced by capacitor mismatches and also by
the residue amplification error that is due to the amplifier

;
0 0000 nonidealities. The error from the flash quantizer can be digi-
1 1111 1010 1100 final result
Vin Vin B tally corrected if kept within ⫾1/2 LSB of the nominal resolu-
1 1100 tion of the quantizer and redundancy is used for digital er-
1010 1 1000 ror correction.
. .

;
. .
. . Time-Interleaved Converters
(b)
An extended concept for parallel processing conversion is
MSBs FLG LBSs Digital error correction based on the use of the type of time-interleaved architectures
. .
. .

;
. . illustrated in Fig. 27(a) (15,16). These are formed by M paral-
1010 leled channels whose operation is multiplexed in time, as il-
1 0111
lustrated in the timing diagram depicted in Fig. 27(b). Each
C channel in a time-interleaved converter can be formed by any
Vin Vin 1 0010 of the types of ADCs previously described. At any given clock

;
1 0000
0 1111 cycle, the input and output multiplexers connect only one
MSBs LBSs FLG ADC channel between the input and output terminals, so that
one converted digital word is delivered at the output and a
1001 A 1001 0011 1 = error new sample of the input signal is taken for conversion. In the

;
next clock cycles the same operation is sequentially repeated
+ 1
with the remaining ADC channels while the first channel car-
ries out the signal conversion; everything should be completed
0 0000
1 1111 1010 0011 final result only after the M channels have been served by the multi-
B plexers. Thus, for a given conversion frequency Fs of the ADCs
the time-interleaved operation allows to achieve an overall
1000
. .
1 1000 conversion frequency of MFs.
. . A variation of the basic time-interleaved ADC architecture
. .
(c) based on a quadrature-mirror filter (QMF) bank is indicated
in Fig. 28 (17). At the input there is an analysis filter bank,
Figure 25. Illustrating the digital error correction technique in an typically realized in switched-capacitor form, whereas the
ADC. output filter bank is a digital network. In this approach the
522 ANALOG-TO-DIGITAL CONVERSION

In
S/H MDAC MDAC MDAC MDAC

FLASH FLASH FLASH FLASH FLASH


3b 3b 3b 3b 4b

Clk
12b Out

Digital error correction logic

(a)

1 2 5 1
Cycle

Stage 1 Sampling and Residue Sampling and Residue Sampling and Residue Sampling and Residue
quantization amplification quantization amplification quantization amplification quantization amplification

Stage 2 Sampling and Residue Sampling and Residue Sampling and Residue Sampling and
quantization amplification quantization amplification quantization amplification (5) quantization
. . . . . . . . .
. . . . . . . . .
. . . . . . . . .

Stage 5 Sampling and Sampling and


quantization quantization

Digital q[x(2)] q[x(2)]


output

5 cycles latency
(b)

Figure 26. (a) Conceptual block diagram of a five-stage pipelined ADC. (b) Illustration of the
timing of the pipe operation and latency of the converter.

input signal is first decomposed into a number of contiguous successive approximation subconverters are used, then sub-
frequency bands (subbands) so that a specific ADC (subcon- stantial savings in die area can be obtained when compared
verter) can be assigned to each subband signal. Due to the to flash converters.
synthesis filters the linearity performance due to mismatches
among the subconverters is substantially reduced. Similarly,
the jitter problem that arises in the basic time-interleaved OVERSAMPLING CONVERTERS
converter due to uneven sample timing, especially for high-
frequency input signals, is also reduced by the filtering and The common characteristic of all the converters already de-
downconversion stage. Besides, this type of QMF-based con- scribed herein concerns the uniform power spectral density of
verter also incorporates the advantages of subband coding the quantization noise in the Nyquist band, that is, the fre-
such that by appropriately specifying the resolution of the quency range from dc to half the conversion frequency. Hence,
subconverters throughout the respective subbands the quan- their designation of Nyquist converters. In order to achieve
tization noise can be separately controlled in each band, and high SNR, Nyquist converters must have a correspondingly
the shape of the reconstruction error spectrum can be con- high conversion resolution, which, in turn, requires very high
trolled as a function of the frequency. matching accuracy from the constituting elements responsible
Theoretically, the overall resolution of a QMF-based ADC for the scaling operations in the converter. This can be
depends solely on the resolution of the subconverters used. If achieved without too much difficulty for conversion resolu-
ANALOG-TO-DIGITAL CONVERSION 523

A/D 1 N @ Fs
in out
@ Fs

A/D 2 N @ Fs
in out
@ Fs

V . . .
N
. . .
. . .
@ MFs @ MFs
A/D M – 1
in out
@ Fs N @ Fs

A/D M N @ Fs
in out
@ Fs

(a)

n MT (n+1)MT t

A/D 1 V(nM) V [(n+1)M ]

n MT+T t

A/D 2 V(nM+1)

. . .
. . .
. . .
n MT+(M–2)T t

A/D M–1 V(nM+M–2)

n MT+(M–1)T t

A/D M V(n M+ M–1)

Ts
Figure 27. (a) Conceptual block diagram
T = Ts / M of a time-interleaved ADC and (b) illus-
(b) tration of its time multiplexed operation.

tions up to about 10-bits. For conversion resolutions above 10- quire sampling frequencies too high to be of much practical
bits self-calibration techniques should be employed to extend use. Subsequent developments introduced the methods of
the matching accuracy of the elements above the inherent ac- negative feedback, noise shaping and higher-order modula-
curacy provided by the technology. tors (18). These improvements allowed the practical imple-
Because of the increased difficulty of designing self-cali- mentation of very high resolution converters at the expense
brated converters alternative techniques have been sought to of an increase in complexity of the digital filters that are
achieve equivalent high-resolution conversion within the lim- needed to extract the baseband signal from the high speed
its of matching accuracy that can be achieved with a given bit-stream produced by the modulator (19). Because these can
technology. Such conversion techniques are based on shaping be implemented rather efficiently by modern CMOS technol-
the quantization noise of an oversampled signal, that is, ogy, oversampling converters have been making inroads in
where its sampling frequency is much higher than the Ny- mixed-signal analog-digital integrated circuits for high per-
quist frequency, such that the resulting power spectral den- formance applications. The sections that follow describe the
sity is significantly reduced within the frequency band of in- basic concepts and most relevant implementation techniques
terest and increases outside such a band. Hence, the resulting of oversampling ADCs.
converters are commonly known as oversampling converters.
The notion of using such artificially high sampling rates
Quantization Noise with Oversampling and Shaping
and simple single-bit quantization to represent analog signals
has been of interest ever since the introduction of delta modu- To reduce the in-band noise power of an oversampled quan-
lation. However, the oversampling technique alone would re- tized signal beyond the value obtained for the uniform noise
524 ANALOG-TO-DIGITAL CONVERSION

Analysis Down- Up- Synthesis


filter bank samplers samplers filter bank

H0 (z) N ADC N F0 (z)

H1(z) N ADC N F1(z) +

Analog Digital
H2(z) N ADC N F2(z) +
input .. output
.
. . . ..
. . . .
. . .

HN–1(z) N ADC N FN–1(z)

Figure 28. Block diagram of a time-inter-


leaved ADC based on a quadrature-mirror
filter (QMF) bank. Analog Digital

distribution, a specific noise shaping function should be per- from the incoming signal at the input of the modulator. Be-
formed, as illustrated in Fig. 29. A portion of the quantization cause of its simplicity and use of 1-bit converters the system
noise is now pushed into higher frequencies, thereby improv- becomes very robust and precise. In particular, the implemen-
ing the equivalent bit-resolution in the signal bandwidth of tation of the 1-bit DAC renders such a structure inherently
interest while keeping the oversampling ratio constant. Such linear, possibly yielding only offset and gain errors. Thus, the
shaping effect can be obtained by means of the circuit shown ⌺⌬ modulator offers the potential for high resolution conver-
in Fig. 30 for an example in which an integrator is used to sion without the need for accurate components.
produce a first-order noise shaping. Its operation can be intu-
itively understood from the theory of closed loop systems. Be- Analysis of First- and Second-Order ⌺⌬ Modulators
cause in the baseband the integrator has a very large gain,
the overall transfer characteristic is determined by the feed- Due to the highly nonlinear element in the loop, the exact
back branch and any nonlinearity in the feed-forward branch analysis of a ⌺⌬ modulator is not simple to do and any form
is attenuated by the large loop gain. The feedback branch con- of analytical solution is too complex to be of much practical
sists of a digital-to-analog converter and the overall resolu- use. Therefore, a simplified linearized model of the modulator
tion will be determined solely by the linearity of this com- is used in which the comparator is replaced by an additive
ponent. noise source. Although this approximation allows prediction
An interesting case is when only two quantization levels of some important aspects of the modulator behavior, such as
are used, that is, one-bit resolution. This is called a single-bit noise level and spectrum, it must be nevertheless carefully
first-order sigma-delta (⌺⌬) modulator and is represented by interpreted because it assumes a set of conditions not thor-
the circuit diagram shown in Fig. 31. It comprises an inte- oughly satisfied in most applications.
grator for the filter function, a comparator with latch for the
1-bit quantizing function, and a switch to either ⫺Vref or First-Order ⌺⌬ Modulator
⫹Vref that realizes the 1-bit digital-to-analog conversion pro- Linearized Model. Referring to the circuit in Fig. 31, the
viding the reconstruction of signal prior to the subtraction corresponding linearized model of the modulator is repre-
sented in Fig. 32, where the integrator is modeled by a dis-
crete-time function and the quantizer is modeled as a unity
gain block with an additive noise source VQ. It can be readily
obtained that the transfer function for the input signal is
Quantization noise given by

Fs
Baseband
+
Input + Integrator A/D Output

Quantization noise shaped

Fs D/A
Baseband

Figure 29. Effect of shaping the quantization noise. Figure 30. Oversampling and noise-shaping modulator.
ANALOG-TO-DIGITAL CONVERSION 525

Vout (z) 1
S(z) = = z−1 (21)
Vin (z) 1– z –1
Input Output
whereas the transfer function relative to the quantization + + Delay +

noise source is given by
Delay VQ
V (z)

Q(z) = out = 1 − z−1 (22) Integrator Quantizer
VQ (z)
Figure 32. Linearized model of the first-order ⌺⌬ modulator.
to yield the frequency response
 
πf
Q( f ) = 2 sin (23) From the preceding expression it is now clear that each oc-
Fs
tave of the oversampling ratio leads to a 9 dB improvement
Thus, while the input is unaffected throughout the modulator of the SNR, which corresponds to an equivalent increase of
processing chain (apart from the delay term), the quantiza- 1.5 bit of the conversion resolution.
tion noise VQ is filtered by a high-pass function with notch One important aspect in the type of oversampling modula-
frequency at dc. tor considered above, and that is not predicted by the approxi-
Signal-to-Noise Ratio. For the circuit considered above with mated linearized model, is the appearance of limit cycles for
the noise related transfer function given by Eq. (23), the total certain input waveforms (20). The reason is that the quanti-
quantization noise power in a frequency band from dc to f c zation noise becomes highly correlated with the input. Then,
can be calculated from the assumption that the quantization noise spectrum is white
is no longer valid. Actually, the quantization noise is concen-
     2 trated in discrete frequency bands that, when falling in the
fc
LSB2 1 πf
PQ = 2 sin df (24) baseband, produce noise tones much above the noise level pre-
0 12 Fs /2 Fs
dicted by Eq. (25). This effect can be attenuated by introduc-
ing a high frequency dither signal superimposed on the input
where, as previously indicated, LSB represents the quantizer
signal, thereby creating sufficient disturbance so as to destroy
step size.
the tones. However, the addition of this dither signal reduces
Considering that the signal band of interest is highly
the dynamic range of the modulator and complicates the
oversampled, that is, ( fc /Fs) Ⰶ 1, the total in-band noise power
design.
can be expressed as follows:
   3 Second-Order ⌺⌬ Modulator. By adding another integrator
LSB2 π2 1
PQ = (25) in the forward loop, a stronger reduction of low-frequency
12 3 OSR
quantization noise is possible for the same oversampling ra-
tio. Furthermore, due to the additional integrator the quanti-
as a function of the quantization step and oversampling ratio
zation noise becomes a more complex function of the circuit
of the modulator. Hence, for a sine waveform with maximum
parameters and it is, therefore, less correlated with the input.
peak value of (2N ⫺ 1)(LSB/2) it results in a signal-to-noise
Thus, a second-order modulator will be much less prone to
ratio given by
enter in limit cycle conditions than its first-order counterpart
    and the corresponding noise tone power is very small. In ap-
3 N 3
SNR = 10 log (2 − 1)2 + 10 log OSR 3
(26) plications where the input signal is sufficiently busy so as to
2 π2
completely randomize the quantization noise there is no need
and which, for a 1-bit quantizer (N ⫽ 1), can also be expressed to add a dither signal. Figure 33 shows the schematic dia-
as gram of such a second-order oversampling modulator. The in-
ner second feedback loop has been added to ensure operation
SNR(dB) = 9 log2 (OSR) − 3.41 (27) stability.
Two of the most common implementations of second-order
modulators are represented by their linearized discrete-time
models illustrated in Fig. 34. The first one, represented in
Fs
Fig. 34(a), employs two delay-free integrators whereas the
other one, represented in Fig. 34(b), employs a delayed inte-
Input + Output grator in the first stage and a different coefficient in the inner
+ Integrator Comparator Latch loop. The latter implementation allows more design flexibility
– due to the relaxed timing requirements, and smaller voltage
swings on the integrator outputs (21).
From the linearized models represented in the preceding
+Vref illustration (Fig. 34), it is readily seen that the signal is
merely affected by a delay term corresponding to one clock
–Vref period, in the case of the delay-free integrators, and two clock
periods in the other case. Both forms of implementation pro-
Figure 31. Schematic diagram of the first-order ⌺⌬ modulator. duce the same noise shaping effect determined by a high-pass
526 ANALOG-TO-DIGITAL CONVERSION

Fs

Input Output
+ Integrator + Integrator Comparator Latch
– –

+Vref /2
Figure 33. Schematic diagram of a sec-
ond-order ⌺⌬ modulator with two feed-
–Vref /2
back loops for operation stability.

transfer function expressed as and which for a single-bit quantizer yields

Q(z) = (1 − z−1 )2 (28)


SNR(dB) = 15 log2 (OSR) − 11.14 (31)
yielding the frequency response
  2 Therefore, each doubling of the oversampling ratio provides a
πf 15 dB increase in the SNR, which gives an equivalent resolu-
Q( f ) = 2 sin (29)
Fs tion increase of 2.5 bits.
Figure 36 compares the SNR characteristics obtained for
It can be appreciated that while at low frequencies the quan- oversampling ⌺⌬ modulators with first- and second-order fil-
tization noise will be strongly attenuated due to the second- tering as well as for oversampling modulators with no noise
order noise shaping the high-frequency portion of the spec- shaping function (zero-order). For example, in order to
trum will be substantially amplified, as is illustrated in Fig. achieve an equivalent 12 bit of resolution with a second-order
35. oversampling modulator a signal-to-noise ratio of 74 dB must
Following a similar procedure as for the first-order modu- be obtained. In practical application designs, in order to allow
lator, it can be shown that in both forms of implementation for secondary sources of noise such as thermal noise in the
the resulting quantization noise power at the output, in a fre- input stage and degradation of noise shaping due to imperfect
quency band from dc to f c ⫽ Fs /2OSR, is given by the approxi- components, a margin of approximately 6 dB should be added.
mate expression From Fig. 36 we can see that for obtaining SNR ⫽ 80 dB
 5 such a second-order modulator would need an OSR of only 65,
LSB2 π 4 1
Q∼
= (30) whereas a first-order modulator would required a much
60 OSR higher OSR of 600.

1 1
1 – z–1 1 – z–1
Input Output
+ + + + Delay +
– –

Delay Delay VQ

Integrator-1 Integrator-2 Quantizer

(a)

z–1 1
1 – z–1 1 – z–1
Input Output
+ + Delay + + Delay +
– –

Delay VQ

Integrator-1 Integrator-2 Quantizer


1 2
Figure 34. Linearized equivalent circuits
of second-order ⌺⌬ modulators for two of
the most popular implementations. (b)
ANALOG-TO-DIGITAL CONVERSION 527

16 High-speed clock Nyquist


clock
Spectral density

12
Second order
Analog Digital
8
input Analog Digital output
Register
First order modulator filter
4 Baseband

Quantization noise Figure 37. Block diagram of a complete oversampled ADC system,
0 including an analog modulator and a digital decimator.
0 0.5
Frequency (normalized to Fs = 1)

Figure 35. Shaping functions of the output quantization noise for 38(d). This last stage of the processing chain is called deci-
the case of first- and second-order ⌺⌬ modulators. mation.
The design of a complete oversampling ADC based on the
preceding system architecture involves the selection of key
System Aspects of Oversampling ADCs design parameters for both the analog modulator and the dig-
ital decimator, bearing in mind the interaction between them.
The general architecture of a complete ADC based on For the analog modulator, on the one hand, the parameters
oversampling techniques is represented in Fig. 37. Besides of concern are the order of the filtering function and the num-
the analog modulator clocked at a high (oversampled) fre- ber of quantized bits. On the other hand, the relevant design
quency, the system includes a digital filter, also clocked at the parameters for the digital decimator are the oversampling ra-
oversampling frequency, and an output register clocked at the tio, the word length at both the output of the analog modula-
lower Nyquist frequency. The combined digital filter and out- tor and at the output of the system, and the required level of
put register with different clock rates perform the so-called attenuation of the out-of-band noise. Next, we shall discuss
decimation function of the converter, which purpose is to re- more advanced architecture options for designing oversam-
move the high-frequency noise components produced by the pled ADCs.
shaping effect of the modulator.
Figure 38 depicts several time-domain waveforms and cor- Higher-Order Modulators. The oversampling ratio required
responding signal spectra that illustrate the operation of the to meet a specific level of performance may be decreased be-
complete oversampling ADC. It is assumed that an input sig- low that needed in a first-order ⌺⌬ modulator by increasing
nal band limited from dc to f c Ⰶ Fs is sampled at the high- the order of the modulator. Higher-order noise shaping can
frequency clock, as seen in Fig. 38(a). Next, the 1-bit quan- be accomplished by including a higher order filter, such as
tized bit stream at the output of the modulator contains basi- additional integrators, in the forward path of the modulator.
cally the baseband information from dc to f c and a large However, higher-order modulators require careful attention
amount of out-of-band quantization noise above f c. The corre- to the placement of appropriate zeros in the transfer function
sponding time-domain waveform and frequency spectrum are of the analog filter (22,23). Moreover, when a higher-order
depicted in Fig. 38(b). The out-of-band quantization noise is modulator is driven by a large input, the two level quantizer
then removed by means of the digital filter and which, at the is overloaded, causing an increase in the quantization noise.
same time, increases the length of the digital signal from 1 The increased quantization noise is amplified by the analog
bit to the full N-bits resolution of the converter. The resulting filter, leading to instability in the form of large, uncontrolla-
spectrum is shown in Fig. 38(c). Finally, the output sampling ble, low-frequency oscillations. Thus, third- and higher-order
rate is reduced by means of an M-fold down sampler in order modulators based on the use of a single two-level quantizer
to obtain to the required output conversion frequency and are potentially unstable and may require circuitry to reset the
thus yields the periodic (digital) spectrum illustrated in Fig. integrators when large signals are detected in the integrator
outputs (24).

140 Cascaded Modulators. The danger of having saturating


Signal-to-noise ratio (dB)

limit cycles in high-order modulators can be avoided by cas-


120
cading a number of first- and second-order ⌺⌬ modulators to
100
Second order produce the effect of high-order prediction. One such architec-
80
ture is represented in Fig. 39 showing a cascade of two first-
60
First order order ⌺⌬ modulators. In this arrangement the second modula-
40 tor takes at the input the quantization error of the first stage
20 while the outputs of both modulators are combined together.
0 No noise shaping In the combined output signal the first-stage quantization er-
–20 ror is removed, thus leaving only the error corresponding to
1 10 100 1000
the second modulator stage. The technique can generally be
Oversampling ratio
extended to more than two stages and to both first- and sec-
Figure 36. The SNR characteristics of oversampling modulators ond-order modulators.
with zero-order (no noise shaping), first-order, and second-order noise The forgoing type of cascade architectures, called Multi-
shaping as functions of the oversampling ratio. stAge noise SHaping (MASH) gives the advantage of achiev-
528 ANALOG-TO-DIGITAL CONVERSION

Out-of-band components

t
fc Fs/2
(a)

Quantization noise

t
fc Fs/2

(b)

t
fc Fs/2
(c)

t
fc Fs/M Fs/2

(d)

Figure 38. Time-domain waveforms and signal spectra throughout the processing chain of an
oversampling ADC. (a) Sampled input analog signal. (b) Digital bitstream signal. (c) Digitally
filtered signal. (d) Decimated digital signal.

1
First stage
1 – z–1
Input Output
+ + + +

Delay VQ1

Integrator-1 Quantizer-1

+
1 –
Second stage
1 – z–1 1– z–1

+ + + +
– –

Delay VQ2 Delay

Figure 39. Second-order noise shaping Integrator-2 Quantizer-2 Differentiator


by cascading two first-order modulators.
ANALOG-TO-DIGITAL CONVERSION 529

MFs 4Fs 2Fs Fs especially in the case of higher order modulators, as well as
minimization of the occurrence of idle tones. However, modu-
FIR Filter lators based on a quantizer with more than two levels place
stringent linearity demands on the DAC in the feedback loop
FIR FIR
Sinc2 and generally require sophisticated linearization techniques
halfband halfband
LPF LPF LPF (29–32).

Figure 40. A two-stage architecture for designing the digital decima- Decimation Filter. The output of the modulator represents
tor using only FIR filter sections. the input signal together with its spurious out-of-band compo-
nents, quantization noise, and noise or interference that may
have entered the analog circuits. As already discussed, the
digital filter in the general architecture of Fig. 36 serves to
ing high-order noise shaping functions using inherently sta- attenuate all out-of-band energy, so that the signal may be
ble modulator stages (25–28). Rather than regarding the resampled at the Nyquist rate without being corrupted with
MASH architecture as a method of obtaining high-order cir- the folded-back components of the high frequency noise.
cuits, it is usually more correct to regard it as a means of Fairly simple digital filters would suffice to remove only
enhancing the performance of the first modulator in the cas- quantization noise because it rises slowly, for example at 12
cade. For example, a modulator composed of a second-order dB per octave for the case of a second-order modulation. By
sigma-delta circuit followed by a first-order circuit has attrac- contrast, highly selective filters are usually needed to remove
tive properties. Unfortunately, however, these modulators are the out-of-band components of the input. Such filters are
sensitive to the opamp finite dc gain as well as to mismatches rather expensive when operated at high sample rates. There-
among the circuit parameter values. Their resolution is usu- fore, in practice, the decimator filter is usually implemented
ally determined by how well individual modulators are in two stages, as seen in Fig. 40. First, there is a decimator
matched. Specifically, in switched-capacitor implementations, with output at four times the Nyquist rate and which is de-
cascaded modulators require close capacitance matching, high signed predominantly to remove the quantization noise com-
opamp dc gain, and nearly complete settling of the integra- ponent that is dominant at high frequencies. The second-
tor outputs. stage filter resamples the signal at the Nyquist rate and
Despite their attractive features, which allow lower defines the baseband cut-off characteristics. Typically this is
oversampling ratios and, therefore, higher conversion rate for the most complex and larger circuit and should be carefully
a given modulator operating speed, sophisticated modulator designed to suit the application.
architectures such as the ones discussed above do not neces- A convenient filter for the first stage of decimation is based
sarily ease the performance required on the overall circuit. on the Sinc function expressed as
For example, a larger percentage of the thermal noise intro-
duced by the sampling switches in switched capacitor inte-  k
1 1 − z−M
grators falls in the baseband. To maintain the dynamic range, Sinck = (32)
M 1 − z−1
the capacitors must be increased accordingly. This implies
proportionally higher-load capacitances on the operational
amplifiers in the integrators. Also, the complexity of the anti- where M is the decimation factor and k is the order of the
aliasing filter that precedes the modulator and the decimator filter. This filter is very easy to implement as it requires no
filter following it are increased. Their attenuation specifica- multipliers. It has the advantage of having zeros at the multi-
tions are tighter because the sampling rate is lower with re- ples of the output sample frequency, which remove the noise
spect to the baseband. components that would otherwise be aliased into the base-
band with the decimation operation (33–35). The order of this
N-Bit Quantizer. As previously discussed, the advantage of filter should be equal to the modulator order plus one in order
single-bit modulators is that the linearity of the feedback to suppress the high frequency quantization noise adequately.
DAC is inherently ideal, besides being extremely simple for Eventually, the order of the decimating filter can be made
implementation. Single-bit modulators, however, also have equal to that of the modulator, in order to reduce the imple-
the disadvantage of a large amount of quantization noise, mentation complexity. However, this results in some degrada-
which may easily cause saturation and lead to potential insta- tion of the overall signal-to-noise ratio. A typical architecture
bility conditions. Multibit quantizers, by contrast, generally for the implementation of a third-order Sinc filter is illus-
provide improved stability conditions of the ⌺⌬ modulators, trated in Fig. 41.

Fs Fs Fs Fs/M Fs/M Fs/M


+ z–1 + z–1 + z–1 z–1 + z–1 + z–1 +
Fs/M

1 (1 – z–M )3
(1 – z–1)3

Figure 41. Typical architecture of a third-order Sinc filter for digital decimation.
530 ANALOG-TO-DIGITAL CONVERSION

BIBLIOGRAPHY 23. D. R. Welland et al., A stereo 16-bit delta-sigma A/D converter


for digital audio, J. Audio Eng. Soc., 37 (6): 476–486, 1989.
1. P. R. Gray and R. R. Neff, Analog-digital conversion techniques 24. S. Mohsen Moussavi and B. H. Leung, High-order single-stage
for telecommunications applications. In J. E. France and Y. Tsiv- single-bit oversampling A/D converter stabilized with local feed-
idis (eds.), Design of Analog-Digital VLSI Circuits for Telecommu- back loops, IEEE Trans. Circuits Syst.-II: Analog Digital Signal
nications and Signal Processing, Engelwood Cliffs: Prentice- Process., 41: 19–25, 1994.
Hall, 1994. 25. Y. Matsuya et al., A 16-bit oversampling A-to-D conversion tech-
2. A. Gersho, Principles of quantization, IEEE Trans. Circuits Sys- nology using triple-integration noise shaping, IEEE J. Solid-State
tems, CAS-25: 427–436, 1978. Circuits, SC 22: 921–929, 1987.
3. S. K. Tewksbury et al., Terminology related to the performance 26. M. Rebeschini et al., A 16-bit 160-kHz CMOS A/D converter us-
of S/H, A/D, and D/A circuits, IEEE Trans. Circuits Systems, ing sigma-delta modulation, IEEE J. Solid-State Circuits, 25:
CAS-25: 419–426, 1978. 431–440, 1990.
4. M. Shinagawa, Y. Akazawa, and T. Wakimoto, Jitter analysis of 27. B. P. Brandt and B. A. Wooley, A 50-MHz multibit sigma-delta
high-speed sampling systems, IEEE J. Solid-State Circuits, 25: modulator for 12-b 2-MHz A/D conversion, IEEE J. Solid-State
220–224, 1990. Circuits, 26: 1746–1756, 1991.
5. J. Robert et al., A 16-bit low-voltage CMOS A/D converter, IEEE 28. G. Yin and W. Sansen, A high-frequency and high-resolution
J. Solid-State Circuits, SC-22: 157–163, 1987. fourth-order ⌺⌬ A/D converter in BiCMOS technology, IEEE J.
Solid-State Circuits, 29: 857–865, 1994.
6. J. Robert and P. Deval, A second-order high-resolution incremen-
tal A/D converter with offset and charge injection compensation, 29. M. Sarhang-Nejad and G. C. Temes, A high-resolution multibit
IEEE J. Solid-State Circuits, 23: 736–741, 1988. ⌺⌬ ADC with digital correction and relaxed amplifier require-
ments, IEEE J. Solid-State Circuits, 28: 648–660, 1993.
7. P. Li et al., A ratio-independent algorithmic analog-to-digital con-
version technique, IEEE J. Solid-State Circuits, SC-19: 828– 30. B. H. Leung and S. Sutarja, Multibit ⌺-⌬ A/D converter incorpo-
836, 1984. rating a novel class of dynamic element matching techniques,
IEEE Trans. Circuits Syst.-II: Analog Digital Signal Process., 39:
8. M. J. Demler, High-Speed Analog-to-Digital Conversion, New
35–51, 1992.
York: Academic Press, 1991.
31. F. Chen and B. H. Leung, A high resolution multibit sigma-delta
9. T. Kumamoto et al., An 8-bit high-speed CMOS A/D converter, modulator with individual level averaging, IEEE J. Solid-State
IEEE J. Solid-State Circuits, SC-21: 976–982, 1986. Circuits, 30: 453–460, 1995.
10. A. G. F. Dingwall and V. Zazzu, An 8-MHz CMOS subranging 8- 32. R. T. Baird and T. S. Fiez, Linearity enhancement of multibit ⌬⌺
bit A/D converter, IEEE J. Solid State-Circuits, SC-20: 1138– A/D and D/A converters using data weighted averaging, IEEE
1143, 1985. Trans. Circuits Syst.-II: Analog Digital Signal Process., 42: 753–
11. B. Song, S. Lee, and M. F. Tompsett, A 10-b 15-MHz CMOS re- 762, 1995.
cycling two-step A/D converter, IEEE J. Solid-State Circuits, 25: 33. S. Chu and S. Burrus, Multirate filter designs using comb filters,
1328–1338, 1990. IEEE Trans. Circuits Syst., CAS-31: 913–924, 1984.
12. B. Razavi and B. A. Wooley, A 12-b 5-Msample/s two-step CMOS 34. E. Dijkstra et al., On the use of modulo arithmetic comb filters
A/D converter, IEEE J. Solid-State Circuits, 27: 1667–1678, 1992. in sigma delta modulators, Int. Conf. Acoustics, Speech, Signal
13. S. H. Lewis and P. R. Gray, A pipelined 5-Msample/s 9-bit ana- Process., New York, April 11–14, 1988, pp. 2001–2004.
log-to-digital converter, IEEE J. Solid-State Circuits, SC-22: 954– 35. B. P. Brandt and B. Wooley, A low-power, area-efficient digital
961, 1987. filter for decimation and interpolation, IEEE J. Solid-State Cir-
14. B. Song, M. F. Tompset, and K. R. Lakshmikumar, A 12-bit 1- cuits, 29: 679–687, 1994.
Msample/s capacitor error-averaging pipelined A/D converter,
IEEE J. Solid-State Circuits, 23: 1324–1333, 1988. JOSÉ EPIFÂNIO DA FRANCA
15. W. C. Black, Jr. and D. A. Hodges, Time interleaved converter CARLOS AZEREDO LEME
arrays, IEEE J. Solid-State Circuits, SC-15: 1022–1029, 1980. JOÃO CALADO VITAL
16. C. S. G. Conroy, D. W. Cline, and P. R. Gray, An 8-b 85-MS/s Instituto Superior Técnico
parallel pipeline A/D converter in 1애m CMOS, IEEE J. Solid-
State Circuits, 28: 447–454, 1993.
17. J. Franca, A. Petraglia, and S. Mitra, Multirate analog-digital ANALYSIS AND DESIGN ALGORITHMS FOR CON-
systems for signal processing and conversion, Proc. IEEE, 85:
242–262, 1997. TROL SYSTEMS. See SOFTWARE FOR CONTROL SYSTEM
ANALYSIS AND DESIGN, SINGULAR VALUE DECOMPOSITION.
18. S. Norsworthy, R. Schreier, and G. Temes, Delta-Sigma Data
Converters, Theory, Design and Simulation, New York: IEEE ANALYSIS, CEPSTRAL OF SPEECH. See CEPSTRAL
Press, 1997. ANALYSIS OF SPEECH.

19. J. C. Candy, A use of double integration in sigma delta modula- ANALYSIS, COST. See COST ANALYSIS.
tion, IEEE Trans. Commun., COM-33: 249–258, 1985. ANALYSIS, COST-BENEFIT. See COST-BENEFIT ANALYSIS.
20. J. C. Candy and O. J. Benjamin, The structure of quantization ANALYSIS, INTERVAL, FOR CIRCUITS. See INTERVAL
noise from sigma-delta modulation, IEEE Trans. Commun., ANALYSIS FOR CIRCUITS.
COM-29: 1315–1323, 1981.
ANALYSIS, NETWORK. See NETWORK ANALYSIS USING
21. B. E. Boser and B. A. Wooley, The design of sigma-delta modula-
LINEARIZATION.
tion analog-to-digital converters, IEEE J. Solid-State Circuits, 23:
1298–1308, 1988. ANALYSIS, OF CIRCUITS IN THE FREQUENCY DO-
22. K. S. Chao et al., A higher order topology for interpolative modu- MAIN. See FREQUENCY-DOMAIN CIRCUIT ANALYSIS.
lators for oversampling A/D converters, IEEE Trans. Circuits ANALYSIS OF FAILURE MODES AND EFFECTS.
Syst., 37: 309–318, 1990. See FAILURE MODES AND EFFECTS ANALYSIS.
ANTENNA ACCESSORIES 531

ANALYSIS OF MICROWAVE AND MILLIMETER-


WAVE STRUCTURES. See SPECTRAL-DOMAIN ANALYSIS.
ANALYSIS OF RELIABILITY DATA. See STATISTICAL
ANALYSIS OF RELIABILITY DATA.
ANALYSIS, QUALITATIVE OR DYNAMIC CIR-
CUITS. See QUALITATIVE ANALYSIS OF DYNAMIC CIRCUITS.
ANALYSIS, SENSITIVITY. See SENSITIVITY ANALYSIS.
ANALYSIS, SPEECH. See SPEECH ANALYSIS; SPEECH
PROCESSING
ANALYSIS, SYSTEMS. See SYSTEMS ANALYSIS.
ANALYSIS, TRANSIENT. See TRANSIENT ANALYSIS.
ANALYZER, POWER SYSTEM. See POWER SYSTEM MEA-
SUREMENT.
ANIMATION, COMPUTER. See COMPUTER ANIMATION.
ANNUNCIATORS. See ALARM SYSTEMS.
716 ASYNCHRONOUS CIRCUITS

ASYNCHRONOUS CIRCUITS

Digital Very Large Scale Integration (VLSI) circuits are usu-


ally classified into synchronous and asynchronous circuits.
Synchronous circuits are generally controlled by global syn-
chronization signals provided by a clock. Asynchronous cir-
cuits, on the other hand, do not use such global synchroniza-
tion signals. Between these extremes there are various
hybrids. Digital circuits in today’s commercial products are
almost exclusively synchronous. Despite this big difference in
popularity, there are a number of reasons why asynchronous
circuits are of interest.
In this article, we present a brief overview of asynchronous
circuits. First we address some of the motivations for design-
ing asynchronous circuits. Then, we discuss different classes
of asynchronous circuits and briefly explain some asynchro-
nous design methodologies. Finally, we present an asynchro-
nous design in detail.

MOTIVATIONS FOR ASYNCHRONOUS CIRCUITS

Throughout the years researchers have had a number of rea-


sons for studying and building asynchronous circuits. Some of
the often mentioned advantages of asynchronous circuits are
speed, low energy dissipation, modular design, immunity to
metastable behavior, freedom from clock skew, and low gener-
ation of and low susceptibility to electromagnetic interfer-
ence. We elaborate here on some of these potentials and indi-
cate when they have been demonstrated through comparative
case studies.

Speed
Speed has always been a motivation for designing asynchro-
nous circuits. The main reasoning behind this advantage is
that synchronous circuits exhibit worst-case behavior,
whereas asynchronous circuits exhibit average-case behavior.
The speed of a synchronous circuit is governed by its clock
frequency. The clock period should be large enough to accom-
modate the worst-case propagation delay in the critical path
of the circuit, the maximum clock skew, and a safety factor
due to fluctuations in the chip fabrication process, operating
temperature, and supply voltage. Thus, synchronous circuits
exhibit worst-case performance, in spite of the fact that the
worst-case propagation in many circuits, particularly arith-
metic units, may be much longer than the average-case prop-
agation.
Many asynchronous circuits are controlled by local commu-
nications and are based on the principle of initiating a compu-
tation, waiting for its completion, and then initiating the next
one. When a computation has completed early, the next com-
putation can start early. For this reason, the speed of asyn-
chronous circuits equipped with completion-detection mecha-
nisms depend on the computation time of the data being
processed, not the worst-case timing. Accordingly, such asyn-
chronous circuits exhibit average-case performance. An exam-
ple of an asynchronous circuit where the average-case poten-
tial is nicely exploited is reported in (1), an asynchronous
divider that is twice as fast as its synchronous counterpart.
Nevertheless, to date, there are few concrete examples dem-
onstrating that the average-case performance of asynchro-
nous circuits is higher than that of synchronous circuits per-

J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
ASYNCHRONOUS CIRCUITS 717

forming similar functions. The reason is that the average-case (6). Another example where modular design is demonstrated
performance advantage is often counterbalanced by the over- is the TANGRAM compiler developed at Philips Research
head in control circuitry and completion-detection mecha- Laboratories (7).
nisms.
Besides demonstrating the average-case potential, there
Low Power
are case studies in which the speed of an asynchronous design
is compared to the speed of a corresponding synchronous ver- Due to rapid growth in the use of portable equipment and the
sion. Molnar et al. report a case study (2) of an asynchronous trend in high-performance processors towards unmanageable
FIFO that is every bit as fast as any synchronous FIFO using power dissipation, energy efficiency has become crucial in
the same data latches. Furthermore, the asynchronous FIFO VLSI design. Asynchronous circuits are attractive for energy-
has the additional benefit that it operates under local control efficient designs, mainly because the clock is eliminated. In
and is easily expandable. At the end of this article, we give systems with a global clock, all of the latches and registers
an example of a FIFO with a different control circuit. operate and consume dynamic energy during each clock pulse,
in spite of the fact that many of these latches and registers
Immunity to Metastable Behavior may not have new data to store. There is no such waste of
Any circuit with a number of stable states also has metasta- energy in asynchronous circuits, because computations are
ble states. When such a circuit gets into a metastable state, initiated only when necessary.
it can remain there for an indefinite period of time before re- Two notable examples that demonstrated the potential of
solving into a stable state (3,4). Metastable behavior occurs, asynchronous circuits in energy-efficient design are the work
for example, in circuit primitives that realize mutual exclu- done at Philips Research Laboratories and at Manchester
sion between processes, called arbiters, and components that University. The Philips group designed a fully asynchronous
synchronize independent signals of a system, called synchro- digital compact-cassette (DCC) error detector which con-
nizers. Although the probability that metastable behavior sumed 80% less energy than a similar synchronous version
lasts longer than period t decreases exponentially with t, it is (8). The AMULET group at Manchester University success-
possible that metastable behavior in a synchronous circuit fully implemented an asynchronous version of the ARM mi-
lasts longer than one clock period. Consequently, when meta- croprocessor, one of the most energy-efficient synchronous mi-
stable behavior occurs in a synchronous circuit, erroneous croprocessors. The asynchronous version achieved a power
data may be sampled at the time of the clock pulses. An asyn- dissipation comparable to the fourth generation of ARM,
chronous circuit deals gracefully with metastable behavior by around 150 mW (9), in a similar technology.
simply delaying the computation until the metastable behav- Recently, power management techniques are being used in
ior has disappeared and the element has resolved into a sta- synchronous systems to turn the clock on and off condition-
ble state. ally. However, these techniques are only worthwhile imple-
menting at the level of functional units or higher. Besides,
Modularity the components that monitor the environment for switching
the clock continue dissipating energy.
Modularity in design is an advantage exploited by many asyn-
It is also worth mentioning that, unlike synchronous cir-
chronous design styles. The basic idea is that an asynchro-
cuits, most asynchronous circuits do not waste energy on haz-
nous system is composed of functional modules communicat-
ards, which are spurious changes in a signal. Asynchronous
ing along well-defined interfaces. Composing asynchronous
circuits are essentially designed to be hazard-free. Hazards
systems is simply a matter of connecting the proper modules
with matching interfacial specifications. The interfacial speci- can be responsible for up to 40% of energy loss in synchronous
fications describe only the sequences of events that can take circuits (10).
place and do not specify any restrictions on the timing of
these events. This characteristic reduces the design time and Freedom from Clock Skew
complexity of an asynchronous circuit, because the designer
Because asynchronous circuits generally do not have clocks
does not have to worry about the delays incurred in individual
they do not have many of the problems associated with clocks.
modules or the delays inserted by connection wires. Designers
of synchronous circuits, on the other hand, often pay consider- One such problem is clock skew, the technical term for the
able attention to satisfying the detailed interfacial timing maximum difference in clock arrival time at different parts of
specifications. a circuit. In synchronous circuits, it is crucial that all modules
Besides ease of composability, modular design also has the operating with a common clock receive this signal simultane-
potential for better technology migration, ease of incremental ously, that is, within a tolerable period of time. Minimizing
improvement, and reuse of modules (5). Here the idea is that clock skew is a difficult problem for large circuits. Various
an asynchronous system adapts itself more easily to advances techniques have been proposed to control clock skew, but gen-
in technology. The obsolete parts of an asynchronous system erally they are expensive in terms of silicon area and energy
can be replaced with new parts to improve system perfor- dissipation. For instance, the clock distribution network of
mance. Synchronous systems cannot take advantage of new the DEC Alpha, a 200 MHz microprocessor at a 3.3 V supply,
parts as easily, because they must be operated with the old occupies 10% of the chip area and uses 40% of the total chip
clock frequency or other modules must be redesigned to oper- power consumption (11). Although asynchronous circuits do
ate at the new clock frequency. not have clock skew problems, they have their own set of
One of the earliest projects that exploited modularity in problems in minimizing the overhead needed for synchroniza-
designing asynchronous circuits is the Macromodules project tion among the parts.
718 ASYNCHRONOUS CIRCUITS

MODELS AND METHODOLOGIES Request


R R
S e S e
There are many models and methodologies for analyzing and e
n c e
2n c
designing asynchronous circuits. Asynchronous circuits can n e n e
Data Data
be categorized by the following criteria: signaling protocol and d i d i
data encoding, underlying delay model, mode of operation, e v e v
r e r e
and formalism for specifying and designing circuits. This sec- r r
tion presents an informal explanation of these criteria. Acknowledge Acknowledge

(a) Bundled data convention (b) Dual-rail data encoding


Signaling Protocols and Data Encodings Figure 1. Two different data communication schemes.
Modules in an asynchronous circuit communicate data with
some signaling protocol consisting of request and acknowledg-
ment signals. There are two common signaling protocols for data are invalid. Notice that a request signal occurs only after
communicating data between a sender and a receiver, the data become valid. This is an important timing restriction as-
four-phase and the two-phase protocol. In addition to the sig- sociated with these communication protocols, namely, the re-
naling protocol, there are different ways to encode data. The quest signal that indicates that data are valid should always
most common encodings are single-rail and dual-rail encod- arrive at the receiver after all data wires have attained their
ing. We explain the two signaling protocols first and then dis- proper value. The restriction is referred to as the bundling
cuss the data encodings. constraint. For this reason the communication protocol is of-
If the sender and receiver communicate through a two- ten called the bundled data protocol. Figure 2(b) shows a se-
phase signaling protocol, then each communication cycle has quence of events in a four-phase protocol and single-rail data
two distinct phases. The first phase consists of a request initi- encoding. Other sequences are also applicable for the four-
ated by the sender. The second phase consists of an acknowl- phase protocol.
edgment by the receiver. The request and acknowledgment The dual-rail encoding scheme uses two wires for every
signals are often implemented by voltage transitions on sepa- data bit. There are several dual-rail encoding schemes. All
rate wires. No distinction is made between the directions of combine the data encoding and signaling protocol. There is no
voltage transitions. Both rising and falling transitions denote explicit request signal, and the dual-rail encoding schemes all
a signaling event. require (2n ⫹ 1) wires as illustrated in Fig. 1(b). In four-phase
The four-phase signaling protocol consists of four phases, a signaling, there are several encodings that are used to trans-
request followed by an acknowledgment, followed by a second mit a data bit. The most common encoding has the following
request, and finally a second acknowledgment. If the request meaning for the four states in which each pair of wires can
and acknowledgment are implemented by voltage transitions, be, 00 ⫽ reset, 10 ⫽ valid 0, 01 ⫽ valid 1, and 11 is an unused
then at the end of every four phases, the signaling wires re- state. Every pair of wires has to go through the reset state
turn to the same voltage levels as at the start of the four before becoming valid again. In the first phase of the four-
phases. Because the initial voltage is usually zero, this type phase signaling protocol, every pair of wires leaves the reset
of signaling is also called return-to-zero signaling. Other state for a valid 0 or 1 state. The receiver detects the arrival
names for two-phase and four-phase signaling are two-cycle of a new set of valid data when all pairs of wires have left the
and four-cycle signaling, respectively, or transition and level reset state. This detection replaces an explicit request signal.
signaling, respectively. The second phase consists of an acknowledgment to inform
Both signaling protocols are used with single and dual-rail the sender that data has been consumed. The third phase con-
data encodings. In single-rail data encoding each bit is en- sists of the reset of all pairs of wires to the reset state, and
coded with one wire, whereas in dual-rail encoding, each bit the fourth phase is the reset of the acknowledgment.
is encoded with two wires. In a two-phase signaling protocol, a different dual-rail en-
In single-rail encoding, the value of the bit is represented coding is used. An example of an encoding is as follows. Each
by the voltage on the data wire. When communicating n data pair of wires has one wire associated with a 0 and one wire
bits with a single-rail encoding during periods where the data associated with a 1. A transition on the wire associated with
wires are guaranteed to remain stable, we say that the data 0 represents the communication of a 0, whereas a transition
are valid. During periods where the data wires are possibly on the other wire represents a communication of a 1. Thus, a
changing, we say the data are invalid. A two-phase or four- transition on one wire of each pair signals the arrival of a
phase signaling protocol is used to tell the receiver when data new bit value. A transition on both wires is not allowed. In
are valid or invalid. The sender informs the receiver about the first phase of the two-phase signaling protocol every pair
the validity of the data through the request signal, and the of wires communicates a 0 or a 1. The second phase is an
receiver, in turn, informs the sender of the receipt of the data acknowledgment sent by the receiver.
through the acknowledgment signal. Therefore, to communi- Of all data encodings and signaling protocols, the most
cate n bits of data, a total number of (n ⫹ 2) wires are neces- popular are the single-rail encoding and four-phase signaling
sary between the sender and the receiver. The connection pat- protocol. The main advantages of these protocols are the
tern for single-rail encoding and two or four-phase signaling small number of connecting wires and the simplicity of the
is depicted in Fig. 1(a). encoding, which allows using conventional techniques for im-
Figure 2(a) shows the sequence of events in a two-phase plementing data operations. The disadvantage of these proto-
signaling protocol. The events include the times when the cols are the bundling constraints that must be satisfied and
data become valid and invalid. The transparent bars indicate the extra energy and time wasted in the additional two
the periods when data are valid. During the other periods, phases compared with two-phase signaling. Dual-rail data en-
ASYNCHRONOUS CIRCUITS 719

Request Request

Data Data

Acknowledge Acknowledge

One cycle One cycle


(a) (b)

Figure 2. Data transfer in (a) two-phase signaling and (b) four-phase signaling.

codings are used to communicate data in asynchronous cir- changes immediately after receiving an appropriate response
cuits free of any timing constraints. Dual-rail encodings, how- to a previous input change, even if the entire circuit has not
ever, are expensive in practice, because of the many yet stabilized. The fundamental mode was introduced in the
interconnecting wires, the extra circuitry to detect completion 1960s to simplify analyzing and designing gate circuits with
of a transfer, and the difficulty in data processing. Boolean algebra. The input-output mode evolved in the eight-
ies from event-based formalisms to describe modular design
Delay Models methods that abstracted from the internal operation of a
circuit.
An important characteristic distinguishing different asyn-
chronous circuit styles is the delay model on which they are
based. For each circuit primitive, gate or wire, a delay model Formalisms
stipulates the sort of delay it imposes and the range of the
Just as in any other design discipline, designers of asynchro-
delays. Delay models are needed to analyze all possible be-
nous circuits use various formalisms to master the complexi-
havior of a circuit for various correctness conditions, like the
ties in designing and analyzing their artifacts. The formal-
absence of hazards.
isms used in asynchronous circuit design are categorized into
A circuit is composed of gates and interconnecting wires,
two classes, formalisms based on Boolean algebra and formal-
all of which impose delays on the signals propagating through
isms based on sequences of events. Most design methodolo-
them. The delay models are categorized into two classes, pure
gies in asynchronous circuits use some mixture of both for-
delay models and inertial delay models. In a pure delay
malisms.
model, the delay associated with a circuit component pro-
The design of many asynchronous circuits is based on Bool-
duces only a time shift in the voltage transitions. In reality,
ean algebra or its derivative switching theory. Such circuits
a circuit component may shift the signals and also filter out
often use the fundamental mode of operation, the bounded-
pulses of small width. Such a delay model is called an inertial
delay model, and have, as primitive elements, gates that cor-
delay model. Both classes of delay models have several ranges
for the delay shifts. We distinguish the zero-delay, fixed-delay, respond to the basic logic functions, like AND, OR, and inver-
bounded-delay, and unbounded-delay models. In the zero-de- sion. These formalisms are convenient for implementing logic
lay model, the values of the delays are zero. In the fixed-delay functions, analyzing circuits for the presence of hazards, and
model, the values of the delays are constant, whereas in the synthesizing fundamental-mode circuits (12,14).
bounded-delay model the values of the delays vary within a Event-based formalisms deal with sequences of events
bounded range. The unbounded-delay model does not impose rather than binary logic variables. Circuits designed with an
any restriction on the value of the delays except that they event-based formalism operate in the input-output mode, un-
cannot be infinite. Sometimes two different delay models are der an unbounded-delay model, and have, as primitive ele-
assumed for the wires and the gates in an asynchronous cir- ments, the JOIN, the TOGGLE, and the MERGE, for example.
cuit. For example, the operation of a class of asynchronous Event-based formalisms are particularly convenient for de-
circuits is based on the zero-delay model for wires and the signing asynchronous circuits when a high degree of concur-
unbounded-delay model for gates. Formal definitions of the rency is involved. Several tools have been generated for auto-
various delay models are given in (12). matically verifying asynchronous circuits with event-based
A concept closely related to the delay model of a circuit is formalisms (15,16). Examples of event-based formalisms are
its mode of operation. The mode of operation characterizes the trace theory (17–19), DI algebra (20), Petri nets, and signal
interaction between a circuit and its environment. Classical transition graphs (21,22).
asynchronous circuits operate in the fundamental mode
(13,14), which assumes that the environment changes only
one input signal and waits until the circuit reaches a stable DESIGN TECHNIQUES
state. Then the environment is allowed to apply the next
change to one of the input signals. Many modern asynchro- This section introduces the most popular types of asynchro-
nous circuits operate in the input-output mode. In contrast to nous circuits and briefly describes some of their design tech-
the fundamental mode, the input-output mode allows input niques.
720 ASYNCHRONOUS CIRCUITS

TYPES OF ASYNCHRONOUS CIRCUITS store the state variables in feedback loops containing delay
elements, instead of in latches or flip-flops, as synchronous-
There are special types of asynchronous circuits for which for- sequential circuits do. The design procedure begins with cre-
mal and informal specifications have been given. Here are ating a flow table and reducing it through some state mini-
brief informal descriptions of some of them in a historical mization technique. After a state assignment, the procedure
context. obtains the Boolean expressions and implements them in
There are two types of logic circuits, combinational and se- combinational logic with the aid of a logic minimization pro-
quential. The output of a combinational circuit depends only gram. To guarantee a hazard-free operation, Huffman circuits
on the current inputs, whereas the output of a sequential cir- adopt the restrictive single-input-change fundamental mode,
cuit depends on the previous sequence of inputs. With this that is, the environment changes only one input and waits
definition of a sequential circuit, almost all asynchronous cir- until the circuit becomes stable before changing another in-
cuit styles fall into this category. However, the term asyn- put. This requirement substantially degrades the circuit per-
chronous-sequential circuits or machines generally refers to formance. Hollaar realized this fact and introduced a new
those asynchronous circuits based on finite-state machines structure in which the fundamental mode assumption is re-
similar to those in synchronous sequential circuits (14,23). laxed (30). In his implementation, the state variables are
Muller was the first to rigorously formalize a special type stored in NAND latches, so that inputs are allowed to change
of circuit for which he coined the name speed-independent cir- earlier than the fundamental mode allows. Although Hol-
cuit. An account of this formalization is given in (24,25). In- laar’s method improves the performance, it suffers from the
formally, a speed-independent circuit is a network of gates danger of producing hazards. Besides, neither technique is
that satisfies its specification irrespective of any gate delays. adequate for designing concurrent systems. Models and algo-
From a design discipline that was developed as part of the rithms for analyzing asynchronous-sequential circuits have
Macromodules project (6) at Washington University in St. been developed by Brzozowski and Seger (12).
Louis, the concept of another type of asynchronous circuits The quest for more concurrency, better performance, and
evolved, which was given the name delay-insensitive circuit, hazard-free operation, resulted in the formulation of a new
that is, a network of modules that satisfies its specification generation of asynchronous-sequential circuits known as
irrespective of any element and wire delays. It was realized burst-mode machines (31,32). A burst-mode circuit does not
that proper formalization of this concept was needed to spec- react until the environment performs a number of input
ify and design such circuits in a well-defined manner. Such a changes called an input burst. The environment, in turn, is
formalization was given by Udding (26). not allowed to introduce the next input burst until the circuit
Another name frequently used in designing asynchronous produces a number of outputs called an output burst. A state
circuits is self-timed systems. This name was introduced by graph is used to specify the transitions caused by the input
Seitz (27). A self-timed system is described recursively as ei- and output bursts. Two synthesis methods have been pro-
ther a self-timed element or a legal connection of self-timed posed and automated for implementing burst-mode circuits.
systems. The idea is that self-timed elements can be imple- The first method employs a locally generated clock to avoid
mented with their own timing discipline, and some may even some hazards (33). The second method uses three-dimen-
have synchronous implementations. In other words, the ele- sional flow tables and is based on Huffman circuits (34). One
ments ‘‘keep time to themselves.’’ In composing self-timed sys- limitation of burst mode circuits is that they restrict concur-
tems from self-timed elements, however, no reference to the rency within a burst.
timing of events is made. Only the sequence of events is rel-
evant. Speed-Independent Circuits and STG Synthesis
Some have found that the unbounded gate-and-wire delay
Speed-independent circuits are usually designed by a form of
assumption, on which the concept of a delay-insensitive cir-
Petri nets (35). A popular version of Petri nets, signal-transi-
cuit is based, is too restrictive in practice. For example, the
tion graphs (STG), was introduced by Chu. He also developed
unbounded gate-and-wire delay assumption implies that a
a synthesis technique for transforming STGs into speed-inde-
signal sent to multiple recipients by a fork incurs a different
pendent circuits (21). Chu’s work was extended by Meng, who
unbounded delay for each of the recipients. In (28) it is pro-
produced an STG-based tool for synthesizing speed-indepen-
posed to relax this delay assumption slightly by using iso-
dent circuits from high-level specifications (36). In this tech-
chronic forks. An isochronic fork is a fork whose difference in
nique, a circuit is composed of computational and intercon-
the delays of its branches is negligible compared with the de-
necting blocks. Computational blocks range from a simple
lays in the element to which it is connected. A delay-insensi-
shifter module to more complicated ones, such as ALUs,
tive circuit that uses isochronic forks is called a quasi-delay-
RAMs, and ROMs. Interconnecting blocks synchronize the
insensitive circuit (17,28). Although isochronic forks give more
operation of computational blocks by producing appropriate
design freedom in exchange for less delay insensitivity, care
control signals. Computational blocks generate completion
has to be taken with their implementation (29).
signals after their output data becomes valid. The intercon-
necting blocks use the completion signals to generate four-
Asynchronous-Sequential Machines phase handshake protocols.
The design of asynchronous-sequential, finite-state machines
Delay-Insensitive Circuits and Compilation
was initiated with the pioneering work of Huffman (23). He
proposed a structure similar to that of synchronous-sequen- Several researchers have proposed techniques for designing
tial circuits consisting of a combinational logic circuit, inputs, delay-insensitive circuits. Ebergen (37) has developed a syn-
outputs, and state variables (14). Huffman circuits, however, thesis method based on the formalism of trace theory. The
ASYNCHRONOUS CIRCUITS 721

WIRE a b The simplest primitive is the WIRE, a two-terminal element


that produces an output event on its output terminal b after
IWIRE a b every input event on its input terminal a. Input and output
events in a WIRE must alternate. An input event a must be
a followed by an output event b before another event a occurs.
JOIN c A WIRE is physically realizable with a wire, and events are
b implemented by voltage transitions. An initialized WIRE, or
IWIRE, is very similar to a WIRE, except that it starts by pro-
a ducing an output event b instead of accepting an input event
MERGE M c
b a. After this, its behavior exactly resembles that of a WIRE.
The primitive for synchronization is the JOIN, also called
the RENDEZVOUS (6). A JOIN has two inputs a and b and one
b output c. The JOIN performs the AND operation of two events
TOGGLE a
c a and b. It produces an output event c only after both of its
inputs, a and b, receive an event. The inputs can change
Figure 3. Some primitives in event-based designs.
again after an output is produced. A JOIN can be implemented
by a Muller C-element, explained in the next section.
The MERGE component performs the OR operation of two
method consists of specifying a component by a program and events. If a MERGE component receives an event on either of
then transforming this program into a delay-insensitive net- its inputs, a or b, it produces an output event c. After an input
work of basic elements (18). event, there must be an output event. Successive input events
Martin proposes a method (28) that starts with the speci- are not allowed. A MERGE is implemented by a XOR gate.
fication of an asynchronous circuit in a high-level program- The TOGGLE has a single input a and two outputs b and c.
ming language similar to Hoare’s Communicating Sequential After an event on input a, an event occurs on output b. The
Processes (CSP) (38). An asynchronous circuit is specified as next event on a results in a transition on output c. An input
a group of processes communicating over channels. After vari- event must be followed by an output event before another in-
ous transformations, the program is mapped into a network put event can occur. Thus, output events alternate or toggle
of gates. This method led to the design of an asynchronous after each input event. The dot in the TOGGLE schematic indi-
microprocessor (39) in 1989. Martin’s method yields quasi- cates the output which produces the first event.
delay-insensitive circuits.
Van Berkel (17) designed a compiler based on a high-level The Muller C-Element
language called Tangram. A Tangram program also specifies
a set of processes communicating over channels. A Tangram The Muller C-element is named for its inventor D. E. Muller
program is first translated into a handshake circuit. Then (24). Traditionally, its logical behavior is described as follows.
these handshake circuits are mapped into various target ar- If both inputs are 0 (1), then the output becomes 0 (1). Other-
chitectures, depending on the data-encoding techniques or wise the output remains the same. For the proper operation
standard-cell libraries used. The translation is syntax-di- of the C-element, it is also assumed that, once both inputs
rected, which means that every operation occurring in a Tan- become 0 (1), they do not change again until the output
gram program corresponds to a primitive in the translated changes. A state diagram is given in Figure 4. The behavior
handshake circuit. This property is exploited by various tools of the output c of the C-element is expressed in terms of the
that quickly estimate the area, performance, and energy dis- inputs a and b and the previous state of the output ĉ by the
sipation of the final design by analyzing the Tangram pro- following Boolean function:
gram. Van Berkel’s method also yields quasi-delay-insensi-
c = [ĉ · (a + b)] + (a · b) (1)
tive circuits.
Other translation methods from a CSP-like language to a
The C-element is used to implement the JOIN, which has a
(quasi-) delay-insensitive circuit are in (40,41).
slightly more restrictive environment behavior in the sense
that an input is not allowed to change twice in succession. A
AN ASYNCHRONOUS DESIGN EXAMPLE

In this section we present a typical asynchronous design, a


100 011
micropipeline (5). The circuit uses single-rail encoding with
a b a b
the two-phase signaling protocol to communicate data be-
tween stages of the pipeline. The control circuit for the pipe- c
000 110 111 001
line is a delay-insensitive circuit. First we present the primi-
tives for the control circuit, then we present the latches that b a b a
store the data, and finally we present the complete design.
010 101

The Control Primitives


Figure 3 shows a few simple primitives used in event-based (c)
design styles. The schematic symbol for each primitive is de-
picted opposite its name. Figure 4. State diagram of the C-element.
722 ASYNCHRONOUS CIRCUITS

VDD
VDD

a P1 P3 b
c
a P3 P4 b
a P1
P6 P5
b P2 P5 b P2 P4 a P6

c’ c c’ c

b N2 N5 b N2 N4 a N6
N6 N5
a N1
b N3 N4 a
c
a N1 N3 b

(a) (b)

Figure 5. Two CMOS implementations of the C-element: (a) conventional and (b) symmetric.

state graph for the JOIN is produced by replacing the bidirec- of three so-called double-throw switches. Implementation (b)
tional arcs by unidirectional arcs. includes a MERGE, a TOGGLE, and a level-controlled latch con-
There are many implementations of the C-element. We sisting of a double-throw switch and an inverter.
have given two popular CMOS implementations in Figure 5. A double-throw switch is schematically represented by an
Implementation (a) is a conventional pull-up, pull-down im- inverter and a switching tail. The tail toggles between two
plementation suggested by Sutherland (5). Implementation positions based on the logic value of a controlling signal. A
(b) is suggested by Van Berkel (29). Each implementation has double-throw switch, in fact, is a two-input multiplexer that
its own characteristics. Implementation (b) is the best choice produces an inverted version of its selected input. A CMOS
for speed and energy efficiency (42). There are many varia- implementation of the double-throw switch is shown in Fig-
tions of the C-element and other elements that are convenient ure 7 (5). The position of the switch corresponds to the state
for the design of asynchronous circuits. For some of these where c is low.
variations and their uses, in particular the asymmetric C-ele- An event-controlled latch can assume two states, transpar-
ment, see Ref. 28. ent and opaque. In the transparent state no data is latched,
but the output replicates the input, because a path of two
Storage Primitives inverting stages exists between the input and the output. In
Two event-controlled latches due to Sutherland (5) are de- the opaque state, this path is disconnected so that the input
picted in Figure 6. Their operation is managed through two data may change without affecting the output. The current
input control signals, capture and pass, labeled c and p, re- data at the output, however, is latched. Implementations in
spectively. They also have two output control signals, capture Figs. 6(a) and 6(b) are both shown in their initial transparent
done, cd, and pass done, pd. The input data is labeled D, and states. The capture and pass signals in an event-controlled
the output data is labeled Q. Implementation (a) is composed latch always alternate. Upon a transition on c, the latch cap-

c p c p

D Q Q

cd pd cd pd
Figure 6. Two event-driven latch imple-
mentations. (a) (b)
ASYNCHRONOUS CIRCUITS 723

VDD nous circuits that have gained much attention in the asyn-
chronous community. Many VLSI circuits based on
micropipelines have been successfully fabricated. The AMU-
c’ c LET microprocessor (9) is one example. Although there are
c many asynchronous implementations of micropipelines, we
x
only show an asynchronous implementation based on two-
y x phase signaling and data bundling, as given in Ref. 5. For
other implementations of pipelines involving four-phase sig-
z z naling, the reader is referred to Ref. 45.
y x
The simplest form of a micropipeline is a First-In-First-
Out (FIFO) buffer. A four-stage FIFO is shown in Figure 8. It
y
has a control circuit composed solely of interconnected JOINs
c c’ and a data path of event-controlled registers. The control sig-
nals are indicated by dashed lines. The thick arrows show the
direction of data flow. Data is implemented with single-rail
encoding, and the data path is as wide as the registers can
accommodate. Adjacent stages of the FIFO communicate
Figure 7. A CMOS implementation of a double-throw switch. through a two-phase, bundled-data signaling protocol. This
means that a request arrives at the next stage only when the
data for that stage becomes valid. A bubble at the input of a
tures the current input data and becomes opaque. The follow- JOIN is a shorthand for a JOIN with an IWIRE on that input. It
ing transition on cd is an acknowledgment to the data pro- implies that, initially, an event has already occurred on the
vider that the current data is captured and that the input input with the bubble, and the JOIN produces an output event
data can be changed safely. A subsequent transition on p re- immediately upon receiving an event on the other input.
turns the latch to its transparent state to pass the next data Initially, all control wires of the FIFO are at a low voltage
to its output. The p signal is acknowledged by a transition on and the data in the registers are not valid. The FIFO is acti-
pd. Notice that in implementation (a) of Fig. 6 signals cd and vated by a rising transition on Rin, which indicates that input
pd are merely delayed and possibly amplified versions of c data is valid. Subsequently, the first-stage JOIN produces a
and p, respectively. rising output transition. This signal is a request to the first-
A group of event-controlled latches, similar to implementa- stage register to capture the data and become opaque. After
tion (a) of Fig. 6, can be connected, sharing a capture wire capturing the data, the register produces a rising transition
and a pass wire, to form an event-controlled register of arbi- on its cd output terminal. This causes a transition on Ain and
trary data width. Implementation (b) of Fig. 6 can be general- a transition on r1, which is a request to the second stage of
ized similarly into a register by inserting additional level-con- the FIFO. Meanwhile, the data has proceeded to the second-
trolled latches between the MERGE and the TOGGLE. A stage register and has arrived there before the transition on
comparison of different micropipeline latches is reported in
r1 occurs. If the environment does not send any new data, the
(43) and later in (44).
first stage remains idle, and the data and the request signals
propagate further to the right. Notice that each time the data
Pipelining
is captured by a stage, an acknowledgment is sent back to the
Pipelining is a powerful technique for constructing high-per- previous stage which causes its latch to become transparent
formance processors. Micropipelines are elegant asynchro- again. When the data has propagated to the last register, it

Rin a1 r2 a3 Rout

c pd cd p c pd cd p
Dout
Din Reg Reg Reg Reg

cd p c pd cd p c pd

Figure 8. A four-stage micropipeline


Ain r1 a2 r3 Aout FIFO structure.
724 ASYNCHRONOUS CIRCUITS

Rin a1 r2 a3 Rout
Delay Delay

c pd cd p c pd cd p
Dout

Logic

Logic

Logic

Logic
Din Reg Reg Reg Reg

cd p c pd cd p c pd

Figure 9. A general four-stage micropipe- Delay Delay


Ain r1 a2 r3 Aout
line structure.

is stored and a request signal Rout is forwarded to the con- CONCLUDING REMARKS
sumer of the FIFO. At this point, all control signals are at a
high voltage except for Aout. If the data is not removed out of We have touched only on a few topics relevant to asynchro-
the FIFO, that is, Aout remains at low voltage, the next data nous circuits and omitted many others. Among the topics
coming from the producer advances only up to the third-stage omitted are the important areas of verifying, testing, and ana-
register, because the fourth-stage JOIN cannot produce an out- lyzing the performance of asynchronous circuits. We hope,
put. Finally, Aout also becomes high when the consumer ac- however, that within the scope of these pages we have pro-
knowledges receipt of the data. Further data storage and re- vided enough information for further reading. For more infor-
moval follows the same pattern. The operation of each JOIN is mation on asynchronous circuits, see (12, 46, or 47). A com-
interpreted as follows. If the previous stage has sent a request prehensive bibliography of asynchronous circuits is in (48).
for data capture and the present stage is empty, then send a Up-to-date information on research in asynchronous circuit
signal to capture the data in the present stage. design is at (49).
The FIFO is modified easily to include data processing. A The authors wish to thank Bill Coates for his generous
four-stage micropipeline, in its general form, is illustrated in criticisms of a previous draft of this article.
Figure 9. Now the data path consists of alternately positioned
event-driven registers and combinational logic circuits. The
event-driven registers store the input and output data of the BIBLIOGRAPHY
combinational circuits, and the combinational circuits per-
form the necessary data processing. To satisfy the data- 1. T. E. Williams and M. A. Horowitz, A zero-overhead self-timed
bundling constraint, delay elements are occasionally required 160ns 54b CMOS divider, IEEE J. Solid-State Circuits, 26: 1651–
to slow down the propagation of the request signals. A delay 1661, 1991.
element must at least match the delay through its corre- 2. C. E. Molnar et al., A FIFO ring oscillator performance experi-
sponding combinational logic circuit, either by some comple- ment, Proc. Int. Symp. Advanced Res. Asynchronous Circuits. Syst.,
tion detection mechanism or by inserting a worst-case delay. Los Alamitos, IEEE Computer Society Press, 1997, pp. 279–289.
A micropipeline FIFO is flexible in the number of data 3. T. J. Chaney and C. E. Molnar, Anomalous behavior of synchro-
items it buffers. There is no restriction on the rate at which nizer and arbiter circuits, IEEE Trans. Comput., C-22: 421–422,
data enter or exit the micropipeline, except for the delays im- 1973.
posed by the circuit elements. That is why this FIFO and mi- 4. L. R. Marino, General theory of metastable operation, IEEE
cropipelines generally are termed elastic. In contrast, in an Trans. Comput., C-30: 107–115, 1981.
ordinary synchronous pipeline, the rates at which data enter 5. I. E. Sutherland, Micropipelines, Communications ACM, 32: 720–
and exit the pipeline are the same, dictated by the external 738, 1989.
clock signal. A micropipeline is also flexible in the amount of 6. W. A. Clark and C. E. Molnar, Macromodular computer systems,
energy it dissipates, which is proportional to the number of in R. W. Stacy and B. D. Waxman (eds.), Computers in Biomedical
data movements. A clocked pipeline, however, continuously Research. Academic Press, 1974, vol. IV, chap. 3, pp. 45–85.
dissipates energy as if all stages of pipeline capture and pass 7. K. v. Berkel and M. Rem, VLSI programming of asynchronous
data all the time. Another attractive feature of a micropipe- circuits for low power, in G. Birtwistle and A. Davis (eds.), Asyn-
line is that it automatically shuts off when there is no activ- chronous Digital Circuit Design. Workshops in Computing, Berlin:
ity. A clocked pipeline, on the other hand, requires a special Springer-Verlag, 1995, pp. 152–210.
clock management mechanism to implement this feature. 8. K. v. Berkel et al., A fully asynchronous low-power error corrector
This sensing mechanism, however, constantly consumes en- for the DCC player, IEEE J. Solid-State Circuits, 29: 1429–
ergy because it should never go idle. 1439, 1994.
ASYNCHRONOUS CIRCUITS 725

9. S. Furber, Computing without clocks: Micropipelining the ARM 31. B. Coates, A. Davis, and K. Stevens, The Post Office experience:
processor, in G. Birtwistle and A. Davis (eds.), Asynchronous Dig- Designing a large asynchronous chip, Integration, VLSI J., 15:
ital Circuit Design, Workshops in Computing, Berlin: Springer- 341–366, 1993.
Verlag, 1995, pp. 211–262. 32. A. Davis, Synthesizing asynchronous circuits: Practice and expe-
10. A. P. Chandrakasan, S. Sheng, and R. W. Brodersen, Low-power rience, in G. Birtwistle and A. Davis (eds.), Asynchronous Digital
CMOS digital design, IEEE J. Solid-State Circuits, 27: 473– Circuit Design, Workshops in Computing, Berlin: Springer-Ver-
484, 1992. lag, 1995, pp. 104–150.
11. D. W. Dobberpuhl et al., A 200-mhz 64-b dual-issue CMOS micro- 33. S. M. Nowick and D. L. Dill, Automatic synthesis of locally
processor, IEEE J. Solid-State Circuits, 27: 1555–1568, 1992. clocked asynchronous state machines, Proc. Int. Conf. Comput.-
12. J. A. Brzozowski and C.-J. H. Seger, Asynchronous Circuits. New Aided Des. (ICCAD), Los Alamitos: IEEE Computer Society Press,
York: Springer-Verlag, 1995. 1991 pp. 318–321.
13. E. J. McCluskey, Fundamental mode and pulse mode sequential 34. K. Y. Yun and D. L. Dill, Automatic synthesis of 3-D asynchro-
circuits, Proc. IFIP Congr. 62, Amsterdam: North-Holland, 1963, nous state machines, Proc. Int. Conf. Comput.-Aided Des. (IC-
pp. 725–730. CAD), Los Alamitos: IEEE Computer Society Press, 1992, pp.
576–580.
14. S. H. Unger, Asynchronous Sequential Switching Circuits. New
35. J. L. Peterson, Petri nets, Computing Surveys, 9: 223–252, 1977.
York: Wiley-Interscience, 1969.
36. T. H.–Y. Meng, R. W. Brodersen, and D. G. Messerschmitt, Auto-
15. D. L. Dill, Trace Theory for Automatic Hierarchical Verification
matic synthesis of asynchronous circuits from high-level specifi-
of Speed-Independent Circuits. ACM Distinguished Dissertations,
cations, IEEE Trans. Comput.-Aided Des., 8: 1185–1205, 1989.
Cambridge, MA: MIT Press, 1989.
37. J. C. Ebergen, Translating Programs into Delay-Insensitive Cir-
16. J. Ebergen and S. Gingras, A verifier for network decompositions
cuits, vol. 56 CWI Tract., Amsterdam: Centre for Mathematics
of command-based specifications, Proc. Hawaii Int. Conf. Syst.
and Computer Science, 1989.
Sci., vol. I, Los Alamitos: IEEE Computer Society Press, 1993.
38. C. A. R. Hoare, Communicating Sequential Processes, Englewood
17. K. v. Berkel, Handshake Circuits: an Asynchronous Architecture Cliffs, NJ: Prentice-Hall, 1985.
for VLSI Programming, International Series on Parallel Computa-
39. A. J. Martin et al., The design of an asynchronous microproces-
tion, Cambridge: Cambridge University Press, 1993, vol. 5.
sor, in C. L. Seitz (ed.), Adv. Res. VLSI: Proc. Decennial Caltech
18. J. C. Ebergen, J. Segers, and I. Benko, Parallel program and Conf. VLSI, Cambridge: MIT Press, 1989, pp. 351–373.
asynchronous circuit design, in G. Birtwistle and A. Davis (eds.),
40. E. Brunvand and R. F. Sproull, Translating concurrent programs
Asynchronous Digital Circuit Design. Workshops in Computing,
into delay-insensitive circuits. Proc. Int. Conf. Comput.-Aided Des.
Berlin: Springer-Verlag, 1995, pp. 51–103.
(ICCAD), Los Alamitos: IEEE Computer Society Press, 1989, pp.
19. T. Verhoeff, A theory of delay-insensitive systems, Ph.D. Thesis, 262–265.
Dept. of Mathematics and Computer Science, Eindhoven Univer-
41. S. Weber, B. Bloom, and G. Brown, Compiling joy to silicon, T.
sity of Technology, 1994.
Knight and J. Savage (eds.), Proc. Brown/MIT Conf. Adv. Res.
20. M. B. Josephs and J. T. Udding, An overview of DI algebra, Proc. VLSI Parallel Syst., Cambridge: MIT Press, 1992, pp. 79–98.
Hawaii Int. Conf. Syst. Sci., vol. I, Los Alamitos: IEEE Computer 42. M. Shams, J. Ebergen, and M. Elmasry, A comparison of CMOS
Society Press, 1993. implementations of an asynchronous circuits primitive: the C-ele-
21. T.-A. Chu, Synthesis of self-timed VLSI circuits from graph-theo- ment, Int. Symp. Low Power Electron. Des., Digest of Technical
retic specifications, Ph.D. Thesis, MIT Laboratory for Computer Papers, New York: ACM Press, 1996, pp. 93–96.
Science, MIT, Cambridge, MA: 1987. 43. P. Day and J. V. Woods, Investigation into micropipeline latch
22. T. H.-Y. Meng, Asynchronous design for digital signal processing design styles, IEEE Trans. VLSI Syst., 3: 264–272, 1995.
architectures, Ph.D. thesis, University of California Berkeley, 44. K. Y. Yun, P. A. Beerel, and J. Arceo, High-performance asyn-
1988. chronous pipeline circuits, Proc. Int. Symp. Advanced Res. Asyn-
23. D. A. Huffman, The synthesis of sequential switching circuits, chronous Circuits Syst., Los Alamitos: IEEE Computer Society
IRE Trans. Electron. Comput., 257: (3,4): pp. 161–190, 275–303, Press, 1996.
1954. 45. S. B. Furber and P. Day, Four-phase micropipeline latch control
24. D. E. Muller and W. S. Bartky, A theory of asynchronous circuits, circuits, IEEE Trans. VLSI Syst., 4: 247–253, 1996.
Proc. Int. Symp. Theory Switching, Cambridge: Harvard Univer- 46. A. Davis and S. M. Nowick, Asynchronous circuit design: Motiva-
sity Press, 1959 pp. 204–243. tion, background, and methods, in G. Birtwistle and A. Davis
25. R. E. Miller, Sequential Circuits and Machines, vol. 2 of Switching (eds.), Asynchronous Digital Cricuit Design. Workshops in Com-
Theory. New York: Wiley, 1965. puting, Berlin: Springer-Verlag, 1995, pp. 1–49.
26. J. T. Udding, Classification and composition of delay-insensitive 47. S. Hauck, Asynchronous design methodologies: An overview,
circuits, Ph.D. Thesis, Dept. of Mathematics and Computer Sci- Proc. IEEE, 83: 69–93, 1995.
ence, Eindhoven University of Technology, 1984. 48. A. Peeters, The Asynchronous Bibliography. Uniform Resource
27. C. L. Seitz, System timing, in C. A. Mead and L. A. Conway Locator (URL) ftp://ftp.win.tue.nl/pub/tex/async.bib.Z. Corre-
(eds.), Introduction to VLSI Systems. Addison-Wesley, 1980, sponding e-mail address: async-bib@win.tue.nl.
chap. 7. 49. The Asynchronous Logic Homepage. Uniform Resource Locator
28. A. J. Martin, Programming in VLSI: From communicating pro- (URL) http://www.cs.man.ac.uk/amulet/async/.
cesses to delay-insensitive circuits, in C. A. R. Hoare (ed.), Devel-
opments in Concurrency and Communication. UT Year of Pro- MAITHAM SHAMS
gramming Series, Addison-Wesley, 1990, pp. 1–64. University of Waterloo
29. K. v. Berkel, Beware the isochronic fork, Integration, VLSI J., 13: JO C. EBERGEN
103–128, 1992. Sun Microsystems Laboratories
30. L. A. Hollaar, Direct implementation of asynchronous control MOHAMED I. ELMASRY
units, IEEE Trans. Comput., C-31: 1133–1141, 1982. University of Waterloo
ASYNCHRONOUS SEQUENTIAL LOGIC More generally, an ASC is called speed-independent
when it operates correctly (hazard-free) for any finite delay
In sequential logic (see Sequential Circuits), output is a in gates. A subset of these circuits generates a completion
function of current input and the state stored in the sys- signal indicating that its operation has finished. For cor-
tem. In synchronous sequential logic circuits, time is quan- rect behavior, changes in input signals are only allowed
tized, making all actions and state changes take place at when a completion signal is activated. More restrictive is
discrete intervals of time, determined by a regular source the delay-insensitive ASC, which works correctly for any
of pulses called a clock. For the other more general class, finite delay in gates and interconnections. An intermedi-
called asynchronous sequential circuits (ASCs), timing in- ate category is the quasi-delay-insensitive ASC, which is
formation is introduced without the use of a global clock; a delay-insensitive ASC that considers isochronic forks. In
thus, events in signals and changes in states take place at this type of ASC, delay in interconnections is arbitrary ex-
any time. The use of ASCs may often bring some advan- cept in forks, where the two branches have similar delays.
tages when implementing digital control and processing
structures. Such advantages come from the decentraliza-
tion of the timing control in the operation of the system,
with self-synchronization taking place at a local level.
This article introduces most of the fundamental issues SELF-TIMED APPROACH
related to ASCs: comparison with synchronous circuits and
potential advantages of ASCs, major specification and de- A self-timed circuit, also called a handshake circuit, is
sign techniques, different implementation architectures, an ASC that is self-synchronized with its environment
and performance characteristics. through a handshaking protocol (see Ref. 2 for a complete
An ASC can be simply defined as a sequential circuit introduction). The behavior of components and elements in
whose internal states change only in response to changes a self-timed system is conducted by events in their termi-
in its inputs, with no common timing reference (see Ref. 1 nal ports: The beginning of the operation of the system is
for a complete introduction). While the reader can easily caused by a specific event in an input signal (request), and
understand how a privileged signal—called the clock—can the end of the operation is indicated to the outside by an-
control the change of the state in a synchronous sequential other event in an output signal (acknowledgment). Thus,
circuit, the way to ensure correct operation in an ASC is not the time required to perform the computation or processing
so clear. Thus, it is necessary to establish more precisely is determined by internal delays of gates and interconnec-
the operational procedure of the ASC, establishing suppo- tions inside the circuit, corresponding to the time elapsed
sitions about the delay models of components and inter- between the request and the acknowledgment events. A
connections in the system. Negligible, bounded, arbitrary, precedence relation exists between such events, in that
or unbounded delay models in gates and interconnections initiation must take place prior to finishing, indicating a
can be considered. sequence of events.
A simple ASC model is the Huffman circuit (Fig. 1), A self-timed system can be defined either as (1) a self-
which is basically composed of a combinatorial circuit and timed circuit itself, or (2) a correct connection of self-timed
a set of feedback lines, with a bounded (or zero) delay model circuits. Such a correct connection incorporates the restric-
for interconnections. For a Huffman ASC to work properly tions in the communication between such elements, im-
(hazard- and race-free operation), the input signals can posed by the handshaking protocol. In a simplified model,
only change once the internal state has been correctly set- the handshaking protocol is verified by specific signals
tled (operation under fundamental mode and single-input called protocol signals. In such signals at least two events
change). There are some other operation modes, such as are necessary to describe the self-timed operation (request
input–output, multiple, or unrestricted input change, with and acknowledgment), and these events must alternate.
different constrains. A special operation mode, called burst Figure 2(a) shows a so-called two-phase, or no-return-to-
mode operation, allows operation in the fundamental mode zero (NRZ), handshaking protocol characterized by the
but on bursts of inputs rather than single inputs. For ad- events that occur at the edges of protocol signals. Thus,
ditional information, see Reading List. logic circuits operating under this protocol should be edge-
triggered. On the other hand, Fig. 2(b) displays a so-called
four-phase, or return-to-zero (RZ), handshaking protocol,
which is level-sensitive. Systems incorporating such proto-
cols will present different performance: The two-phase pro-
tocol is faster and, since it has less transitions, consumes
less power. However, the four-phase protocol is easier to im-
plement because it operates with less-costly level-sensitive
hardware.
Potential advantages in the use of the self-timed ap-
proach are based on its higher efficiency in computing data,
especially in those cases where processing time is strongly
data-dependent; self-timed ASCs operate on an average-
Figure 1. Huffman Circuit. Delay elements can be explicitly case basis, while synchronous circuits operate on a worst-
placed or being simply the delay in feedback lines. case basis.

J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright © 2007 John Wiley & Sons, Inc.
2 Asynchronous Sequential Logic

tem. The nature of clock skew is unpredictable for two main


reasons: first, at a logic level, the designer cannot prevent
the placement of memory elements in the layout, and sec-
ond, the variations in the delays depend on various factors,
such as operation temperature and technological process
deviations. Thus, the designer cannot ensure clock-skew-
free operation.
Figure 3 shows an example of the pernicious effects of
clock skew. The correct operation requires that the first
bistable store its input datum D1 , while the second bistable
stores Q1 . However, if the delay in the clock signal is greater
than the delay in the datum line (2 > 1 + propagation
delay of first bistable), D1 may be stored instead in the
second bistable, so that the Q1 value is lost.
This problem is nowadays aggravated because, with cur-
rent technologies, delays in interconnection paths are be-
coming comparable to delays in gates. Classical solutions
to this problem, such as (1) routing of clock signals in the
Figure 2. Handshaking protocol: (a) 2-phase or edge-sensitive; opposite direction to data flow and (2) use of nonoverlap-
(b) 4-phase or level-sensitive. ping clocks, limit the overall performance of the system.
The most effective solutions, such as identical buffering in
GLOBALLY ASYNCHRONOUS LOCALLY each clock path or routing clock signals through H-tree net-
SYNCHRONOUS APPROACH works, are much more expensive in design time and cost
(5–7). Parameters that should be taken into account in gen-
A promising method between pure synchronous and self- erating and distributing clock signals are routing layers,
timed circuits is the Globally Asynchronous Locally Syn- clock network shape, clock generators, rise and fall times,
chronous (GALS) approach. Although the background for and capacitive and resistive load in lines.
this technique was set in 1984 (3), recently has received
a lot of attention because it offers advantages from both Synchronization Problems
the synchronous and asynchronous domains. In GALS sys-
Synchronization problems can have various causes, but
tems, the components are synchronous modules operating
the primary cause is metastable operation in bistables.
at their own clock speed, which allows the proven syn-
The probability of failure increases with the complexity
chronous design methodologies to be used. The interface
and operation speed of the system. In synchronous op-
between the synchronous components is made with self-
eration, some timing restrictions in bistables, concerning
timed circuitry, generating the local clock signal under a
hold time, setup time, and pulse width, must be observed.
request-acknowledgement basis. The main advantages in
Due to asynchronous interactions—a delay between data
using the GALS technique are the elimination of problems
and clock signals, for instance—that cause a violation in
related to the usage of a global clock (see next section)
such restrictions, a bistable may enter its metastable state,
and the possibility of using classical synchronous cores,
showing in its output an undetermined logic state for an
methodologies and CAD tools. The main drawback is the
indefinite time. If such output acts as the input of two par-
metastability problem when interacting synchronous and
allel bistables, these may read different logic values upon
asynchronous signals, being this problem faced with design
the arrival of the next clock edge. In such a case, a system
solutions (4).
error occurs as a consequence of a synchronization fault.
In view of these problems, it is of interest to consider the
LIMITATIONS OF SYNCHRONOUS CIRCUITS design of ASCs, which provide solutions in that (1) ASCs do
not need a global clock to synchronize the operation of the
Most of the problems and limitations of synchronous cir- circuit, and (2) the handshaking protocol imposes restric-
cuits stem from the existence of a global clock signal. The tions on changes in the inputs of the memory elements.
main problems are crosstalk noise and, especially, clock-
skew and synchronization faults.
DESCRIPTION AND REPRESENTATION TECHNIQUES
OF ASYNCHRONOUS SEQUENTIAL CIRCUITS
Clock-Skew Problems
In synchronous circuits, the clock signal must arrive Flow diagram and tables are the classic ways of describing
simultaneously at the memory elements to avoid race ASC (1). States are stored in the feedback loops or in asyn-
problems. However, generating and distributing high- chronous latches. Correct operation is ensured with the as-
frequency clocks inside very large-scale (VLSI) integrated sumption of operation in the fundamental mode and with
circuits (ICs) is a very difficult and expensive task, so that single-input change. Once the flow table has been gener-
eliminating clock skew often limits system performance (5– ated or obtained, minimization and assignment processes
7). Clock skew appears because the clock paths suffer dif- generate an asynchronous implementation, which should
ferent delays, causing synchronization failures in the sys- be race- and hazard-free.
Asynchronous Sequential Logic 3

Figure 3. Illustrative example of neg-


ative effects of clock skew. Datum in Q1
can be lost if delay in clock line is higher
than delay in datum line plus propaga-
tion delay in first bistable.

to the state (Rout Aout = 0 1), indicating that the request


has been acknowledged and considered. Only when Ain is
disabled (A− in ), indicating that the following cell is idle, does
the system go to the state (Rout Aout = 11), transferring the
request from the present to the next stage. Disabling the
input request (R− in ) forces the falling of the acknowledge
signal (A− out ), leading the system to the state (Rout Aout =
10), from which the system is returned to the initial state
by disabling Ain .
The proposed race-free implementation uses as a mem-
ory cell a C-Muller element: a bistable that stores its input
values when they are coincident. The characteristics of this
bistable (i.e., the fact that it performs the AND operation
on events) make it the recommended memory element for
self-timed implementations, as suggested by Meng (8) and
Martin (9).
Peculiarities of ASCs exclude classic synthesis tools
used for synchronous sequential circuits. Different
methodologies have been presented, which are focused on
graph- and state-based descriptions. Also, VLSI program-
ming and sintax-driven translation has recently received
attention (9–11).
Figure 4. State table (a) and STG (b) corresponding to an Synthesis tools using state representation (flow dia-
asynchronous pipeline interface (c), working under a 4-phase grams and tables) are oriented toward the implementa-
handshaking protocol, and implemented with two two-input C- tion of race-free tables by using techniques of state mini-
elements (d). mization and efficient assignment. For instance, so-called
“single-transition table” (STT) state assignments provide
race-free VLSI circuits (see Reading List).
The characteristic of ASCs by which changes in inputs Synthesis tools using graph representations (STG or
directly bring about changes in states and outputs makes Petri nets) utilize as input an original graph that contains
graph-based descriptions suitable. Such representations the desired behavior. This graph is transformed by using
easily describe precedence relations between events in sig- different techniques in such a way that the transformed
nals and allow for parallelism, decisions, and conflict be- graph verifies some properties of “good behavior,” such as
tween processes as well. An important, but not unique, liveness, safety, or semimodularity. Some algorithms gen-
graph-based description is the signal transition graph erate a state diagram and a hazard-free circuit is synthe-
(STG), an interpreted Petri net. Basically, STGs are formed sized. The transformation of the original graph into the
by places—signal transitions, labeled + for rise transitions modified one takes place but adding arcs (causal relations)
and—for fall transitions—and by arcs connecting places. If and signals in such a way that the transformed graph ver-
the STG satisfies some criteria of “good behavior” (liveness, ifies the above-mentioned properties, and the resulting cir-
safety, persistence, semimodularity, etc.), it may represent cuit is hazard-free (see Reading List).
a hazard-free ASC (5). Other techniques based on Petri nets VLSI programming allows the description of typical
are change diagrams and I nets. asynchronous VLSI processes such as concurrence and par-
Figure 4 shows the table- and graph-based description allelism between processes. Synthesis tools based on VLSI
and a possible implementation of a pipeline interface op- programming, as for instance TANGRAM (10), BALSA
erating under a four-phase handshaking protocol. Rin per- (11) or the one used in (9), directly translate a high-level
forms a request from block A, acknowledged by Aout and description into hardware through connections between
transmitted to block B through the Rout signal, depending handshake signals and circuits.
on the value of Ain , which indicates if B is ready to accept
data. All signals are considered active high.
Starting from an initial state (Rout Aout = 0 0) with no re-
quest stored, activation of a request (R+in ) leads the system
4 Asynchronous Sequential Logic

ARCHITECTURES OF ASYNCHRONOUS SEQUENTIAL Differential Circuits. Using differential circuits as


CIRCUITS computation or processing blocks provides an effi-
cient way of generating completion signals (8). These
The main parameter that characterizes the architecture circuits, which are well suited for complementary
of ASCs is the way that the self-synchronization is per- metal–oxide–semiconductor (CMOS) implementations,
formed. In self-timed ASCs, the timing control is carried generate both the true and the complemented outputs.
out by data themselves, assisted by specific protocol— However, dual-coded inputs are needed. Conversion of
handshake—signals. The way that this information is in- single-rail to dual-rail data can be performed at a local
cluded depends on the data encoding and on the rela- level. The generic schematic and waveform diagrams are
tionship between data and handshake signals. There are shown in Fig. 7. In the precharge phase, outputs take the
two main data signaling schemes used in self-timed ASCs: same value, while in the evaluation phase, the logic func-
dual- and single-rail codification. tion is performed and the two outputs take complemented
values. A simple logic gate detecting the complemented
Dual-Rail Codification values can generate the completion signal. The main
advantage is the adaptability to new operation conditions,
Using dual-rail code, also called self-synchronizing or
but at the cost of expensive hardware resources.
delay-insensitive code, allows the inclusion of information
Figure 8 shows an example of bundled-data architec-
about the validity of data by including redundancy of in-
ture using differential circuits to generate completion sig-
formation. A simple code uses two signal bits (xt and xf )
nals. Synchronous memory elements (D flip-flops) are lo-
per data bit (x). Thus, we can express four possible values:
cally clocked by protocol signals (not shown in the figure)
when both xt and xf are inactive (low level, for instance),
in such a way that data must be stored and stable while
an “empty” or “spacer” state is defined, indicating that data
they are being processed. Single- to dual-rail data conver-
are not valid. When either xt or xf is active (high level, for
sion takes place in the memory elements. Interconnection
instance), the data are valid (true or false, respectively).
circuits are implemented with two C elements, as we can
By definition, xt and xf cannot be simultaneously active.
see in the ASC shown in Fig. 4. The Rout signal acts as a
Figure 5 presents a waveform diagram showing the syn-
request signal for the differential circuit, while the comple-
chronization scheme using dual-rail data, working with a
tion signal is the Rin signal for the following interconnec-
four-phase handshaking protocol. Only one transition per
tion circuit.
bit takes place per operation cycle, while valid data and
spacers are forced to alternate. Delay-insensitive operation
may be ensured, since delay in interconnections would only MACROMODULE-BASED CIRCUITS
bring about additional delays in transitions, but events oc-
cur in the right sequence. Most current handshake circuits combine some of the
above-mentioned characteristics: two- or four-phase hand-
Single-Rail Codification shaking protocol, matched delays or differential circuits,
and single-rail or dual-rail codification. A common charac-
This approach, also called bundled data, uses a specific
teristic is their modularity, in the sense that we can inter-
handshake signal to validate data, in such a way that only
connect several modules that work under the same hand-
one signal per data bit is needed. However, synchronization
shaking protocol and codification schemes to build a com-
between the validation signal and data signal is required
plex self-timed ASC. Thus, an efficient approach to the de-
to ensure correct operation; thus, delay-insensitive opera-
velopment of handshake circuits is the use of a library of
tion is not guaranteed. To validate the output data of an
macromodules that, correctly interconnected, can perform
ASC, it is necessary to generate a completion signal once
any desired functionality.
the operation of the circuit is finished. This completion sig-
With respect to interconnections between macromod-
nal can be used as a request signal for other ASCs. The
ules, although they can be used to design delay-insensitive
two most widely accepted mechanisms for generating com-
control modules, their implementation is not delay-
pletion signals are based on the use of matched delays and
insensitive or even speed-independent.
the use of differential circuits as computation or processing
elements.
Micropipelines
Matched Delays. This technique (2, 12) generates a com- A very important macromodule-based approach, called mi-
pletion signal by using a delay element that matches the cropipelines, was presented by Sutherland (12). It uses a
worst-case delay of the combinational logic (Fig. 6). When two-phase handshaking protocol, single-rail codification,
the request is activated, input data are valid. Since the and matched delays, and its basic architecture is shown in
combinational logic takes less time to process data than Fig. 9. For the data path, it uses data pass–capture latches
the propagation time of the delay element, once the com- to store data in events of protocol signals. For control, it
pletion signal is activated, the output data are necessarily uses a library of event-sensitive macromodules, shown in
valid. This scheme has the advantage of simplicity, but its Fig. 10. The XOR gate and the C element perform the OR
operation is always performed considering the worst-case and AND operation of events, respectively. The toggle cell
delay. Furthermore, the correct calculation of propagation transfers an event from its input to its two outputs alter-
delays and implementation of delay elements requires ex- nately, starting with the dotted output. The select block
haustive timing simulations (see Delay circuits). allows a Boolean to direct the input event to the true or
Asynchronous Sequential Logic 5

Figure 5. Speed-independent buffer as example of a dual-rail


scheme for data signaling. A 4-phase handshaking protocol has
been used. Empty (E) and Valid Data values are forced to alter-
nate.

Figure 6. Bundled-data scheme using matched delays to gen-


erate complete signal. 1 (2 ) matches the worst case delay of
C1 (C2 ). Data signals must be validated by handshake signals.

Figure 7. (a) Logic schematic of a generic differential logic block.


LOAD block sets the precharge values and the differential tree
generates both the true and the complemented logic output. (b)
Waveform diagram showing how precharge and evaluation phases
alternate.

false output. The call block allows two independent, mu- As an example of an ASC built with handshake circuits
tually exclusive processes to share a common subprocess. (taken from Ref. 10), Fig. 11 shows the high-level descrip-
The arbiter cell grants a common resource to only one of tion, symbol, and handshake-based implementation of one-
the elements that requested it. The mutually exclusive el- and two-stage first-in, first-out (FIFO) memories. There is
ement (MUTEX) ensures that the resource can never be a direct translation from language (forever do) into a hand-
used simultaneously by the two elements that requested shake circuit (repeater block, marked with ∗; and with
it. a possible implementation is shown in Fig. 12). Blocks
marked “;” are sequencers, which complete handshaking
from the ASC’s input to its outputs alternatively. The T
Control and Data Handshake Circuits and x blocks, called transferrers and handshake latches, re-
spectively, are data handshake blocks, capable of transfer-
One of the main approaches to the design of ASCs uses
ring and storing data signals according to the handshake
VLSI programming for direct translation of high-level de-
protocol. An open circle a block indicates that the request
scriptions into hardware (9–11). Control and data hand-
acts as input and the acknowledge as output (passive port),
shake circuits are the result of compiling the behav-
while a filled circle indicates an output request and input
ior description. A handshake circuit is a (quasi) delay-
acknowledge (active port).
insensitive network of components connected by commu-
For the one-stage FIFO in Fig. 11 (see Fig. 4 for the
nication channels. A control handshake circuit communi-
same basic functionality), the statement (a?x0; b!x1) indi-
cates with other components through request/acknowledge
cates that input a is loaded in variable x, which can be read
signaling through the channels. Data handshake circuits
through the b port. The action of the sequencer makes it
also include data signaling. Following heuristic or system-
possible for data to be written before being read, verify-
atic techniques, you can design more complex components
ing the handshaking protocol. The two-stage FIFO is built
based on simple handshake circuits.
6 Asynchronous Sequential Logic

Figure 8. Example of bundled-data architecture using differential circuits as computation blocks.


The generation of complete signals is quite straightforward by using a logic gate. A 4-phase hand-
shaking protocol is used.

Figure 9. Micropipelined single-rail data architecture. The data path is implemented with combi-
natory logic to perform the logic function and Pass–Capture latches to store data. Control manages
protocol signals and write/read operation in latches. Matched delays are used for completing hand-
shaking protocol (see reference 12 for more complex examples).

with two cascaded one-stage FIFOs operating in parallel; it tential, more timing reliability, lower noise and electro-
is marked with the symbol  in the specification (Fig. 11). magnetic emission, and higher modularity. However, the
The final circuit can be synthesized by substituting each most prominent advantages of ASCs come from their spe-
handshake component for its schematic and layout. cial ability to exploit data dependence in operation time
and their lower power consumption. Thus, there are some
applications where ASCs can be recommended, such as dig-
DISCUSSION OF CHARACTERISTICS AND ital signal processing and low-power applications. Some
PERFORMANCES emergent applications are in the field of thermally-aware
circuits, secure systems as smart cards, and the implemen-
Current state-of-the art ASCs are more complex and, in tation of bio-inspired artificial vision systems, based on
general, more difficult to design than their synchronous the asynchronous address-event-representation communi-
counterparts. Many advantages of ASCs over synchronous cation scheme. Advanced aspects, such as testability or ver-
circuits have been claimed, such as automatic adapta- ification, are still under development.
tion to physical properties, better accommodation to asyn-
chronous external inputs, better technology migration po-
Asynchronous Sequential Logic 7

Figure 10. Event-sensitive macromodule library and a possible CMOS implementation of each cell.

Figure 11. High-level description, symbol and module imple-


mentation of 1-stage and 2-stage FIFO memories.

To show the data dependence, let us consider (Fig. 13) bits have the same value (ai , bi , ci−1 ) = (1, 1, x) or (0, 0, x),
a ripple carry adder (see Summing circuits), where the giving as output carry 1 and 0, respectively, regardless of
time needed to perform the operation depends on the input the value of the input carry. The worst case is given by the
words (2). The best cases correspond to direct generation of propagation of carry throughout the whole chain, whereby
output carry of all cell bits, and this occurs when the added each cell needs the output carry of the previous cell to fin-
8 Asynchronous Sequential Logic

Figure 12. A possible implementation of a Repeater block. Its


functionality is summarized as follows: a requests b (ar+ ); b is indef-
initely executed (br+ → bk+ → br− → bk− ); a is released (ak+ ). A 4-phase Figure 14. Representation of the power consumption vs opera-
handshaking protocol is supposed. tions performed. In the synchronous case, there is power consump-
tion even if there are no data to compute. In a self-timed ASC, for
relatively low input data rate, consumption is lower.

occur in areas involved in the current computation. More-


over, problems related to the generation of clocks are min-
imized. Figure 14 shows a generic representation of power
consumption versus operations performed. Because a clock
consumes power when the circuit is idle, depending on the
Figure 13. Ripple carry adder as an example (taken from ref-
erence 2) showing the dependence of processing time with input input data rate, the ASC consumes less power. A good ex-
data. The operation performed by each full adder is ci = ai bi + ai ample of an ASC exhibiting less power consumption than
ci−1 + bi ci−1 ; si = ai xor bi xor ci−1 . If an bn = 1 1, then cn = 1; if an its synchronous counterpart is found in Ref. 13.
bn = 0 1, then cn = an−1 bn−1 + an−1 cn−1 + bn−1 cn−1 , depending There are some interesting approaches combining the
recursively on the previous carry. advantages of the synchronous and the asynchronous style.
These structures are locally clocked and are based on the
ish its processing. An input vector such as (ai , bi , ci−1 ) = (1, generation of a local clock that ensures correct operation
0, x) will create such a situation. This example shows how of the circuit under asynchronous inputs. The most impor-
the data themselves lead to very different time process- tant are those based on burst-mode operation, metastable-
ing. While synchronous circuits must take into account the insensitive Q-modules, and stoppable clocks (10).
worst-case operation, ASC can operate on the average case.
BIBLIOGRAPHY
Operation Speed
1. S. H. Unger, Asynchronous Sequential Switching Circuits.,
At a circuit level, ASCs show more tolerance for physical New York: Wiley-Interscience, 1969.
variations, such as deviation in the technological process 2. C. L. Seitz, System timing, inC. A. Mead andL. Conway (eds.),
and variations in supply voltage and temperature. This is Introduction to VLSI Systems. Reading, MA: Addison-Wesley
mainly due to the action of the handshake and the gen- Pubs., 1980.
eration of completion signals (indicating when the opera- 3. D. M. Chapiro, Globally-asynchronous locally-synchronous,
tion has been finished) and to their working at the max- PhD thesis, Stanford University, 1984.
imum speed possible. At an algorithmic or architectural 4. D. Sokolov and A. Yakovlev, Clockless Circuits and System
level, ASCs’ ability to operate on an average case is help- Synthesis, IEE Proc. Computers and Digital Techniques, 152
ful, especially when the worst and average cases are very (3): 298–316, 2005.
different; and they are not limited by the slowest process- 5. H. B. Bakoglu, Circuits, Interconnections and Packaging for
ing block (2, 8). However, verification of the handshaking VLSI. Reading, MA: Addison-Wesley Pubs., 1990.
protocol requires two processes: (1) monitoring the state, 6. J. M. Rabaey, Digital Integrated Circuits. A Design Perspective.
and (2) “wait or go” operation. Thus a tradeoff exists be- Englewood Cliffs, NJ: Prentice-Hall, 1996.
tween the two approaches. 7. E. G.Friedman, Clock Distribution Networks in Synchronous
Digital Integrated Circuits, Proceedings of the IEEE, 89 (5):
Power Consumption 665–692, 2001.
8. T. H. Y. Meng, Synchronization Design for Digital Systems.
In synchronous circuits, clock lines have to be toggled and Norwell, MA: Kluwer Academic Pubs., 1991.
circuit nodes charged and discharged even in unused parts 9. A. J. Martin, Compiling communicating processes into delay-
or when the circuit is idle and there are no data to compute. insensitive VLSI circuits. Distributed Computing, 1 (4):
Also, the generation of “good” clock signals (vertical edges) 226–234, 1986.
consumes a lot of power in each transition. Although ASCs 10. K. van Berkel, Handshake Circuits: an Asynchronous Archi-
often require more signal transitions in a given computa- tecture for VLSI Programming. Cambridge University Press,
tion than do synchronous circuits, these transitions usually 1993.
Asynchronous Sequential Logic 9

11. A. Bardsley,The BALSA Asyn-


chronous Synthesis Systems web pages:
http://www.cs.manchester.ac.uk/apt/projects/tools/balsa/

12. I. E. Sutherland, Micropipelines. Commun. of the ACM, 32 (6):


720–738, 1989.
13. K. van Berkel, R. Burgess, J. Kessels, M. Roncken, F. Schalij,
and A. Peeters, Asynchronous circuits for a low power: A DCC
error corrector. IEEE Design and Test of Computers, 11 (2):
22–32, 1994.

Reading List

Most classical textbooks dedicated to digital logic design discuss


asynchronous sequential logic. A summary of them is as follows:

A. E. A. Almaini, Electronic Logic Systems. Englewood Cliffs, NJ:


Prentice-Hall, 1994, chap. 5.
E. J. McCluskey, Logic Design Principles. Englewood Cliffs, NJ:
Prentice-Hall, 1986, chap. 9.
F. J. Hill and G. R. Peterson, Computer Aided Logical Design with
Emphasis on VLSI. New York: John Wiley & Sons, 1993, chap.
14.
R. F. Tinder, Digital Engineering Design: A Modern Approach. En-
glewood Cliffs, NJ: Prentice-Hall 1991, chap. 6.
S. H. Unger, The Essence of Logic Circuits. 2nd ed., Piscataway,
NJ: IEEE Press, 1997, chap. 6.

ANTONIO J. ACOSTA-JIMÉNEZ
MANUEL J. BELLIDO-DÍAZ
ANGEL BARRIGA-BARROS
University of Seville, Seville,
Spain
ATTENUATORS Figure 1 illustrates this concept. The relation between
Np and dB is,
Attenuators allow a known source of power to be reduced
by a predetermined factor usually expressed as decibels.
Attenuators are linear, passive or active networks or de-
vices that attenuate electrical or microwave signals, such Here the load and source are matched to the characteristic
as voltages or currents, and hence power in a system by impedance. The decibels are converted to the attenuation
a predetermined ratio. The most commonly used method ratio as follows: Pin /Pout = log−1 10 dB/10 or Vin /Vout = log−1 10
in attenuators is placing resistors at the center of an elec- dB/20.
tric field, which induces a current resulting in ohmic loss. A
great advantage of attenuators is that since it is made from
non-inductive resistors, they are able to change a source or APPLICATION
load, which might be reactive, into one which is precisely
known and resistive. This power reduction is achieved by There are many instances when it is necessary to reduce
the attenuator without introducing distortion. Attenuators the value or level of electrical or microwave signals, such
are used in a wide variety of applications and can sat- as voltages and currents by a fixed or variable amount to
isfy almost any requirement where a reduction in power is allow for the rest of the system to work properly. Atten-
needed. Attenuators are used to extend the dynamic range uators are used for this purpose. For example, in turning
of devices such as power meters and amplifiers, reduce sig- down the volume on a radio, stereo CD player or IPod, we
nal levels to detectors, match circuits and are used daily in make use of a variable attenuator to reduce the signal. Al-
lab applications to aid in product design. Attenuators are most all electronic instruments use attenuators to allow
also used to balance out transmission lines that otherwise for the measurement of a wide range of voltage and cur-
would have unequal signal levels. Attenuation is usually rent values, such as voltmeters, oscilloscopes, and other
expressed as the ratio of input power (Pin ) to output power electronic instruments. Thus, the various applications in
(Pout ), in decibels (dB), as which attenuators are used include the following:

 To reduce signal levels to prevent overloading


 To match source and load impedances to reduce their
interaction
This is derived from the standard definition of attenuation  To measure loss or gain of two-port devices
in Nepers (Np), as  To provide isolation between circuit components, or
circuits or instruments so as to reduce interaction
among them
 To extend the dynamic range of equipment and pre-
vent burn-out or overloading equipment
where α is attenuation constant, Np/m, and x is the dis-
tance between the voltages of interest, E1 and E2 .
TYPES

There are various types of attenuators based on the nature


of circuit elements used, type of configuration, and kind of
adjustment. They are as follows:

 Passive and active attenuators


 Absorptive and reflective attenuators
 Fixed and variable attenuators

Figure 1a Concept and definition of attenuation.


A fixed attenuator is used when the attenuation is con-
stant. Variable attenuators have varying attenuation, us-
ing varying resistances for instance. The variability can be
in steps or continuous, obtained either manually or pro-
grammably. There are also electronically variable attenu-
ators. They are reversible, except in special cases, such as
a high-power attenuator. They are linear, resistive, or re-
active, and are normally symmetrical in impedance. They
include waveguide, coaxial, and strip lines, as well as cali-
brated and uncalibrated versions. Figures 2, 3, and 4 show
fixed, manual step, and continuously variable commercial
Figure 1b Alternative representation. attenuators.

J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright © 2007 John Wiley & Sons, Inc.
2 Attenuators

HP 84904L programmable step attenuator, direct cur-


rent (dc) to 40 GHz, 0 dB to 11 dB, 1 dB steps
HP 84906K programmable step attenuator, dc to 26.5
GHz, 0 dB to 90 dB, 10 dB steps
HP 84904L programmable step attenuator, dc to 40
GHz, 0 dB to 70 dB, 10 dB steps
HP 8495B manual step attenuator, dc to 18 GHz, 0 dB
to 70 dB, 10 dB steps
HP 355F programmable step attenuator, dc to 1 GHz, 0
Figure 2. Fixed coaxial attenuator. (Courtesy of Weinschel dB to 120 dB, 10 dB steps
Associates.) HP 8493A Coaxial fixed attenuator, dc to 12.4 GHz

Based on their utility, military attenuators are classified


as:
Class I For use as a primary standard
Class II For use as a secondary standard, and in
lab and precision test equipment
A—with lumped-constant or dis-
tributed shunt and series elements
B—with lossy-line pads
Class III For use in general field equipment
Class VI For use in equipment where precision
and stability are secondary considera-
tions
Typical mil specifications for fixed coaxial attenuators
are as follows:

Mil-A-3933/1: Attenuators, fixed, coaxial line, dc to 3


Figure 3. Manual step attenuator. (Courtesy of Weinschel GHz, class IIA, low power
Associates.) Mil-A-3933/2: Attenuators, fixed, coaxial line, 1 GHz to
4 GHz, class IIB, medium power
Mil-A-3933/10: Attenuators, fixed, coaxial line, dc to 18
GHz, class III, medium power
Mil-A-3933/26: Attenuators, fixed, coaxial line, 0.4 GHz
to 18 GHz, class IV low power

SPECIFICATIONS

To specify an attenuator, the purpose of the attenuator


should be known. Attenuators are used to provide protec-
Figure 4. Continuously variable attenuator. (Courtesy of Wein- tion, reduce power, and extend the dynamic range of the
schel Associates.) test equipment. In choosing an attenuator, the frequency
range of operation should be considered since the accuracy
depends on the frequency. Attenuation involves placing re-
Based on their usage, IEEE Std 474 classifies them as sistive material to absorb the signal’s electric field. This
Class I Standard
means, there will always be some reflection. So, attenua-
Class II Precision
Class III General purpose
tors must be designed to minimize reflection. This is quan-
Class VI Utility tified in terms of voltage standing wave ratio (VSWR). An-
other factor to be considered is the insertion loss, which is
Typical commercial attenuators are listed below: the ratio of power levels with and without the component
insertion. If it is a variable step attenuator, the step size is
to be known. Thus, the parameters available in the specs
WA 1 (0 GHz to 12.4 GHz), WA 2 (0 GHz to 3 GHz),
are as follows:
coaxial, fixed attenuators: 1 dB to 60 dB; 5 W av./1
kW PK
WA 115A manual step attenuators: 0 GHz to 18 GHz, 0 dB rating
dB to 9 dB, 1 dB steps VSWR
VA/02/100 continuously variable attenuators, resistive, Accuracy
0 GHz to 2 GHz, 5 W av./0.5 kW PK Power rating
Attenuators 3

Step size (if variable) Characteristic Insertion Loss This is the insertion loss
Frequency band in a transmission line or waveguide that is reflection-
Degree of stability (measured by the change in atten- less in both directions from the inserted attenuator.
uation due to temperature, humidity, frequency, and Power-Handling Capabilities Maximum power that can
power level variations) be applied to the attenuator under specified condi-
Characteristic impedance of attenuator tions and durations without producing a permanent
change in the performance characteristics that would
Repeatability
be outside of specification limits.
Life
Power Sensitivity This is the temporary variation in
Degree of resolution (difference between actual attenu- attenuation (dB/W) under steady-state conditions
ation and measured value) when the input power is varied from 10 mW to max-
imum input power.
The definitions of various parameters used in selecting at- Stability of Attenuation Capability of attenuator to re-
tenuators are given below. tain its parameters when subjected to various envi-
ronmental conditions.
Electrical Parameters and Definitions (From Operating Temperature Range Temperature range the
MIL-HDBK-216) attenuator can be operated with maximum input
Attenuation A general transmission term used to indi- power.
cate a decrease in signal magnitude. This decrease Temperature Sensitivity Temperature variation in at-
in power is commonly expressed in decibels (dB) as: tenuation [dB/(dB × ◦ C)] over the operating range.
Input VSWR This is the level of reflected signal cre-
ated at the attenuator input when the output is ter-
minated with a load with the same characteristic
impedance as the source.
Deviation of Attenuation from Normal Difference in ac-
Output VSWR This is the level of reflected signal cre-
tual attenuation from the nominal value at 23◦ C and
ated at the attenuator output when the input is ter-
an input power of 10 mW at a specified reference fre-
minated with a load with the same characteristic
quency or frequency range. When used in a frequency
impedance as the source.
range, it involves the frequency sensitivity.
Frequency Sensitivity This is the peak-to-peak varia-
tion in the loss of the attenuator through the speci- PASSIVE ATTENUATORS
fied frequency range.
Frequency Range Range of frequency over which the Resistance Networks for Attenuators
accuracy of attenuator is specified. Typically T, Pi, or L designs are used for attenuators.
Insertion Loss Amount of power loss due to the insertion Figure 5 shows four commonly used symmetrical (input
of the attenuator in the transmission system. It is and output resistors of equal value) configurations. The
expressed as a ratio of the power delivered to that formulas for the resistance values in ohms for these pads
part of the system following the attenuator, before when the characteristic resistance R0 = 1  are given be-
and after the insertion. low. If R0 is other than 1 , multiply each of the resistance

Figure 5. Symmetrical pads with matched impedances. (a) T pad. (b) Pi pad. (c) Bridged T pad. (d) Balanced pad.
4 Attenuators

Figure 6. Unsymmetrical matching L attenuator.

values (a, b, c, 1/a, 1/b, and 1/c) by R0 , where

Simple wirewound resistors are used in audio applica-


tions. Nonreactive wirewound resistors, such as mica card,
Aryton-Perry winding, woven resistors are used for high
frequencies. For coaxial applications (over 26.5 GHz), thin-
film resistors are used. For higher frequencies, distributive
resistive films, such as nichrome alloy film, on a high qual-
ity ceramic substrate, such as alumina or sapphire, is used.
Figure 7. Definition of characteristic insertion loss. (a) Original
An unsymmetrical pad is shown in Figure 6, and the for-
setup without attenuator. (b) Original setup with attenuator be-
mulas for this pad are
tween source and load.

Typical values for the pads in Figure 5 are shown in


Table 1, and those of Figure 6 are shown in Table 2.
For a broad-band match between impedances R1 and R2 ,
Figure 8. T attenuator configuration.
use the minimum loss L pad (Figure 6).

Power Dissipation within a T Pad


Table 3 lists values of power dissipation within a T pad.
The values are for an input of 1 W; for other input powers,
multiply the values by the input power.

INSERTION LOSS

An attenuator is used to introduce attenuation between a


source and a load. Due to the introduction of the attenua- Figure 9. Pi attenuator configuration.
tor, there is change in the current. This loss is designated
as insertion loss, which depends on the configuration. Usu-
ally, the load and source impedances are matched. Figure attenuator configuration. The value of each of the three re-
7 illustrates this concept. If IL0 is the load current without sistors of the T (Figure 8) and Pi (Figure 9) attenuators can
the attenuator pad, and IL is the current with the attenu- be chosen independently of others. This enables the three-
ator pad, then the ratio IL /IL0 is called the insertion loss, design criteria of input resistance, output resistance, and
one of the parameters of the attenuates. Figure 7(a) shows insertion loss to be met. In many situations, the only func-
the source and load connected without an attenuator, and tion of the pad is to provide matching between source and
Figure 7(b) shows the same system with an attenuator. load; and although attenuation will be introduced, this may
(The quantities, IL , Rin , and Rout depend on the attenuator not be a critical design parameter. This allows a simpler
configuration.) The quantities insertion loss (IL ), input re- type of pad to be designed, requiring only two resistors; it
sistance (Rin ), and output resistance (Rout ) depend on the is known as an L pad.
Attenuators 5

Table 1. Resistance Values for Attenuator Pads When R0 = 1 a

dBb a b 1/b 1/a c 1/c a 1/a


0.100 0.006 86.853 0.012 173.710 0.012 86.356 0.006 173.710
0.200 0.012 43.424 0.023 86.859 0.023 42.930 0.012 86.859
0.300 0.017 28.947 0.034 57.910 0.035 28.455 0.017 57.910
0.400 0.023 21.707 0.046 43.438 0.047 21.219 0.023 43.438
0.500 0.029 17.362 0.058 34.753 0.059 16.877 0.029 34.753
0.600 0.034 14.465 0.069 28.965 0.072 13.982 0.034 28.965
0.700 0.040 12.395 0.081 24.830 0.084 11.915 0.040 24.830
0.800 0.046 10.842 0.092 21.730 0.096 10.365 0.046 21.730
0.900 0.052 9.634 0.104 19.319 0.109 9.160 0.052 19.319
1.000 0.057 8.667 0.115 17.391 0.122 8.195 0.058 17.391
2.000 0.115 4.305 0.232 8.724 0.259 3.862 0.115 8.724
3.000 0.171 2.838 0.352 5.848 0.412 2.424 0.171 5.848
4.000 0.226 2.097 0.477 4.419 0.584 1.710 0.226 4.419
5.000 0.280 1.645 0.608 3.570 0.778 1.285 0.280 3.570
6.000 0.332 1.339 0.747 3.010 0.995 1.005 0.332 3.010
7.000 0.382 1.116 0.896 2.614 1.239 0.807 0.382 2.614
8.000 0.430 0.946 1.057 2.323 1.512 0.661 0.430 2.323
9.000 0.476 0.812 1.232 2.100 1.818 0.550 0.476 2.100
10.000 0.519 70.273c 1.423 1.925 2.162 46.248c 0.519 1.925
20.000 0.818 20.202 c 4.950 1.222 9.000 11.111c 0.818 1.222
30.000 0.939 6330.900c 15.796 1.065 30.623 3265.500c 0.939 1.065
40.000 0.980 2000.200c 49.995 1.020 99.000 1010.100c 0.980 1.020
50.000 0.994 632.460c 158.110 1.006 315.230 317.230c 0.994 1.006
60.000 0.998 200.000c 500.000 1.002 999.000 100.100c 0.998 1.002
70.000 0.999 63.246c 1581.100 1.006 3161.300 31.633c 0.999 1.001
80.000 1.000 20.000c 5000.000 1.000 9999.000 10.001c 1.000 1.000
90.000 1.000 6.3246c 15.811 1.000 31.622 3.163c 1.000 1.000
100.000 1.000 2.000c 50.000 1.000 99.999 1.000c 1.000 1.000
a If R = 1 , multiply all values by R . (From Ref. data for Radio Engineers, 1985.)
0 0
b For other decibel values, use formulas in text.
c These values have been multiplied by 103 .

Table 2. Resistance Values and Attenuation for L Pada

R1 /R2 j j dB
20.00 19.49 1.03 18.92
16.00 15.49 1.03 17.92
12.00 11.49 1.04 16.63
10.00 9.49 1.05 15.79
8.00 7.48 1.07 14.77
6.00 5.48 1.10 13.42
5.00 4.47 1.12 12.54
4.00 3.47 1.16 11.44
3.00 2.45 1.22 9.96
2.40 1.83 1.31 8.73
2.00 1.41 1.41 7.66
1.60 0.98 1.63 6.19
1.20 0.49 2.45 3.77
1.00 0.00 ∞ 0.00
a For R = 1  and R > R . If R = 1 , multiply values by R . For ratios not in the table, use the formulas in the text. (From Ref. data for
2 1 2 2 2
Radio Engineers, 1985.)
Examples of use of table:
If R1 = 50  and R2 = 25 , then R1 /R2 = 2.0, and j = k = 1.414 × 25  = 35.35 .
If R1 /R2 = 1.0, minimum loss = 0 dB.
For R1 /R2 = 2.0, the insertion loss with the use of j and k for matching is 7.66 dB above that for R1 /R2 = 0
6 Attenuators

Table 3. Power Dissipation in T Pada

dB Watts, Input Series Resistor Watts, Shunt Resistor Watts, Output Series Resistor
0.100 0.006 0.011 0.006
0.300 0.017 0.033 0.016
0.500 0.029 0.954 0.025
0.700 0.040 0.074 0.034
0.900 0.052 0.093 0.042
1.00 0.058 0.102 0.046
1.200 0.069 0.120 0.052
1.400 0.080 0.114 0.058
1.600 0.092 0.152 0.064
1.800 0.103 0.167 0.068
2.000 0.114 0.181 0.072
2.200 0.126 0.195 0.076
2.400 0.137 0.208 0.079
2.600 0.149 0.220 0.082
2.800 0.160 0.232 0.084
3.000 0.171 0.242 0.086
3.200 0.182 0.252 0.087
3.400 0.193 0.260 0.088
3.600 0.204 0.270 0.089
3.800 0.215 0.278 0.090
4.000 0.226 0.285 0.090
5.000 0.280 0.314 0.088
6.000 0.332 0.332 0.083
7.000 0.382 0.341 0.076
8.000 0.430 0.343 0.068
9.000 0.476 0.338 0.060
10.000 0.519 0.328 0.052
12.000 0.598 0.300 0.038
14.000 0.667 0.266 0.027
16.000 0.726 0.230 0.018
18.000 0.776 0.200 0.012
20.000 0.818 0.163 0.010
30.000 0.938 0.059 0.001
40.000 0.980 0.020 0.000
a For 1 W input and matched termination. If input = 1 W, multiply values by P . (From Ref. data for Radio Engineers, 1985.)
in

Table 4. Resistors R1 and R2 values for various Attenuators (assuming Z0 = 50 )


Tee Pi Bridged Tee Reflection
dB R1 R2 R1 R2 R1 R2 R1 R2
0 0.0 open open 0.0 0.0 open 0.0 open
1 2.9 433.3 869.5 5.8 6.1 409.8 2.9 869.5
2 5.7 215.2 436.2 11.6 12.9 193.1 5.7 436.2
3 8.5 141.9 292.4 17.6 20.6 121.2 8.5 292.4
5 14.0 82.2 178.5 30.4 38.9 64.2 14.0 178.5
7 19.1 55.8 130.7 44.8 61.9 40.4 19.1 130.7
8 21.5 47.3 116.1 52.8 75.6 33.1 21.5 116.1
10 26.0 35.1 96.2 71.2 108.1 23.1 26.0 96.2
15 34.9 18.4 71.6 136.1 231.2 10.8 34.9 71.6
20 40.9 10.1 61.1 247.5 450.0 5.6 40.9 61.1
30 46.9 3.2 53.3 789.8 1531.1 1.6 46.9 53.3
40 49.0 1.0 51.0 2499.8 4950.0 0.5 49.0 51.0
50 49.7 0.3 50.3 7905.6 15761.4 0.2 49.7 50.3
100 50.0 0.0 50.0 open open 0.0 50.0 50.0
Attenuators 7

and

and

Example: (T Attenuator) A T-type attenuator is re-


quired to provide 3 × 0 dB insertion loss and to match
50  input and output. Find the resistor values.

using the following equation:

Figure 10. L attenuator configuration. (a) Rs < RI . (b) Rs > RI .

using the following equation:


Figure 10 shows an L attenuator, which can be derived
from either a T or a pi attenuator, simply by removing one
of the resistors. As shown, different configurations are re-
quired depending on whether RS > RL or RS < RL .
Check:
T Attenuator Insertion Loss
The T attenuator contains resistors R1 , R2 , and R3 ; these
form a T configuration, as shown in Figure 6. Insertion
loss is usually measured in dB, defined as IL (dB) = −20 The Pi Attenuator Insertion Loss. Figure 9 shows a Pi
log IL or |20 log IL |, the amount of attenuation required. attenuator formed by resistors Ra , Rb , and Rc . The insertion
The insertion loss IL is given as loss and conductances Gin and Gout are given by

The input and the output of resistances of the attenuator


are given by

and
where G = 1/R; i.e., GL = 1/RL and so on.
The same Pi attenuator can be realized using a T atten-
uator with R1 , R2 , and R3 values using the Y– transfor-
In many cases, the attenuator has also to match the load mation, as
and the source impedance. In this case, R1 = R2 = R and
Rin = Rout = R0 . Thus,

and the insertion loss is given by


8 Attenuators

shows that for RS > RL , we have


Table 5. Attenuator Input Power Reduction
% Input Power attenuated = (1-10−dB/10 ) × 100%
dB % dB %
1 20.57 12 93.70 and
2 36.90 13 94.98
3 49.88 14 96.02
4 60.19 15 96.84
5 68.38 16 97.58
from which it can be shown that
6 74.88 17 98.00
7 80.05 18 98.42
8 84.15 19 98.74
9 87.41 20 99.00 and
10 90.00 30 99.90
11 92.06 40 99.99

Table 6. HPND-4165 PIN Diode Specifications


and when we put R2 = 0, the insertion loss is calculated as
Parameter HPND-4165 Test Conditions
High-resistance limit, RH 1100–1660  10 µA
Low-resistance limit RL 16–24  1 mA
Maximum difference in
resistance versus bias slope x 0.04 10 µA and 1 mA
Example Design an L attenuator to match a 300 source
to a 50 load and determine insertion loss. Here RS > RL
The selection between Pi and T is based on the value of using the following equation:
resistors that can be used in practice. With matching source
and load impedances, the values of the pi attenuator are

Using the following Equation:

and

Example: (Pi Attenuator) Repeat the above problem


using a Pi attenuator:
For Rs < Rl , we have

and
Using the following equation:

and
Using the following equation:

and

The L Attenuator Insertion Loss. An L attenuator can be The corresponding insertion loss is
derived from a T or a Pi attenuator by removing one resis-
tor. As shown in Figure 10, two configurations are obtained
depending upon RS > RL or RS < RL . Simple circuit theory
Attenuators 9

Table 7. Attenuator Resistor Values for Different Levels of Attenuation


Attenuation (dB) R1 () R2 ()
2 5.73 215.24
4 11.31 104.83
6 16.61 66.93
8 21.53 47.31
10 25.97 35.14
12 29.92 26.81
14 33.37 20.78
22 42.64 7.99

Table 8. π-Attenuator Resistor Values for Different Levels of Attenuation


Attenuation (dB) R1 () R2 ()
2 436 11.61
4 221 23.85
6 150.5 37.35
8 116.14 52.84
10 96.25 71.15
12 83.54 93.25
14 74.93 120.31
22 58.63 312.90

Frequency: 0 GHz to 3 GHz


Attenuation: 50 dB
Example Design an L attenuator to match 50 source to Accuracy: ±0.10 dB (dc)
75 load and determine the insertion loss. ±0.15 dB (0 GHz to 2 GHz)
RS < RL , using the following equation: ±0.13 dB (0 GHz to 3 GHz)
VSWR: 1.15 (0 GHz to 1 GHz)
Input power: 1.20 (1 GHz to 3 GHz)
Connectors: 1 W av., 1 kW PK at −30◦ to 70◦ C
Length: Type N; St. St.; m, f
Diameter: 68 mm (2.7 in.)
Weight: 210 mm (0.83 in.)
using the following equation: Power sensitivity: 100 g (3.6 oz)
Temperature stability <0.005 dB/dB × W; bidirectional in power
<0.0004 dB/dB × ◦ C

Applications
Fixed attenuators are used in numerous applications. In
general, they can be classified into two distinct categories:
using the following equation:
1. Reduction in signal level
2. Impedance matching of a source and a load

Those in the first category are used in the following situa-


tions:

 Operation of a detector in its square-law range for


most efficient operations.
 Testing of devices in their small signal range.
FIXED ATTENUATORS
 Reduction of a high-power signal to a level compatible
Fixed attenuators, commonly known as pads, reduce the with sensitive power measuring equipment, such as
input signal power by a fixed amount, such as 3 dB, 10 power sensors and thermistor mounts.
dB, and 50 dB. For example, an input signal of 10 dBm
(10 mW) passing through a 3 dB fixed attenuator will exit Those in the second category are used in the following sit-
with a power of 10 dBm − 3 dB = 7 dBm (5 mW). Figure 2 uations:
shows a fixed coaxial commercial attenuator. A typical data
sheet for a fixed coaxial attenuator is as follows (courtesy  Reduction of signal variations as a function of fre-
of Weinschel Associates). quency. The variations here are caused by a high
10 Attenuators

Types
Based on construction, they are available in coaxial, waveg-
uide, and strip line configurations. The various types are:

1. Waveguide vane
2. Rotary vane (fixed)
3. Directional coupler
4. T or Pi
5. Lossy line
6. Distributed resistive film

Coaxial Fixed Attenuators. T or Pi configurations are


most commonly used both at low and high frequencies. At
low frequencies, normal wirewound resistors are used. At
high frequencies, thin film resistors are used. Figures 11
and 12 show T and Pi fixed attenuators. Thin-film resis-
tors designed for microwave frequencies are used, in place
of carbon resistors. These resistors employ a nichrome alloy
film on a high-quality ceramic substrate to ensure a firmly
bonded film with low-temperature coefficients. This type of
construction makes the resistors extremely stable at high
frequencies. The skin effect of these resistors is excellent,
used extensively the microwave applications.
The T and Pi configuration is obtained by placing the
Figure 11. T/Pi fixed attenuator configuration. (a) T section. (b) resistors in series on the center conductor and in shunt,
Pi section. contacting both the center and outer conductor. Thus, the
T configuration with one shunt flanked by two series re-
sistors and the Pi configuration with one series flanked by
two shunt resistors can be fabricated. The series resistors
VSWR. The attenuator provides a reduction in these in the T and Pi configuration have less than 1 W capacity,
variations and a better match. thereby severely limiting the use at high-power applica-
 Reduction in frequency pulling (changing the source
tions, unless an elaborate heat sinking is provided. Power
frequency by changing the load) of solid-state sources attenuators usually have huge sinks to handle high-power
by high reflection loads. applications.

Figure 12. T/Pi fixed attenuator construction.


Attenuators 11

Figure 15. Fixed single-mode, fiber optic attenuator.

Figure 13. Fixed resistive card attenuator configuration.


Environmentally stable over temperature, humidity,
and vibration
High performance and low polarization dependent loss
(PDL)
Simple plug-in style enables rapid deployment
Wavelength independent: 1310/1550nm
Figure 14. Fixed RF attenuator.
The specifications of a commercial, fiber-optic, fixed,
Resistive Card Attenuator. In a fixed dissipative, single-mode attenuator is given below. Figure 15 shows a
waveguide-type resistive card attenuator, the card is typical sample.
bonded in place (Figure 13). It is tapered at both ends
Technology Type Doped Fiber
to maintain a low-input and low-output VSWR over the
Attenuation, dB 1–10, 15, 20
useful waveguide band. Maximum attenuation per length
Return Loss, dB >50, typically 55
is obtained when the card is parallel to the E field and Attenuation accuracy ±0.5 for 1-5 dB; ±10% for > 6 dB
at the center, where the TE10 mode is maximum. The Operating Temperature, ◦ C −40 to 75
conductivity and the dimensions of the card are adjusted,
by trial and error, to obtain the desired attenuation, which
is a function of frequency. The attenuation increases with
increase in frequency. In power applications, ceramic-type VARIABLE ATTENUATORS
absorbing materials are used instead of a resistive card.
Variable attenuators have a range, such as 0 dB to 20 dB,
0 dB to 100 dB, and so on. The variation can be continuous
RF Fixed Attenuators or in steps, obtained manually or programmably.
Figure 14 shows a commercial RF fixed attenuator whose
specifications are shown below: Step Attenuators
Average Power, W 5 A series of fixed attenuators are mechanically arranged
Peak Power, W 125 to offer discrete step variation. The fixed attenuators are
Attenuation, dB 1, 6, 10, 20, 30 arranged in a rotatable drum or in a slab for switching be-
Frequency, GHz DC-4, 4-6 tween contacts. This arrangement provides discrete values
VSWR 1.15:1, 1.20:1
of attenuation in each position and a high reliability fac-
Tolerance, dB ±0.30, ±0.50, ±0.75
tor. The step size can be 0.1 dB, 1 dB, or 10 dB. Stationary
coaxial contacts provide the input and output of the de-
vice. These are used in applications requiring broadband
Fixed Fiber optic Attenuators flatness with low VSWR and satisfactory resettability over
Fiber optic attenuators are engineered and manufactured ranges from 0 to 120 dB. Their application range is dc to
with continuous light absorbing metal-ion doped fiber. 18 GHz.
Metal-ion fiber optic attenuators offer better feed-back Figure 3 shows a commercial manual step attenuator.
reflection and noise performance than other attenuators A typical data sheet looks as follows:
based on spliced fiber, air-gap or offset-fiber designs. The
salient features of these attenuators are: Manual Step Attenuators
Figure 3 shows manual step attenuator. A typical data
sheet looks as follows:
Frequency: 0 to 4, 0 to 8, 0 to 12.4, 0 to 18 GHz
Attenuation: 0 to 9, 0 to 60, 0 to 69
Step size: 1 dB, 10 dB, 1 dB, respectively, for the above range
VSWR: 1.20, 1.25, 1.40, 1.50 for the above frequency range 1.25, 1.30, 1.45, 1.60 for the above frequency range
Connectors: N/SMA; St. St.
Height: 83 mm (3.3 in.)
Depth: 79 mm (3.1 in.) (excludes shaft and knob)
Width: 65, 65, 118 mm (2.6, 2.6, 4.7 in.) for the above three attenuation ranges
12 Attenuators

Continuously Variable Attenuators Digital Step Attenuator


Figure 4 shows a continuously variable attenuator. Typical 50, RF digital step attenuators are available with the fol-
specs are: lowing specifications. Fig. 17 shows a functional schematic
Frequency: 1 GHz to 18 GHz, 1 W av./1 kW PK diagram.
Connectors: St. St., M, F; type N, SMA
Zero loss: typically 0.5 dB to 1 dB Number of Bits 6
Attenuation: 0 to 9, 0 to 60, 0 to 69 dB Range, dB 0.5 dB steps to 31.5
Frequency, GHz DC to 4.0 GHz
The various types of continuously variable attenuators Programming Interfaces Flexible, serial and parallel
Insertion Loss, dB 1.5
are:
Return Loss (DC −2.2 GHz) 20 dB

Lossy wall
Moveable vane (Flap)
Rotary vane
Variable coupler Programmable Attenuators
Absorptive type
These are rapid switching attenuators with high accuracy
Coaxial resistive film and repeatability, useful for remote and computerized
Variable T applications. Switching speeds can be as low as 30 ns.
Waveguide below cutoff (Piston) Two varieties of the programmable attenuators are the
step-controlled and voltage-controlled types. The attenu-
Variable Fiber Optic attenuator ation is varied by controlling the electrical signal applied
to the attenuator. These signals can be in the form of
The specifications of a commercial, variable, fiber-optic, either a biasing current or binary digit. The biasing can be
single- and multi-mode attenuator is given below. pulses, square waves, or sine waves. A typical data sheet
Back-Reflection > 30 dB, >50 dB, >60 dB
for coaxial programmable step attenuator is as follows:
Attenuation Range 2 to 80 dB
Resolution 0.01 dB up to 10 dB, 0.1 dB up to 30 dB Frequency: dc to 40 GHz
Wavelength 400–1625 nm Attenuation: 0 dB to 11 dB, in steps of 1 dB
Fiber type Single mode, multimode Maximum VSWR: 1.3 GHz to 12.4 GHz
Temperature Range, ◦ C −35 to 70 1.7 GHz to 34 GHz
1.8 GHz to 40 GHz
Insertion loss: 0.8 dB + 0.04 GHz
0 dB setting
Digital Attenuators
Repeatability: 0.03 dB
Digital attenuators provide more than one step in attenua- Power rating average: 1W
tion. It depends on number of bits, LSB, attenuation range Peak: 50 W
and power rating. Fig. 16 shows the functional schematic Maximum pulse width: 10 µs
of a commercial, 5-bit, 15.5 dB, DC-GHz, digital attenua- Life: 5 million cycles per section minimum
Solenoid
tor that can be used for broadband communication system
Voltage: 20 V to 30 V
applications which require accurate, fast and low power de-
Speed: <20 ms
vices. This is made of patented silicon On Insulator (SIO) Power: 2.7 W
CMOS manufacturing technology, which provides the per- RF connectors: 2.4 mm, F
formance of GaAs with the economy and integration capa- Shipping weight: 291 g (10.3 oz)
bilities of conventional CMOS.

Figure 16. Bit digital attenuator.


Attenuators 13

Figure 17. Digital step attenuator.

Solid State Programmable Attenuators


Solid state programmable attenuators operating from 30
MHz to 3 GHz are introduced in the market. They have
low insertion loss and high switching speed. Specifications
for two types are given below.
Model 1 Model 2
Frequency Range 30 MHz to 3 GHz 400-2000 MHz
Impedance,  50 50
Attenuation 0 to 127 in 1 dB steps 0 to 127 in 1 dB steps
Attenuation Steps, dB 1, 2, 4, 8, 16, 32 and 64 1, 2, 4, 8, 16, 32 and 64
VSWR 1.6:1 max
Accuracy
1, 2, 4, 8 dB ± 0.3 dB to 2 GHz −0.25 dB for 1, 2, 4, 8 dB
1, 2, 4, 8 dB ± 0.4 dB to 2 GHz −0.35 for 16 and 32 dB
16, 32, 64 dB ± 0.5 dB or 3% (whichever is higher) −0.50 dB for 64 dB
Max error ±0.5 dB or 2%
Insertion Loss 6 dB max to 2000 MHz 5 dB max t@ 1 GHz
8 dB max to 3000 MHz 7 dB max t@ 2 GHz
RF Input power ±15 dBm operating +10 dB
±30 dBm no damage
Switching Speed 20 µs max 2 µs
Operating Temperature 0 to 70◦ C 0 to 70◦ C
Programming TTL low for thru path TTL low for “0” setting
TTL high for attenuation TTL high for pad setting

Lossy Wall Attenuator Moveable Vane (Flap) Attenuator


Figure 18 shows lossy wall variable attenuator. It con- Figure 19 shows a waveguide variable, dissipative attenu-
sists of a glass vane coated with a lossy material, such as ator. The card enters the waveguide through the slot in the
aquadag or carbon. For maximum attenuation the vane is broad wall, thereby intercepting and absorbing a portion of
placed in the center of the guide’s wide dimension, where the TE10 wave. The card penetration, and hence the atten-
the electric field intensity is the maximum. A drive mecha- uation, is controlled by means of the hinge arrangement
nism with a dial then shifts the vane away from the center to obtain variable attenuation. The ratings are typically
so that the degree of attenuation is varied. This needs cali- 30 dB and widely used in microwave equipment. However,
bration by a precise attenuator. To match the attenuator to the attenuation is frequency sensitive and the phase of the
the waveguide, the vane can be tapered at each end; usu- output signal is a function of card penetration and hence
ally a taper of λg/2 provides an adequate match. Thus, it attenuation. This may result in nulling when the attenu-
is frequency sensitive and the glass dielectric introduces ator is part of a bridge network. Since it is not simple to
appreciable phase shift. calculate the loss in dB, this type of attenuator has to be
Attenuation may also be obtained by inserting a resis- calibrated against a superior standard. To overcome these
tive element through a shutter. The plane of the element drawbacks, a rotary vane attenuator is used.
lies in the distribution of the electric field across the wide
dimension of the waveguide and the result is a degree of Rotary Vane Attenuator
attenuation, which increases with the depth of insertion.
However, due to the discontinuity, there is reflection of The rotary vane attenuator is a direct reading precision
energy. attenuator which obeys a simple mathematical law, A =
−20 log cos2 θ = −40 log cos θ dB. As such, it is frequency
independent, which is very attractive criterion for an at-
tenuator. A functional diagram illustrates the operating
14 Attenuators

Figure 18. Lossy wall attenuator configuration. (a) Minimum attenuator. (b) Maximum attenuator.

When all the strips are aligned, the electric field of the
applied wave is normal to the strips and hence no current
flows in the attenuation strips and therefore no attenu-
ation occurs. In a position where the central attenuation
strip is rotated by an angle θ, the electric field of the ap-
plied wave can be resolved into two orthogonally polarized
modes; one perpendicular and one parallel to the resistive
card. That portion which is parallel to the resistive slab
will be absorbed, whereas the portion, which is polarized
perpendicular to the slab, will be transmitted.

Variable Coupler Attenuator


These are basically directional couplers where the attenu-
Figure 19. Movable vane (flap) variable attenuator configura- ation is varied by mechanically changing the coupling be-
tion.
tween two sections. This is accomplished by varying the
spacing between coupled lines. These attenuators have a
large range, high power handling capability, and retain cal-
principle of this attenuator. It consists of three sections ibration over a range of ambient conditions. They have a
of waveguide in tandem as shown (Figure 20). A rectan- higher insertion loss at lower frequencies (Figure 21).
gular to circular waveguide transition containing a hori-
zontal attenuator strip is connected to a rotatable circular
Absorptive Attenuator
waveguide containing an attenuator strip. This in turn is
connected to a circular to rectangular waveguide transition Figure 22 shows an absorptive variable attenuator. Atten-
containing a horizontal attenuator strip. uation is obtained by using a lossy dielectric material. The
The incoming TE10 mode is transformed into the TE11 TEM electric field is concentrated in the vicinity of the cen-
mode in the circular waveguide by the rectangular to cir- ter strip of the stripline. When the absorbing material is in-
cular waveguide transition with negligible reflections. The serted in the high field region, a portion of the TEM wave is
polarization of the TE11 mode is such that the e field is intercepted and absorbed by the lossy dielectric. Thus, the
perpendicular to the thin resistive card in the transition attenuation increases. Since the characteristic impedance
section. As such, this resistive card has a negligible effect of the stripline changes with the dielectric material in-
on the TE11 mode. Since the resistive card in the center can sertion, the SWR tends to increase as the attenuation in-
be rotated, its orientation relative to the electric field of the creases. To minimize this, the ends of the lossy material
incoming TE11 mode can be varied so that the amount by are tapered to provide a smooth impedance transforma-
which this mode is attenuated is adjustable. tion into and out of the lossy section. SWR values of >1.5
Attenuators 15

Figure 20. Rotary vane attenuator configuration.

Figure 23. Coaxial resistive film attenuator configuration.

Figure 21. Variable coupler attenuator configuration.

Figure 24. Variable T attenuator.

Figure 22. Absorptive-type variable attenuator configuration. Figure 25. Coaxial variable cutoff attenuator configuration.

are possible over a limited frequency range. In general, the


SWR deteriorates at low frequencies. The attenuation in-
creases with increasing frequency for a fixed setting. This Variable T
is another disadvantage, since this makes the calibration a
The variable T attenuator is the same as the fixed attenu-
cumbersome procedure. Compensation techniques are oc-
ator except that the resistors are variable (Figure 24). All
casionally used to reduce this variation with frequency.
the three resistors are variable simultaneously to give good
input/output VSWR.
Coaxial Resistive Film Attenuator
Figure 23 shows a coaxial resistive film attenuator. In this Waveguide Below Cutoff or Piston Attenuator
configuration, if r is the RF resistance per unit length, by
adjusting the length l, the series resistance R = rl of the The simple principle of cutoff below frequency is used in
center conductor is changed; thus, the attenuation is vari- the piston or the cutoff attenuator. The cylindrical waveg-
able. If I is the conduction current on the center conductor, uide used is operating at a frequency below cutoff. For high
the voltage drop is V = RI = Irl. If Ei is the input voltage, power applications, a coaxial configuration is used. A sim-
then the output voltage is E0 = Ei − rlI and the attenuation ple waveguide cutoff attenuator is shown in Figure 25. A
is metal tube, acting as a waveguide, has loops arranged at
each end to couple from the coaxial lines into and out of
the waveguide. One of the loops is mounted on a movable
plunger or hollow piston so that the distance between the
16 Attenuators

Figure 26. (a) Standard variable piston attenuator and (b–d) calibration curves. (b) Typical VSWR
versus frequency of SPA-2 attenuator with frequency. (c) Typical variation of insertion loss of SPA-2
attenuator with frequency in a 50- system. (d) Deviation versus indicated incremental insertion.
Typical deviation from linearity for the model SPA-2 operating frequency is 30.0 MHz.

Figure 28. CDMA handset transmit application.

loops is variable. The input coupling loop converts the in-


coming TEM wave into the TE11 mode in the circular guide,
while the output loop converts the attenuated TE11 mode
back to TEM. The attenuator can be matched by adding Z0
resistors. The attenuation is given as:

Figure 27. Laser piston attenuator. (Courtesy of Weinschel As-


sociates.)
Attenuators 17

By choosing the diameter such that λc < λo , and hence


f/fc < 1, the above equation reduces to

This was obtained from

(If λoc = 10 cm, and λo is much greater (10 times or more


(in this case, 1 m or more)), the attenuation increases 5.45
Figure 29. Functional block diagram of a digital cellular phone, dB per cm of outward movement of the plunger.)
using variable attenuators.
The sliding cylindrical conductors allow length l to be
varied, which varies the attenuation, since attenuation
A = αl, where α is the attenuation constant due to the cutoff
effect, and l is the length of the circular guide. The cutoff
wavelength is, λc = 1.706D, where D is the diameter of the
waveguide. Thus the attenuation is:

Figure 30. Pin diode high-frequency equivalent circuit. or

The attenuation is independent of frequency; it depends


only on the physical dimensions and hence can be accu-
rately controlled by maintaining tight tolerances on the
length and diameter of the circular guide. With A linearly
proportional to l, the cutoff attenuator is easily calibrated
and hence particularly useful as a precision variable atten-
uator.
The cutoff attenuator is one of the most widely used pre-
cision variable attenuators in coaxial measurement equip-
ment. This is a reflective-type attenuator, since the waveg-
uide is essentially dissipationless. The signal is reflected
rather than absorbed. For higher attenuation (>10 dB),
the SWR at both ports is very high (>30). This can cause
problems in certain applications.
This type of attenuator is very useful, but has the dis-
advantage of high insertion loss. Due to cutoff nature,
Figure 31. Typical RF resistance versus dc bias current for
the insertion loss is high, up to 15 dB to 20 dB. If this
HPND-4165.
loss is overcome, piston attenuators are one of the most

Figure 32. Series pin RF attenuator or switch. (a) Complete circuit. (b) Idealized RF equivalent circuit.
18 Attenuators

Figure 33. Shunt pin RF attenuator or switch. (a) Complete circuit. (b) Idealized RF equivalent circuit.

Figure 34. Constant impedance pin diode attenuators. (a) Pi attenuator. (b) Bridged T attenuator. (c) T attenuator. (d) Resistive line
attenuator.

Figure 35. Fixed Pi attenuator.


Attenuators 19

accurate attenuators available. Values of 0.001 dB/10 dB tor for leveling and amplitude modulating a RF signal.
of attenuation over a 60 dB range are common. A good in- These attenuators provide local oscillator, IF, and RF
put/output match is obtained using inductive loops within signal level control throughout communications, measure-
the waveguides. Excellent matching is obtained over the ment, and control circuits. One example is the reduction
entire range of attenuation due to inductive loop coupling. in the output of a receive mixer in a Code-Division Multi-
Figure 26 shows a commercially available standard vari- ple Access (CDMA) base station prior to the IF amplifier.
able piston attenuator and the various calibration curves. Also, to provide one step of transmit level control with lit-
It contains an accurately dimensioned tube acting as a cir- tle degradation of the noise figure (NF), it could be used in
cular waveguide, below cutoff TE11 mode. Typical specifi- a CDMA handset transmit chain between the mixer (up-
cations are (Courtesy of Weinschel Associates): converter) and the bandpass filter (Figure 28). Since the
Frequency: 30 MHz
attenuator is purely passive, it produces no additive noise
Mode: TE11 cutoff and the NF is essentially its insertion loss. Even in the
Range: 0 dB to 120 dB attenuator mode, the effect on the noise figure would be
12.5 dB zero insertion loss minimal.
VSWR: 1.2 max in 50  system In Personal Communication Service (PCS) systems, the
Connectors: Type-N, panel mounted base stations may be fed from multiple picocells that are
Accuracy: 0.01 dB from 0 dB to 15 dB physically separated from it by up to 100 feet or more of
0.005 db/10 dB from 15 dB to 100 dB coaxial cable. The signal levels coming into the base sta-
0.01 dB/10 dB from 100 dB to 120 dB tion will vary depending on the cable length and individ-
Resolution: 0.001 dB direct reading digital indicator
ual transponder power. It is desirable to keep the signals
at uniform levels coming into the base station; to do so,
Laser Piston Attenuator. Figure 27 shows a laser piston it may be necessary to attenuate the stronger signals. An
attenuator. The heart of this instrument is a precise attenuator can be easily inserted for this purpose.
stainless steel circular waveguide, operated in the TE11 The upper end of a receiver’s linear dynamic range is
cutoff mode. Laser light, traveling between two antennas determined by the largest signal it can handle without be-
in the center of the circular waveguide, measures directly ing overdriven and producing unacceptable levels of distor-
the changes in actual separation of the two antennas along tion caused by device nonlinearities. Inserting an attenu-
the same path as the TE11 mode propagates. The laser
signal is converted to attenuation in dB and corrected
for skin effect, the refractive index of air, and changes
due to temperature of the waveguide and pressure. The
specifications are (Courtesy of Weinschel Associates):
Operating frequency: Dual frequency 1.25 MHz + 0.05 MHz and 30.0 MHz + 0.1 MHz
Waveguide mode: TE11 , below cut-off
Incremental attenuation range: 100 dB
Min insertion loss: 10 dB nominal
Resolution: 0.0001 dB for  dB, 0.002 dB for total loss
Attenuation readout: Front panel 7 digit LED or remotely via IEEE bus
Connectors: Type N jacks
VSWR (input and output): 1.2 max at 1.25 and 30 MHz in 50  system
Accuracy: 0.001 dB/10 dB + 0.0005 dB between 15 and 115 dB total loss
Weight: Net: 77 kg (170 lb); shipping: 145 kg (320 lb)
Accessories: Power supply, controller, calibration tape, two power cables, one
22 wire power cable, Instruction and Maintenance manual

ACTIVE ATTENUATORS
ator before a low noise amplifier (LNA) in the presence of
strong, in-band signals produces better reception by pre-
PIN Diode Attenuators
venting them from overdriving the receiver’s front end.
The normal diode junction consists of a p-type material This effectively shifts the dynamic range upward by the
brought together with an n-type material to form the fa- amount of attenuation. It must be remembered that when
miliar PN junction. The PIN diode is distinguished from inserted into the system, the attenuator will also present
the normal PN junction type by an area called an intrinsic a load and a source impedance to the previous and suc-
region sandwiched between the p+ doped and n+ doped sil- ceeding stages, respectively, hence the importance of the
icon layers. This intrinsic layer has almost no doping and attenuator impedance match.
thus has a very large resistance. When a variable dc con- RF variable attenuators are used to control the trans-
trol voltage forward biases the PIN diode, the dc bias or mitting and receiving signal power levels to prevent
control current causes it to behave as almost a pure resis- strong–weak adjacent signals from seriously degrading the
tance at RF frequencies, with a resistance value that can bit error rate (BER) of digital mobile communication sys-
be varied over a range of 1  to 10 K. As the bias current tems, such as TDMA or CDMA. Figure 29 shows the basic
is increased, the diode resistance decreases. This relation RF functional block diagram of a typical digital cellular
makes the PIN diode ideally suited as a variable attenua- phone system, where variable attenuators are required.
20 Attenuators

Characteristics of the Pin Diode Many RF systems require that the impedance at both
RF ports remain essentially constant at the design value
The approximate high frequency equivalent circuit of a PIN
Z0 . Four such circuits and their PIN diode counterparts are
diode is shown in Figure 30. Here, RI is the effective resis-
shown in Figure 34. All four circuits operate on the princi-
tance of the intrinsic (I) layer, given by
ple of absorbing the undesired RF signal power in the PIN
diodes. In circuits (a), (b), and (c), the control current varia-
tion through each diode is arranged in such a way that the
where IDC is the dc bias current in mA, and k and x are impedance at both RF ports remain essentially constant at
device-dependent empirical constants. Although shown as the characteristic impedance (Z0 ) of the system while the
a variable, this resistance is constant with respect to the RF attenuation can be varied over a range of less than 1 dB to
signal. The high frequency resistance function is plotted in greater than 20 dB. In circuit (d), the input impedance is
Figure 31 for the Hewlett Packard HPND-4165 diode. For kept constant by using a distributed structure with a large
a specific diode design, the exponent X is usually a con- number of diodes. The impedance variation of each diode
stant. For the HPND-4165, X is typically 0.92. The con- is also shaped so that the diodes in the center of the struc-
stant k and therefore, RI , however, are highly dependent ture vary more than those near the ports. The resulting
on the fabrication and process control and its value can tapered impedance structure results in an essentially con-
vary by as much as 3:1 from diode to diode. For analog ap- stant impedance at the ports, while the overall attenuation
plications, such as a variable attenuator, where repeatable can be varied up to a range of 40 dB to 80 dB, depending
attenuation with bias current is desired, the variation of on the length of the structure.
RI must be controlled. The HPND-4165 is precisely con- PIN diode Pi attenuator in Figure 30(a) is often selected
trolled in manufacturing, and resistance values at specific when designing a variable attenuator. The basic Pi fixed
bias points are specified and the slope of resistance versus attenuator is shown, along with its design equations, in
bias matched with narrow limits. The specification limits Figure 35. Shunt resistors R1 and the series resistor R3
of these parameters are shown in Table 4. are set to achieve a desired value of attenuation, while si-
Applications multaneously providing an input and output impedance
which matches the characteristic impedance Z0 of the
The PIN diode is ideally suited to switch and attenuate system.
RF signals. Since the PIN diode is a RF variable resistor, Three PIN diodes can be used as shown in Figure 36 to
the logical application is that of a variable attenuator. This replace the fixed resistors of the Pi circuit to create a vari-
attenuator may be either a step or a continuously variable able attenuator. The attenuator provides good performance
type. Two of the simplest circuits are the series and shunt over the frequency range of 10 MHz to over 500 MHz. How-
attenuators shown in Figures 32 and 33. ever, the use of three diodes as the three variable resistors
Attenuation in the series PIN circuit is decreased (more in a Pi attenuator results in a complex unsymmetrical bias
power appears at the output) as the RF resistance of the network. If resistor R3 is replaced by two diodes, as shown
diode is reduced. This resistance is reduced by increasing in Figure 37, the resulting attenuator is symmetrical and
the forward bias control current on the diode. The oppo- the bias network is significantly simplified. V+ is a fixed
site occurs for the shunt configuration. The attenuation in voltage, and Vc is the variable control voltage, which con-
the shunt circuit is decreased when the RF resistance of trols the attenuation of the network. The only drawback to
the diode increases because less power is absorbed in the using two series diodes in place of one is the slight increase
diode and more appears at the output. If the control bias is in insertion loss. Resistors R1 and R2 serve as bias returns
switched rapidly between high and low (zero) values, then for series diodes D2 and D3 . Resistors R3 and R4 are cho-
the circuit acts simply as a switch. When used as a switch, sen to match the specific characteristics of the PIN diodes
the attenuation that exists when the switch is “on” is called used. Properly selected, they will provide the correct split
insertion loss. The attenuation provided when the switch of bias current between series and shunt diodes required to
is “off” is called isolation. If the diode is a pure resistance, maintain a good impedance match over the entire dynamic
the attenuation for the series and shunt circuit can be cal- range of attenuation.
culated as The PIN diode variable attenuator is an excellent cir-
cuit used to set the power level of an RF signal from a volt-
age control; used widely in commercial applications, such
as cellular telephones, PCN (personal communication net-
works), wireless LANs (local area networks), and portable
radios.

where Z0 = RG = RL = circuit, generator, and load resis-


GaAs NMESFET Attenuator
tance, respectively. In reviewing these equations, it is seen
that the attenuation is not a function of frequency but only The GaAs N-semiconductor metal semiconductor field ef-
a ratio of circuit and diode resistances, which is a great ad- fect transistor (NMESFET) is used in microwave attenu-
vantage. As the bias on the diode is varied, the load resis- ator designs. The metal–semiconductor FET (MESFET) is
tance experienced by the source also varies. These circuits a field effect transistor that operates on the principle that
are generally referred to as reflective attenuators because the gate-to-source voltage controls the drain current. The
they operate on the principle of reflections. MESFET is a device extension of a JFET, where the gate
Attenuators 21

Figure 36. Three-diode Pi attenuator.

Figure 37. Wideband four-diode  attenuator.

Figure 38. MESFET T attenuator.


22 Attenuators

Figure 39. MESFET Pi attenuator.

structure is a Schottky MN (metal–N semiconductor) junc- The design equations are:


tion.
In GaAs NMESFET attenuator designs, the devices are
operated either in the linear region where the device is
modeled as a voltage variable resistor or they operate as
an on/off switch in conjunction with thin-film nichrome re-
sistors to provide appropriate levels of attenutation. The
channel resistance of the GaAs NMESFET is known to fol-
low the classical theory for a FET in the linear region of
operation. With the FET biased in the linear region, the
resistance varies inversely to the gate voltage as shown where K is the input to output voltage ratio.
below: GaAs NMESFET digital attenuators allow a specific
value of attenuation to be selected via a digital n bit pro-
gramming word. In these designs, the NMESFET oper-
ates as an on/off switch and is used in conjunction with
nichrome thin-film resistors to provide the desired level
where Vg = gate bias voltage (V), Vp = pinch-off voltage of attenuation. Figure 40 shows the circuit configurations
(V), and Rdso = channel resistance () with Vg = 0 V. used for individual attenuator bits. The switched bridged
As the gate voltage approaches the pinch-off voltage, the T attenuator consists of the classical bridged T attenuator
resistance becomes very high (relative to 50 ). Conversely, with a shunt and series FET. These two FETs are switched
as the gate voltage approaches zero, so does the channel re- on or off to switch between the two states. The attenuation
sistance. For each attenuator configuration, two indepen- in dB is given by
dent gate bias voltages are used; one to control the series
MESFETs and one to control the shunt MESFETs. The T
attenuator configuration is shown in Figure 38, with one
voltage controlling the series resistance arms, and another where Z2 0 = R1 R2 .
the shunt resistance arm. Table 5 gives the resistor values The performance is determined by the FET character-
of the series and shunt resistances in a Z0 = 50  system. istics in the on and off states and the realizability limit on
The channel resistances of the MESFETs are matched as required resistance values and their associated parasitics.
closely as possible for these resistances. A matched condi- The switched T or Pi attenuators are similar in principle
tion at the input and output port to Z0 occurs when, to the switched bridged T attenuator except for the circuit
topology. These attenuators are normally used for high at-
tenuation values. To obtain smaller values of attenuation,
the thin-film resistors are replaced with appropriate chan-
nel resistances.
The resulting matched attenuation is
There are GaAs NMESFET digital RF attenuators on
the market with excellent performance, in both step and
continuously variable types. The variable or programmable
class allows a specific value of attenuation to be selected
from an overall range via an N-bit programming word.
The Pi attenuator configuration is shown in Figure 39, with They are more flexible than step attenuators, as they al-
one voltage controlling the shunt resistance arms, and an- low any amount of attenuation to be set, but the cost is
other the series resistance arm. Table 6 gives the values greater circuit complexity. Both types have a bypass state
of the series and shunt resistances for different levels of when no attenuation is selected, and the attenuation is just
attenuation in a Z0 = 50  system. Shunt resistor R1 and the insertion loss of the device. An example of each type is
series resistor R2 provide and input and output impedance presented.
which matches the characteristic impedance Z0 = 50  of The RF Microdevices RF 2420 is a multistage mono-
the system, while setting the desired level of attenuation. lithic variable or programmable attenuator which has as
Attenuators 23

Figure 40. GaAs digital attenuator circuit configurations.

Figure 42. Functional schematic of RF 2420 (one attenuator


section).

variation across a broad band of operation from 100 MHz


Figure 41. RF 2420 functional block diagram. to 950 MHz, as illustrated in Figure 43.
Furthermore, the attenuation varies smoothly and con-
sistently with attenuator switch settings. Other features
attenuation programmability over a 44 dB range in 2 dB of the device are single 3 V to 6 V supply operation, and
steps. The attenuation is set by five bits of digital data. A 4 dB insertion loss, and the input and output have a low
functional block diagram of the RF 2420 is shown in Fig- VSWR 50  match. All these features make the RF 2420 an
ure 41. It consists of five cascaded, dc-coupled attenuator excellent component for communications systems that re-
sections, each with its own logic translator. The logic trans- quire RF transmit power control by digital means. Typical
lator converts the one-bit control signal, which uses logic applications are in dual mode IS-54/55 compatible cellu-
levels approximating standard TTL logic, to the voltage lev- lar transceivers and TETRA systems. Figure 44 shows the
els required to switch the attenuator stage FETS. The RF complete schematic details of the RF 2420 being employed
input and output signal lines are biased at approximately in a typical RF/IF switching attenuator application.
VDD , and therefore external dc blocking capacitors are re- The RF Microdevice RF 2421 is a GaAs MESFET
quired. An external VDD bypass capacitor is also required. switched step attenuator. It has a single-step digitally con-
trolled attenuation of 10 dB. A functional block diagram
A functional schematic of the RF portion of one atten- of the device is shown in Figure 45. The supply voltage
uator section is shown in Figure 42. A MESFET bridges range required is 2.7 V to 6 V dc. The input and output of
the series resistor in a resistive Pi attenuator, and two the device have a low voltage standing wave ratio (VSWR)
more MESFETs are connected as a double-pole single- 50  match and the RF output can drive up to +16 dBm. It
throw (DPST) RF switch connecting the shunt branches has 1.0 dB of insertion loss over the specified 500 MHz to
of the Pi attenuator to RF ground. In the bypass state, the 3 GHz operating frequency range. The resistors are nickel
bridge MESFET is in its high conductance state, and the chromium (nichrome) and provide excellent temperature
DPST switch is open, so that the Pi-attenuator is effec- stability. The RF ports are reversible, which means the in-
tively removed from the circuit. When the attenuator bit put signal can be applied to either port. The attenuation
is selected, the bridge MESFET is put into its low con- control pin has an internal pull-down resistor which causes
ductance state or cutoff state and the shunt FETs are put the attenuator to be turned off when it is not connected.
into their on state, so that the Pi-attenuator is connected Figure 46 illustrates the RF 2421 being used to set the RF
into the RF series path. This attenuator has only moderate signal level in a communications system.
24 Attenuators

Figure 43. Attenuation and frequency response characteristics of RF 2420 5-bit digital RF
attenuator.

Figure 44. RF 2420 RF/IF switching attenuator schematic.

MOSFET Attenuators
Active voltage attenuators have many useful applications
in analog integrated circuit design. Some of the applica-
tions are in the feedback loops of finite gain amplifiers and
in the input stages of transconductance amplifiers. In dis-
crete circuit design, the most popular way to design a finite
gain amplifier with precisely controlled gain, high linear-
ity, and low output noise is to use operational amplifier and
a voltage attenuator in the feedback loop. Here the voltage
attenuator consists of two resistors connected in series as
Figure 45. RF 2421 functional block diagram.
shown in the classical noninverting and inverting op amp
gain configurations of Figure 47. Resistor attenuators are
Attenuators 25

Figure 46. RF 2421 single-step 10 dB attenuator application.

Figure 47. Op-amp noninverting (a) and inverting (b) gain configurations.

not useful in integrated circuit design because of their large VI Input voltage
areas, low input impedance, large power dissipation, and Vo Output voltage
parasitic capacitances, and precise resistance values can- VDD Drain supply voltage
not be realized. VB Bias supply voltage 1
Three MOS active voltage attenuator configurations VBB Bias supply voltage 2
VTON = VTON1 = VTON2 Zero bias threshold voltage of
useful for the realization of finite gain amplifiers in mono-
M1 and M2
lithic circuits are presented. The attenuators are two
VT2 Threshold voltage of M2 due
single-input attenuators and a summing attenuator that to body bias effect
has two inputs. These attenuators are simple in structure, V1 Input voltage 1
consisting only of MOSFETs. Therefore, they are easy to V2 Input voltage 2
fabricate in standard CMOS semiconductor processes. The γ Body effect parameter
attenuation factor is precisely controlled over a wide range φ Barrier potential
of gains because it ideally depends only on the ratios of the ID Drain current
dimensions of the MOSFETs. W Width of channel
Attenuator I, shown in Figure 48 is an active linear L Length of channel
W1 , W2 Width of channels 1, 2
voltage attenuator consisting of two n-channel MOSFETs
L1 , L2 Length of channels 1, 2
fabricated in a common substrate. The capability to fabri-
K Device constant, µn CoX
cate the MOSFETs in a common substrate has several ad- µn Mobility of electron
vantages. First, both n-channel and p-channel attenuators CoX Gate oxide capacitance per
can be monolithically fabricated in a standard CMOS pro- unit area
cess. Second, the required area of the attenuator is much
smaller. As seen in Figure 48, the substrate is common for The zero bias threshold voltage of both MOSFETs is
both MOSFETs and is connected to the source of the bot- VTON1 = VTON2 = VTON . The proper operating conditions will
tom transistor M1. The circuit operates as a linear voltage be met, provided
attenuator when M1 is in the ohmic region and M2 is in
the saturation region.
The operating conditions of the MOS attenuators in this where
section are derived as follows: The list of symbols used are:
26 Attenuators

Figure 48. (a) Circuit and block diagram of attenuator I consisting of two n-channel MOSFETs, and (b) block diagram of amplifier
consisting of an op-amp and attenuator.

Since M1 is operating in the ohmic region and M2 is in the


saturation region, the drain current of each MOSFET is
given by

and

Equating the two drain currents, the relationship between


V1 and Vo is obtained as Figure 49. Dc transfer characteristic of attenuator I (α =
0.07824).

characteristic is 0.07824 at an input quiescent voltage of


3.5 V.
where A finite gain amplifier consisting of an ideal op amp and
attenuator I in the feedback loop is shown in Figure 48.
Since the op amp is assumed ideal,

If each MOSFET in the attenuator is fabricated in a


separate substrate and the substrate of each MOSFET is
connected to its source (γ = 0), the dc transfer characteristic or
relating VI and Vo becomes a linear equation:

where α is the small signal attenuation factor. That is, the dc transfer function of the amplifier is the in-
In this case, α is verse function of the dc transfer function of the attenuator
in the feedback loop. Thus, the transfer function between
 
the input V I and the V o of the amplifier is given by Eq. (68)
 
when Vo is replaced by V I and VI by V o . The small signal
voltage gain
Eq. (70) is a special case of Eq. (68), when the bulk effect
term due to γ is ignored. When the substrate is separate,
the small signal attenuation factor from Eq. (71) is pre-
cisely determined by width/length ratios. If the substrate
is common, the relationship between the input and output is the reciprocal of the attenuator’s attenuation factor in
is still very linear as given by Eq. (68) even though the the feedback loop. Figure 50 illustrates the dc transfer
equation appears to be a nonlinear quadratic. characteristic of the finite gain amplifier.
Figure 49 shows the typical dc transfer characteristic of Two slightly different linear inverting voltage attenua-
the attenuator consisting of M1 (12 × 10 µm2 ) and M2 (3 tor configurations consisting of two n-channel MOSFETs
× 10 µm2 ) when the substrate is common (γ = 0) and VDD are shown in Figure 51. These circuits operate as a linear
= 5 V. The dc transfer characteristic exhibits a high degree inverting voltage attenuator when both transistors are in
of linearity for the input range 2 V to 5 V. The small signal the saturation region. Assuming the zero bias threshold of
attenuation factor (α) which is the slope of the dc transfer both of the MOSFETs is VTON , the condition will be met,
Attenuators 27

Figure 51. Circuit and block diagrams of linear inverting voltage


attenuators consisting of two n-channel MOSFETs.

Figure 50. Dc transfer characteristic of amplifier (AV = 1/α =


12.78).

provided

and

Under this condition, the drain currents of the transistors


are given by:

Figure 52. Dc transfer characteristics of attenuator II linear in-


where verting voltage attenuators.

range restricted by Eq. (76). The parameter values (γ =


Since the two drain currents are the same for the circuit, 0.525 V1/2 , φ = 0.6 V, and VTON1 = VTON2 = VTON = 0.777 V)
the dc transfer function relating VI and Vo is found by were used in the calculation. The dc transfer function given
equating Eqs. (77) and (64): by Eq. (80) for the common substrate case appears non-
linear, but the degradation from linearity due to practical
values of γ is not significant. The small signal attenuation
factor α, the slope of transfer characteristic in Figure 52, is
where −0.1. The high degree of linearity supports the usefulness
of both configurations in precision attenuator or finite gain
amplifier applications.
Figure 53 shows a finite gain amplifier with attenuator
If γ = 0 in Eq. (80) which corresponds to the case of circuit II in the feedback loop of an op amp. Assuming the op amp
(b) in Figure 51 where the substrate is separate, the dc is ideal,
transfer characteristic reduces to a linear equation,

In this case, the small signal attenuator factor is The transfer function of the amplifier is the inverse func-
tion of the transfer function of the attenuator in the feed-
back loop. The dc transfer function of the amplifier is given

which is precisely determined by the width/length ratios by Eq. (80) when VI is replaced by V o and Vo is replaced
 
of the MOSFETs. From Eqs. (80) and (68), it is noted that by V I . If the substrate is separate, VI replaces V o and Vo

the output dc operating voltage is controlled by VB , inde- replaces V I in Eq. (82); then
pendent of the attenuation factor.
The dc transfer characteristic between VI and Vo calcu-
lated from Eq. (80) for the common substrate case, R1 =
0.1149 and VB = 3.993, and the dc transfer characteristics where the small signal attenuator factor α = −R1 .
calculated from Eq. (82) for the separate substrate case, R1 A summing attenuator is necessary to realize versatile
= 0.1 and VB = 3.449 are shown in Figure 48–52 for the multiple input finite gain amplifiers in integrated circuits.
28 Attenuators

Similarly, it can be shown, that the dc transfer function


between V1 and Vo is obtained as

where
Figure 53. Amplifier consisting of op-amp and attenuator II in
the feedback loop.

If γ = 0 in Eqs. (92) and (80), the equations become linear.


This is realized if each transistor is fabricated in a separate
substrate and the substrate of each transistor is connected
to its source. In this case, the attenuation factors are given
by α1 = −R1 , and α2 = −R2 . Even when γ = 0, which is the
case when the substrates are common, the transfer char-
acteristics between V1 and Vo and between V2 and Vo are
nearly linear as shown in Figure 57 for practical values of
γ. In the calculation of Figure 55, γ = 0.5255 V1/2 , φ = 0.6 V,
Figure 54. Circuit and block diagram of summing attenuator. and VTON = 0.777 V were used which are standard for a 2 µ
CMOS process and R1 = 0.1149 and R2 = 0.1290 were set
such that the small signal attenuation factors for V1 and
Figure 54 shows a two-input active linear inverting volt- V2 are both −0.1. The operating points were set by VBB =
age summing attenuator which consists of two attenuators 5.712 V such that VoQ = 2.5 V (VBQ = 3.993 V) when V1Q =
cascaded. For the summing attenuator, VBB is used to con- V2Q = 2.5 V.
trol the output dc operating voltage and input signals are Summing and subtracting amplifier configurations us-
designated as V1 and V2 . ing the inverting attenuator and the inverting summing
As for the inverting attenuator, the summing attenua- attenuator are shown in Figure 56.
tor works when all the MOSFETs M1–M4 are operating in Circuit (a) in Figure 56 functions as a summing ampli-
the saturation region. The dc transfer characteristics are fier and the circuit (b) functions as a subtracting amplifier,
found by equating the drain currents in the saturation re- with controllable weights. Assuming ideal op amps and at-
gion for each transistor. Assuming the zero bias threshold tenuators, we obtain
voltages for the four MOSFETs are matched at VTON , the
four transistors are in the saturation region provided,

Equating V− and V+ , the output is given by

By equating the drain currents of M3 and M4 given by


From Eq. (98), the circuit in Figure 56(a) is a summing
amplifier with a wide range of available gain from each
input. Similarly, for the circuit in Figure 56(b), we obtain

and

Equating V+ and V− , the output is given by


where

The dc transfer function between V2 and VB is obtained as


From Eq. (99), the circuit in Figure 56(b) is a subtracting
amplifier with a wide range of available gain for each input.
The active attenuator and the active summing atten-
where uator have many desirable characteristics such as small
size, nearly infinite impedance, low power dissipation, and
precisely controllable attenuation ratio with excellent lin-
earity. These attenuators and the finite gain amplifiers
Attenuators 29

Figure 55. Dc transfer characteristics of summing attenuator.

Figure 56. (a) Summing amplifier. (b) Subtracting amplifier.

obtained from these attenuators and op amps will find in-


creased applications in analog integrated circuits.

Noise
Noise in a communication system can be classified in
2 broad categories, depending on its source. Noise gen-
erated by components within a communication system,
such as resistive, extender, and solid-state active devices,
comprise internal noise. The second category, external
noise, results from sources outside a communication sys-
tem, including atmospheric, man-made, and extraterres-
trial sources.
External noise results from the random motion of a
charge carrier in electronic components. The three types
include:

1. Thermal noise: caused by random motion of free elec- Figure 57. Variable attenuator using GaAs MESFET
trons in a conductor or semiconductor excited by ther- CONT+/CONT− = VDD/GND in attenuation mode and
mal agitation; CONT+/CONT− = GND/VDD in through mode.
2. Shot noise: caused by random amount of discrete charge
carriers in such devices as thermionic tubes or semicon- Other noises include:
ductors in devices
3. Flicker noise: produced by semiconductors by a mecha-  Generation–recombination noise: due to free carriers
nism not well understood and is more severe the lower being generated and recombining in semiconductor
the frequency. material. They are random and can be treated as a
shot noise process.
 Temperature-fluctuation noise: the result of the fluc-
Atmospheric noise results primarily from spurious ra-
dio waves generated by the natural discharges within the tuating heat exchange between a small body, such as
atmosphere associated with thunderstorms. Man-made a transistor, and its environment due to the fluctua-
noise sources include high voltage power line discharge and tions in the radiation and heat conduction processes.
computer-generated noise in electric motors.
30 Attenuators

Figure 59. Switchable-network attenuator.

Figure 60. Switchable-element attenuator.

Figure 61. Switchable attenuator.

Switchable Attenuators
Switchable attenuators allow users to vary the attenuation
for various applications, such as in production, field, and
bench-top applications. They offer mechanically or electri-
cally controllable attenuation levels. There are two types
of switchable attenuators: (1) switched-network attenua-
Figure 58. Microstrip–slot-line attenuator on a silicon substrate tors, and (2) switched element attenuators. In switched-
with an override ferrite slab. network attenuators, PIN diode switches are used to de-
velop two or more paths for changing attenuation values.
Once developed, it can be used to obtain several attenua-
tion values. In switched-element attenuator, the resistive
ADDITIONAL TYPES elements have multiple values. Either a pi pad, a tee pad
or a reflection attenuation configuration can be used as the
Figure 57 shows a 4 dB step, 28 dB variable attenuator for initial network in which the resistive elements are vari-
1.9 GHz personal handy phone system transmitter fabri- able. Typically FETs are used for switching and the set-up
cated using silicon bipolar technology with fT of 15 GHz. is implemented in MMIC format.
The GaAs MESFET variable attenuator is configured with A typical data sheet for one model is shown in Table x
resistive Pi attenuators and GaAs switches as shown. Step Frequency DC-0.5 GHz
accuracy within 1.2 dB and total vector modulation error dB 0 to 102 by 1 dB
of less than 4% were realized for −15 dBm output. The Impedance 50 
attenuator consumes 21 mA with 2.7 V power supply and VSWR 1.2:1 to 0.5 GHz
occupies 1.1 mm × 0.5 mm. This unit is being developed. Accuracy ±0.5 dB to 0.03 GHz ±1 dB to 0.5 GHz
Insertion Loss 0.3 dB max to 0.1 GHz 1.5 dB max to 0.5 GHz
This shows the technology trend.
Average Power 0.5 W (25◦ C)
Figure 58 shows the top view and cross section of a pro-
Peak Power 750 W, 3 µs pulse
totype optical microwave attenuator that can be controlled Connectors BNC
by illuminating the silicon substrate. The maximum atten-
uation is 30 dB using laser diode illumination. It is a mi-
Audio Attenuators
crostrip line whose substrate consists of silicon and ferrite
slabs. The ferrite slab is overlaid on the microstrip. There Audio attenuators need compact design to reduce noise and
is a slot on the ground plane under the strip. A white light give audio volume controls with accurate attenuation and
from a xenon arc lamp with a parabolic mirror is focused tracking (0.05 dB). Resistor network using surface mount
by a lens to the silicon surface through the slot. The in- resistors for short signal path and very low inductance and
tensity of the light is not uniform along the slot direction. stray capacitance seem to be successful in achieving the
Due to the light, electron–hole pairs are induced and the end results. Shown in Fig. 62 is a surface mount audio at-
permittivity and conductivity of the silicon are changed, tenuator with 24 steps. The series resistor networks consist
which vary the phase and amplitude of the microwave. of 23 non-inductive, low noise surface mount film resistors.
With 240 mW optical power illumination, an attenuation in The layout of the PC boards and the surface mount resis-
the range of 17 dB to 26 dB was obtained in the frequency tors reduce the signal path compared to normal leaded re-
range from 8 GHz to 12 GHz. sistors. The surface mount film resistors also have very low
Attenuators 31

Model 1 Model 2
Power, W 50 150
Impedance,  50 50
Frequency Range, GHz DC to 18 DC to 8
Attenuation 3, 6, 10, 20, 3, 6, 10, 20, 30, 4
30, 4
Connection N N M/F
Max VSWR
4 GHz 1.20:1
Figure 62. Stereo attenuator. 8 GHz 1.30:1
18 GHz 1.35:1

ACKNOWLEDGMENT

The author is grateful to Mr. Grant Richards of ECET


Dept., Purdue University for his help with fixed and vari-
able fiber optic attenuators.

Figure 63. High power attenuator—Model 1.


BIBLIOGRAPHY

Reading List

F. G. Ananasso “A Low Phase Shift Step Attenuator using PIN


Diode Switches”, IEEE Trans. Microwave Theory Tech., MTT-
28 (7): July 1980.
P. S. Bochert FET Attenuator, 0-1 GHz Applied Microwave and
Wireless, Spring 1996.
R. G. Brown et al. Lines, Waves, Ana Antennas, New York: The
Figure 64. High power attenuator—Model 2.
Ronald Press Co. 1973.
R. S. Elliot An Introduction to Guided Waves and Microwave Cir-
cuits, Englewood Cliffs, NJ: Prentice-Hall, 1993.
Engineering staff of the Microwave Division, Microwave Theory
and Measurements, Hewlett-Packard Co., 1962.
series inductance and very low stray capacitance, allowing S. C. Harsany Principles of Microwave Technology, Englewood
a wide bandwidth. Cliffs, NJ: Prentice Hall, 1997.
M. R. Haskard Thick Film Hybrids—Manufacture and Design,
New York: Prentice-Hall, 1988.
Hewlett Packard Application Note 1048, A Low-Cost Sur-
High Power Attenuators face Mount PIN Diode π Attenuator, Hewlett Packard Co.,
1996.
Shown in Fig. 63 is the group of high power attenuator, a
Hewlett Packard Application Note 922, Applications of PIN
new addition to commercial, fixed attenuators. This model
Diodes, Hewlett Packard Co., 1997.
features a frequency range of DC-18 GHz with an input
IEEE Std 474-1973, Specifications and Test methods for Fixed and
power rating of 50 W CW. Standard attenuation values are
Variable Attenuators, DC to 40 GHz.
3, 6, 10, 20, 30 and 40 dB and the VSWR is rated at 1.35:1 at
T. Koryu Ishii Microwave Engineering, New York: Harcourt Brace
18 GHz. A robust mechanical package with stainless steel
Jovanovich, Publishers, 1989.
Type N connectors is designed to meet the requirements
Joon-Yu Kim R. L. Geiger “MOS Active Attenuators for Analog ICS
of MIL-A-3933. Applications include high power amplifier
and Their Applications to Finite Gain Amplifiers”, Proceedings-
design and test environments as well as defense and radar IEEE International Symposium on Circuits and Systems,
requirements. 1994.
For applications including high power radar, amplifier Joon-Yu Kim R. L. Geiger “Performance Characterization of an
test, telecommunication labs and MRI calibration another Active Attenuator Using Two Cascaded MOSFETS”, Proceed-
new type, high power, fixed attenuators were introduced ings of the 36th Midwest Symposium on Circuits and Systems,
in the market (Figure 64). This type features a frequency 1993.
range of DC-8 GHz with an input power rating of 150 W Joon-Yu Kim R. L. Geiger “Characterization of Linear MOS Active
CW. Standard attenuation values are 3, 6, 10, 20, 30 and Attenuator and Amplifier” Elec. Lett., 3 (7): March 30, 1995.
40 dB and the VSWR is rated at 1.20:1 @ 4 GHz and 1.30:1 Joon-Yu Kim R. L. Geiger “An Inverting MOS Active Attenuator for
@ 8 GHz. The specifications of the above two models are Monolithic Applications”, Proceedings of the Midwest Electro-
shown below. Technology Conference, 1993.
32 Attenuators

T. S. Laverghetta Practical Microwaves, Englewood Cliffs, NJ: Digital attenuator, www.psemi.com, Aug 2006
Prentice-Hall, 1996. Audio attenuators, www.dact.com, Aug 25 2006
R. LaVerne Libbey Video Attenuator using a Multiplier and FET, Parallel, digital attenuator, www.mysolservices.com, Sep 04 2006
A Publication of RCA, New Jersey: 1975.
Switchable attenuators, www.trilithic.com, Sep 04 2006
RF Microdevices, RF 2420 Programmable Attenuator Data Sheet,
Solid state programmable attenuators, www.trilithic.com, Sep 04
1997.
2006
RF & Microwave Test Accessories Catalog, HP, 1997/98.
RF Microdevices Technical Application note TA 0027 Integrated,
Single Step, 10 dB Attenuator for Power Control Applications,
RAJI SUNDARARAJAN∗
1997.
EDWARD PETERSON
RF Microdevices, RF 2421 10 dB Switched Attenuator Data Sheet,
ROBERT NOWLIN
1997.
Arizona State University East,
MIL-HDBK-216, Military handbook, R. F. Transmission Lines and
Mesa, AZ
Fittings, Section 14, Attenuators, Nov. 1976. ∗ Currently at ECET Dept.,
MIL-A-3933E, Military specification, attenuators, fixed, general
Purdue University
specification for 1985.
MIL-A-3933E, Suppl. 1, Military specification, Attenuators, Fixed,
General Specification for 1985.
S. Otaka et al. “A 1.9 GHz Si-Bipolar variable Attenuator for PHS
Transmitter”, IEEE J. Solid State Circuits, 32 (9): 1424–1429,
September, 1997
Reference Data for Radio Engineers, 1957, International Tele-
phone and Telegraph Corp., New York.
P. A. Rizzi Microwave Engineering—Passive Circuits, Englewood
Cliffs, NJ: Prentice-Hall, 1988.
D. Roddy Microwave Technology, Englewood Cliffs, NJ: Prentice-
Hall, 1986.
S. E. Saddow C. H. Lee “Scattering Parameter Measurements on
Optoelectronic Attenuator”, IEEE MIT-S Digest, 1994.
H. W. Sams Reference Data for Radio Engineers, 7th ed., 1985,
Indianapolis, IN.
G. E. Schafer A. Y. Rumfelt “Mismatch Errors in Cascade-
Connected Variable Attenuators”, IRE Trans. Microwave The-
ory Tech., 1959.
H. Shimasaki S. Matsuda M. Tsutsumi “Phase compensation in
an Optically Controlled Microwave attenuator”, IEEE MTT-S
Digest, 1703–1706, 1997.
V. A. Suprynowicz Electrical and Electronics Fundamentals—An
Applied Survey of Electrical Engineering, New York: West Pub-
lishing Company, 1987.
A Vector Attenuator for Feedforward Amplifier and RF Predistor-
tion Use, Product Feature, Microwave J., Oct. 1997.
Weinschel Associates Catalogue, Attenuators and Terminations,
1998.
R. E. Ziemer W. H. Tranter Principles of Communications—
Systems, Modulation, and Noise, New York: Wiley, 1988.
V. F. Valey Modern Microwave Technology, Englewood Cliffs, NJ:
Prentice-Hall, 1987.

Additional Reading List

Attenuators, www.electronics-tutorials.com
www.microwaves101.com/encyclopedia/attenuators.cfm, Aug 28
2006
Rf Fixed attenuators, www.e-meca.com/rf-attenuators.htm, Aug
25 2006
Fiber optic attenuators, www.Telect.com, Aug 25 2006
Fiber optic fixed and variable attenuators, www.fiberdyne.com, sep
2006
High power attenuators, www.Trilithic.com, Aug 28 2006
218 BANDPASS FILTERS

BANDPASS FILTERS
A bandpass filter is an electrical device that passes the spec-
tral components of an electrical signal around a certain fre-
quency f 0 with little or no attenuation, while it mostly rejects
other spectral components (Fig. 1). Bandpass filters are com-
mon building blocks of many electronic systems. They find
applications in diverse fields such as communications, audio,
instrumentation, and biomedicine. To illustrate a typical ap-
plication of a bandpass filter, consider the simultaneous
transmission of n signals in one communications channel us-
ing frequency multiplexing techniques. The signals can share
the same channel because their individual frequency spectra
are shifted so that they occupy nonoverlapping regions (or
bands) of the frequency spectrum. Frequency modulation is
used to shift the baseband spectrum of each of the signals
(centered originally at zero frequency or dc) to center frequen-
cies f 01, f 02, . . ., f 0n (Fig. 2). In order to avoid overlapping, the
center frequencies must have a minimum separation of at
least the bandwidth of the signals. Bandpass filters are used
on the receiver side in order to select or ‘‘filter out’’ only one
of the signals transmitted in the channel. A process called
demodulation is used to shift the spectrum of the selected sig-
nal back to baseband (to a zero center frequency).

T( f )

BW
A

fp1 f0 fp2 f

Figure 1. Frequency response of ideal bandpass filter.

J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
BANDPASS FILTERS 219

s-plane
A

f01 f02 f03 f0n f Figure 4. Pole–zero plot of second-order bandpass filter.

Figure 2. Spectrum of n signals in a communication channel.


the center of geometry of the passband edge frequencies: f 0 ⫽
兹f p1 f p2. In a practical filter, there is a gradual transition be-
IDEAL BANDPASS FILTERS tween the passband and the upper and lower stopbands.
Therefore, besides the passband and stopband there are also
Ideally, a bandpass filter is characterized by its center fre- two transition bands. Two frequencies f s1 and f s2, each de-
quency f 0 and its bandwidth BW. The bandwidth is defined in noted lower and upper stopband edge frequencies, respec-
terms of upper and lower passband edge frequencies f p1 and tively, define the stopbands in a practical bandpass filter.
f p2, respectively, and given by BW ⫽ f p2 ⫺ f p1. Typically, f p1 Stopband regions are characterized by a small gain with max-
and f p2 specify frequency points at which the gain decreases imum value, 1/As, where As is the stopband attenuation. Pass-
by a factor 兹2. The frequency response plot of Fig. 1 shows band ripple Ap and stopband attenuation As are commonly
the gain A as a function of frequency f of an ideal bandpass specified in a logarithmic unit denoted decibel according to
filter. An important parameter of a bandpass filter is its selec- As (dB) ⫽ 20 log As. Practical bandpass filters can have mono-
tivity factor SF, which is expressed by SF ⫽ f 0 /BW. The selec- tonic decreasing gain or equal gain fluctuations (ripple) both
tivity is a measure of the filter’s ability to reject frequencies within the passband and the stopband.
outside its passband. It is also a relative measure of the recip-
rocal bandwidth. Highly selective filters (also denoted nar-
rowband filters) have a bandwidth that constitutes only a MATHEMATICAL CHARACTERIZATION
small fraction of f 0. Narrowband filters with large selectivity OF A BANDPASS FILTER
factors (e.g., SF ⬎ 50) are commonly required in communica-
tion systems. For ideal bandpass filters, the frequency range The simplest bandpass filter is the second-order filter. It is
is divided into three regions: the passband region where the characterized by the input–output relation or transfer func-
gain is approximately constant ( fp1 ⬍ f ⬍ f p2) and the lower tion:
and upper stopbands ( f ⬍ f p1 and f ⬎ f p2, respectively), where
j BW f
the gain is ideally zero or the attenuation or reciprocal gain T( f ) = (1)
f 20 + j BW f − f 2
is infinite. The ideal bandpass filter has sharp transitions be-
tween the passband and the two stopbands.
This transfer function is a complex function with magnitude
and phase. The magnitude (frequency response) obtained
PRACTICAL BANDPASS FILTERS from Eq. (1) has the form

The typical frequency response of a practical passband filter BW f


is shown in Fig. 3. It has a relatively constant gain possibly |T ( f )| = √ (2)
( f − f 2 )2 + (BW f )2
2
0
with fluctuations (ripple) with maximum amplitude Ap within
the passband. The passband edge frequencies f p1 and f p2 are
The pole–zero plot (in the complex frequency plane also
defined, in this case, as the minimum and maximum frequen-
known as the s-plane) of a second-order bandpass filter is
cies with gain, A ⫺ Ap. The center frequency f 0 is commonly
shown in Fig. 4. It has a zero at the origin (shown as a circle)
and two complex conjugate poles (shown as marks). The selec-
tivity is associated with the relative distance of the poles from
T( f ) the imaginary axis in the s-plane. The distance from the ori-
BW gin to the poles corresponds to the center frequency in radi-
A Ap ans, 웆0 ⫽ 2앟f 0.

As BANDPASS FILTER IMPLEMENTATIONS

Depending on the center frequency and selectivity, there exist


several possible implementations of bandpass filters. In gen-
eral, the higher the selectivity and the center frequency, the
fs1 fp1 f0 fp2 fs2 f
more complex the filter becomes. Two very important aspects
are: miniaturization and compatibility with very large scale
Figure 3. Practical bandpass filter. integration (VLSI) systems technology. These have dictated
220 BANDPASS FILTERS

R
vOUT

vIN + L C


Figure 5. Second-order RLC bandpass filter. vIN +
– –
+ vOUT
+
the evolution of bandpass filters and of most other electronic
circuits. Compatibility refers to the fact that the filter can be
fabricated on a CMOS integrated circuit as a part of a VLSI Figure 7. Second-order switched-capacitor filter.
system in CMOS technology. This technology allows the fabri-
cation of very complex analog and digital electronic systems
with hundreds of thousands or even millions of transistors on RC Active Filters
a single integrated circuit. CMOS compatibility is crucial in
In the 1960s, RC active filters using operational amplifiers,
order to reduce manufacturing costs and to increase system
capacitors, and resistors led to the implementation of highly
reliability. Automatic tuning and low power consumption are
selective low-cost filters without inductors (Fig. 6). These fil-
also important aspects for bandpass filters. Tuning consists
ters are known as RC active filters (2). They constituted the
in the process of adjusting the filter’s response to compensate
first step toward filter miniaturization. RC active filters were
for unavoidable fabrication tolerances in the values of the fil-
mass produced in miniaturized form using thin-film and/or
ter elements. These tolerances cause the filter’s response to
thick-film technology. These filters still required individual
deviate from the ideal (nominal) response. Low power is im-
tuning. This was automatically done using computer-con-
portant for portable equipment like cellular phones and bio-
trolled laser beams that burn sections of resistor material to
implantable devices.
change the resistance and achieve the desired filter response.
Within a short time, RC active filters replaced most inductor-
Passive Filters
based filters in the audio frequency range.
For many years, most filters were exclusively implemented as
passive RLC circuits using resistors, inductors, and capacitors Monolithic Analog Filters
(1). Figure 5 shows an example of a resonant RLC circuit used
In the mid 1980s, RC active filters were replaced by fully inte-
as a bandpass filter. This filter is characterized by the trans-
grated switched-capacitor filters (3). These use only switches,
fer function of Eq. (1) with f 0 ⫽ 1/2앟 ⭈ 1/ 兹LC and BW ⫽
operational amplifiers, and capacitors and can be fabricated
1/(CR). The transfer function of this circuit has the pole–zero
in CMOS VLSI technology (see Fig. 7). Switched-capacitor
plot shown in Fig. 4. Ceramic filters based on bulk electrome-
filters require no tuning and can operate at center frequencies
chanical resonance phenomena in piezoelectric materials
have been used in the radio frequency range specially for re-
quirements of high selectivity and accurate center frequency.
Inductors have several problems: they have large physical di-
mensions and cannot be integrated unless their value is very

small; they are temperature dependent; and their value is –
subject to large manufacturing tolerances. They also have + +
poor characteristics at low frequencies, and their value tends +
vIN + vout –
to change with time. RLC passive filters cannot be automati-
cally tuned at the manufacturing stage. Additionally, RLC
and ceramic filters are not compatible with CMOS VLSI tech-
nology. (a)


+
iIN + –
– –
– +
+ – iout
vIN + vOUT
– +
+
(b)

Figure 8. Second-order OTA-C bandpass filter: (a) voltage mode im-


Figure 6. Second-order RC active filter. plementation; (b) current mode implementation.
BANDPASS FILTERS 221

x(n) T T

x(n) T T a0 a1 a2

a0 a1 a2
+
+ y(n)
+ +
+ – –
+ y(n)
+ +

b2 b1

T T

Figure 9. Second-order digital filters (a)


(a) (b) FIR filter; (b) IIR filters.

up to a few hundred kilohertz. Starting in the mid 1970s sur- analog functions by digital ones and to process signals in the
face acoustic wave (SAW) filters—another family of filters— digital domain rather than in analog form. Successful imple-
were developed to implement filters for center frequencies mentation of digital filters for center frequencies up to a few
spanning from 10 to several hundred megahertz. These filters megahertz, as stand-alone units or as part of a VLSI system
are miniaturized filters that also require no tuning. They are has recently been achieved. Digital filters are fully integrated
based on the controlled propagation of electromechanical filters that are compatible with CMOS technology and require
waves on the surface of a piezoelectric crystal with very small no tuning (7). Their characteristics ( f0, BW, or Q) can be eas-
dimensions. SAW filters are not compatible with CMOS tech- ily reprogrammed for many different applications. A digital
nology. But because of their excellent performance character- filter consists basically in various addition, multiplication,
istics and low cost, they are currently being used to imple- and delay operations applied to an ordered sequence of num-
ment the intermediate frequency filter of most televisions. bers that represent the digitized values of a signal. These dig-
Recently, electronically tunable elements in CMOS tech- itized values are obtained by sampling the signal at regular
nology have allowed the integrated circuit implementation of time intervals (t ⫽ T, 2T, 3T, . . .) and transforming the sam-
active filters for center frequencies up to a few tens of mega- pled values into binary codes. In digital filters, present val-
hertz. These filters are compatible with CMOS VLSI technol- ues x(n) ⫽ x(nT) and past values x(n ⫺ 1) ⫽ x((n ⫺ 1)T),
ogy. Most of these implementations are based on electroni- x((n ⫺ 2) ⫽ x((n ⫺ 2)T) . . . of both input and output signals
cally tunable elements called operational transconductance are processed. The steps performed on these digitized values
amplifiers (transconductors or OTAs) and include on-chip au- lead to an output sequence y(n), y(n ⫺ 1), y(n ⫺ 2), . . ., which
tomatic tuning circuitry (4). The tuning circuit continuously can be transformed by means of a digital-to-analog converter
(or periodically) monitors the filter’s response and electroni- into a filtered output signal y(t). A digital filter is, therefore,
cally adjusts the gain of the OTAs to tune the frequency re- an algorithm or sequence of mathematical steps that relates
sponse of the bandpass filter. This family of filters is known input and output sequences by means of multiplication, addi-
as OTA-C filters (see Fig. 8). They require no tuning and can tion, and delay operations. A digital filter is mathematically
be integrated as part of a VLSI system (5). Figure 8a shows
characterized by a difference equation. There are two types of
a conventional ‘‘voltage mode’’ filter, while Fig. 8b shows a
digital filters: finite impulse response (FIR) filters, where the
‘‘current mode’’ filter where input, output, and intermediate
current value of the output signal y(n) depends only on the
variables are represented by electrical currents rather than
current and past values of the input signal, and infinite im-
voltages as it is done in conventional ‘‘voltage mode’’ filters
pulse response (IIR) filters, where the current value of the
(6). In analog signal processing systems, the range above 100
output signal depends also on past values of the output sig-
MHz is still based, to a large exent, on passive RLC filters.
nal. For example, the difference equation of a second-order
At these frequencies, high-quality, small-dimension inductors
are available. A very recent trend for the implementation of FIR filter is expressed by
high-frequency high-selectivity integrated analog filters is
y(n) = a0 x(nT ) + a1 x(n − 1) + a2 x(n − 2) (3)
based on microelectromechanical (MEM) structures, which
are based on electromechanical resonance. This approach has
shown potential for the implementation of bandpass filters while the difference equation of a second-order IIR digital fil-
operating in the radio frequency range and with very high ter has the form
selectivity factors similar to those achievable with ceramic
and SAW structures. These MEM-based filters, unlike the y(n) = a0 x(n) + a1 x(n − 1) + a2 x(n − 2)
(4)
SAW filters, are CMOS VLSI compatible. − b1 y(n − 1) − b2 y(n − 2)

Digital Filters
where a0, a1, a2, b1, and b2 are multiplying coefficients; x(n)
Currently, the availability of low-cost digital circuitry in VLSI and y(n), stand for x(t ⫽ nT) and y(t ⫽ nT), are the current
systems has made it convenient to replace many traditional values of the input and output signals; and x(n ⫺ 1), x(n ⫺
222 BAND-STOP FILTERS

2), y(n ⫺ 1), and y(n ⫺ 2) correspond to the two previous val-
ues of the input and output signals, respectively. The values
of the multiplying coefficients and the sampling frequency,
f s ⫽ 1/T, determine the selectivity and center frequency of the
digital filter and can be easily reprogrammed. Figures 9(a, b)
illustrate the block diagram of second-order FIR and IIR fil-
ters, respectively.
High-speed digital filters can be implemented using spe-
cial-purpose VLSI hardware in the form of digital signal pro-
cessors (6) or as relatively low-speed filters using software in
general-purpose digital systems such as computers or micro-
processors.

BIBLIOGRAPHY

1. A. B. Williams and F. J. Taylor, Electronic Filter Design Handbook:


LC Active and Digital Filters, New York: McGraw-Hill, 1988.
2. M. E. Van Valkenburg, Analog Filter Design, Forth Worth, TX:
Holt, Reinhart and Winston, 1982.
3. R. Schaumann, M. S. Ghausi, and K. R. Laker, Design of Analog
Filters: Passive, Active RC and Switched Capacitors, Englewood
Cliffs, NJ: Prentice-Hall, 1990.
4. J. Silva-Martinez, M. Steyaert, and W. Sansen, High Performance
CMOS Continuous-time Filters, Norwell, MA: Kluwer Academic
Publishers, 1995.
5. Y. P. Tsividis and J. O. Voorman, Integrated Continuous Time Fil-
ters: Principles Design and Applications, Piscataway NJ: IEEE
Press, 1992.
6. J. Ramirez-Angulo, E. Sanchez-Sinencio, and M. Robinson, Cur-
rent mode continuous time filters: two design approaches. IEEE
Trans. Circuits Syst., 39: 337–341, 1992.
7. R. Higgins, Digital Signal Processing in VLSI, Englewood Cliffs,
NJ: Prentice-Hall, 1990.

JAIME RAMIREZ-ANGULO
New Mexico State University

BAND-REJECT FILTERS. See BAND-STOP FILTERS.


222 BAND-STOP FILTERS

Atternuation (dB)
As

Ap

1
Normalized frequency

Figure 2. Normalized low-pass requirements.

at least As dB. In the passbands (below f 1 Hz and above f 2


Hz), the maximum attenuation is Ap dB. The bands from f 1
to f 3 and from f 4 to f 2 are called the transition bands. The
filter requirement is said to be geometrically symmetrical if
f 1 f 2 ⫽ f 3 f 4.
An approach to designing a circuit (a band-stop filter) with
a frequency response that satisfies the band-stop require-
ments shown in Fig. 1 is described below. It consists of two
steps: the approximation of the requirements by a transfer
function, and the synthesis of the transfer function.
In the approximation part of the design process, it is desir-
able to find a transfer function with a frequency response that
satisfies the band-stop requirements. To find that transfer
function, first convert the band-stop requirements into the
normalized low-pass requirements. For the case that the
band-stop requirements are symmetrical, the corresponding
normalized low-pass requirements are shown in Fig. 2. The
normalized passband frequency Fp ⫽ 1 and the passband at-
tenuation is Ap dB. The normalized stopband frequency is

f2 − f1
Fs =
f4 − f3

and the stopband attenuation is As dB.


With such low-pass requirements, we can obtain the corre-
sponding low-pass transfer function TLP(s). (See LOW-PASS FIL-
TERS for more information about how to obtain the transfer
BAND-STOP FILTERS function.) The band-stop filter transfer function TBS(s) is ob-
tained by making the transformation
A band-stop filter (also known as band-reject, band-elimina- 

tion, or notch filter) suppresses a band of frequencies of a sig- TBS (s) = TLP (s)
nal, leaving intact the low- and high-frequency bands. A s= 2 Bs
s + (2π f ) 2
band-stop filter specification can be expressed as shown in 0

Fig. 1. In the stopband from f 3 Hz to f 4 Hz, the attenuation is


where B is the bandwidth of the band-stop filter defined as

B = 2π ( f 2 − f 1 )
Atternuation (dB)

As
and f 0 is the center frequency of the band-stop requirement
defined as
√ √
f0 = f1 f2 = f3 f4
Ap Ap
To use this method when the requirement is not symmetri-
f1 f3 f4 f2 cal, for the case that f 1 f 2 ⬎ f 3 f 4, we form a more stringent
requirement by either decreasing f 2 or increasing f 4, so that
Frequency (Hz)
the symmetrical condition is met. The band-stop transfer
Figure 1. Band-stop filter specification. function that satisfies the new requirements must also satisfy

J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
BATCH PROCESSING IN COMPUTERS 223

the original requirements. In case f 1 f 2 ⬍ f 3 f 4, we either in- Compare with Eq. (1),
crease f 1 or decrease f 3 and then apply the same procedure.
A simple example is provided to illustrate this concept. For R12
a1 = 0, a2 = ,
the band-stop requirements As ⫽ 25 dB, Ap ⫽ 3.01 dB, f 1 ⫽ 1 R11 R21 R31C1C2
kHz, f 2 ⫽ 100 kHz, f 3 ⫽ 8 kHz, and f 4 ⫽ 12.5 kHz; the corre- 1 1 1
sponding normalized low-pass requirements are: As ⫽ 25 dB, b1 = + , b2 =
R31C1 R32C1 R22 R31C1C2
Ap ⫽ 3.01 dB, Fp ⫽ 1, and Fs ⫽ 22. Choosing a single-pole
Butterworth approximation, the low-pass transfer function
for the normalized low-pass requirements is Choose C1 ⫽ C2 ⫽ 1, R12 /R11 ⫽ K, R31 ⫽ R32. Solving for the
other values,
1
TLP (s) = Kb1 b1 2
s+1 R21 = , R22 = , R31 =
2a2 2b2 b1
which meets the stopband requirements easily. The band-stop
transfer function is obtained by the transformation
The impedance scaling method can be used to scale the values

1  of R and C into the practical ranges. In general, a higher-
TBS (s) = 
 order band-stop transfer function can be factorized into a
S+1 3 3
S= 2 2π (100×10 3−1×10 )s 3
s + (2π 100×10 ) (2π 1×10 ) product of biquadratic functions. Each of the biquadratic
function can be synthesized by using the Bainter or other cir-
which simplifies to cuits. By cascading all the circuits together, the band-stop fil-
ter is realized.
s2 + 3.948 × 109
TBS (s) =
s2 + 6.220 × 105 s + 3.948 × 109
BIBLIOGRAPHY
Note that the single-pole low-pass function has been trans-
formed to a two-pole band-stop function. The above band-stop 1. J. J. Friend et al., STAR, An active filter biquad section, IEEE
transfer function is in the so called biquadratic form, which Trans. Circuits Syst., CAS-22: 115–121, 1975.
is an expression of the form
2. S. A. Boctor, Single amplifier functionally tunable low-pass notch
s2 + a 1 + a 2 filter, IEEE Trans. Circuits Syst., CAS-22: 875–881, 1975.
(1) 3. G. Daryanani, Principles of Active Network Synthesis and Design,
s2 + b 1 s + b 2
New York: Wiley, 1976.
There are a number of ways to synthesize and biquadratic 4. J. R. Bainter, Active filter has stable notch and response can be
function as an active network, such as the Friend biquad cir- regulated, Electronics, 115–117, Oct. 1975.
cuit (1,5), the Boctor circuit (2), and the summing four-ampli- 5. G. Moschytz and P. Horn, Active Filter Design Handbook, New
fier biquad circuit (3,5). The Friend or Boctor circuit uses one York: Wiley, 1981.
operational amplifier. The summing four-amplifier biquad cir-
cuit is much easier to tune. When a1 ⫽ 0, the Bainter circuit CHIU H. CHOI
can be used. The Bainter circuit (4) is shown in Fig. 3. For University of North Florida
higher performance circuits, see Ref. 5 for the description of
different band-stop circuit topologies.
The transfer function is
BANDWIDTH EFFICIENCY. See MODULATION ANALYSIS
R12 FORMULA.
s2 +
Vout (s) BANG-BANG CONTROL. See NONLINEAR CONTROL SYS-
=  1 
R11 R21 R31C1C2
Vin (s) 1 1 TEMS, ANALYTICAL METHODS.
s2 + + s+
R31C1 R32C1 R22 R31C1C2 BANK BRANCH AUTOMATION. See BRANCH AUTO-
MATION.
BARCODES, TWO-DIMENSIONAL CODES, AND
R22 SCANNING EQUIPMENT. See MARK SCANNING
R12 EQUIPMENT.
C2
R11 BARE DIE PRODUCTS. See KNOWN GOOD DIE TECH-
R21 NOLOGY.
Vin – R31
+ –
+ +
BARIUM TITANATE MAGNETORESISTANCE. See
– Vout
MAGNETORESISTANCE.
C1 BATCHED I/O. See BATCH PROCESSING IN COMPUTERS.

R32

Figure 3. Bainter circuit.


454 BIPOLAR AND MOS LOGIC CIRCUITS

logic functions, which in turn can be interconnected to achieve


more complex arithmetic or control operations.

BIPOLAR LOGIC CIRCUITS

Bipolar junction transistors (BJTs) along with resistors and


diodes can be used to create electronic circuits that perform
Boolean logic functions. Bipolar refers to transistors that
have two polarities of charge carriers inside: electrons and
holes. These were the first transistors developed commer-
cially, starting in 1949. Junctions of p-type and n-type semi-
conductors were formed from silicon with small amounts of
impurities to produce the BJT structure. Two types of BJTs
are possible: pnp and npn, which refer to the arrangement
and types of the semiconductor junctions.

Historical Background
The first bipolar logic circuits were implementation with dis-
crete transistors on small circuit boards or as hybrids. In
1956, the first integrated circuits (ICs) were designed, which
consisted of resistors, diodes, and bipolar transistors, all in-
terconnected on chip by metal lines. Bipolar logic ICs have
been designed in several styles or families, some of which are
obsolete today. The first logic families were resistor–
transistor logic (RTL) and diode–transistor logic (DTL).
Later, as the cost of adding transistors to a circuit became
secondary, the number of active devices (transistors) was in-
creased to replace the resistors and diodes.
Transistor–transistor logic (TTL) was introduced in the
early 1960s and was quickly accepted around the world, be-
coming the most popular logic family. Most mainframe com-
puters of the 1970s were designed based on TTL logic chips,
and only the TTL’s density and speed changed significantly
over the course of many years. Later, Schottky barrier diodes
(SBDs) were used to prevent saturation in the bipolar transis-
tors, which reduced power consumption and improved switch-
ing speed. Saturation in a BJT describes the condition when
the base-collector junction becomes forward biased and con-
ducts current. Saturated transistors slow down considerably.
TTL used a single 5 V power supply, which became the de
facto standard for logic circuits. Only recently has this begun
to change to 3.3 V. Digital circuits based on TTL are still
widely sold today. TTL held the largest market share until
the early 1980s, when complementary metal–oxide–silicon
(CMOS) began to overtake it.
For a period of time in the 1970s, integrated injection logic
(IIL), also known as merged transistor logic, was a successful
logic family. It promised the highest speeds and levels of inte-
BIPOLAR AND MOS LOGIC CIRCUITS gration. However, the processing complexity and the inferior
speed–power product, compared to modern emitter-coupled
Logic is the science that deals with the principles of reason- logic (ECL) designs, have relegated IIL technology to histori-
ing. Reasoning can be either valid or faulty, and a statement cal interest.
can be either true or false. In electronic circuits, this trans- ECL, a derivative of current-mode logic (CML), has been
lates into a device being on or off or an output voltage being popular for over two decades. It made its first commercial ap-
high or low. The work of Claude Shannon, based on the ear- pearance in 1962, introduced by Motorola as MECL1, and
lier theoretical work of George Boole, established an algebra within a few years was capable of 1 to 2 ns propagation de-
of logic. Boolean algebra describes logical operations on bi- lays. In CML, whose name derives from the current steering
nary state variables such as and, or, and exclusive or, as well realized by differential amplifiers, the transistors do not satu-
as the invert (not) function. Electronic circuits, defined by the rate by design. ECL logic is fairly dense, since several differ-
Webster’s New Collegiate Dictionary, as ‘‘an assemblage of ential amplifiers are stacked on top of each other and share a
electronic components: hookup,’’ implement each of the basic single current source. ECL is used in high-speed computers

J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
BIPOLAR AND MOS LOGIC CIRCUITS 455

Vplus
Out

Vplus High output


R2

Out
R1
In Q1

0.1 V Low output Figure 1. Simple inverter circuit and voltage transfer
0.75 V In curve.

(such as the Crays), in instrumentation, and in communica- Figure 1 shows a simple inverter circuit consisting of a
tions. Typical propagation delays for ECL logic today are less common emitter (CE) transistor with its load resistor R2, and
than 100 ps per gate, and the maximum frequency of opera- a current limiting resistor R1 at its input. Also shown is a
tion is greater than 10 GHz. simplified voltage transfer curve. When the input voltage in-
Nonthreshold logic (NTL) is a relatively new nonsaturat- creases from zero to the normal on voltage of the base-emitter
ing logic family that uses common-emitter (CE) stages with junction (Vbe, 0.75 V), the transistor begins to turn on and the
large emitter resistors to prevent saturation. The layouts of output level begins to drop. The low output voltage will be
some NTL designs are very small; others have achieved some reached when the transistor saturates, resulting in a level
of the highest speed and speed–power records. close to zero (about 0.1 V or less). This voltage is lower than
Bipolar CMOS (BICMOS) was introduced in the middle what is needed to turn on the next stage, and therefore it is
1980s as an optimal technology containing both high-speed a logic zero.
and high-density devices. The current drive of bipolar transis- A simple RTL circuit is shown in Fig. 2 as well as curves
tors could be used to drive long metal lines or large capaci- indicating changes in time at the inputs and the correspond-
tances, which metal–oxide–silicon (MOS) is poor at doing. On ing output change. No limiting resistors are shown for the
the other hand, CMOS had made it possible to integrate very inputs A and B, although these are normally necessary; they
large numbers of logic gates, and memory cells, on a single IC serve the secondary purpose of preventing one transistor from
chip, compared to bipolar. taking all the current coming from the power supply. RTL is
Another new logic family, called current mirror control
a saturating logic family, and its transistors take longer to
logic (CMCL), is claimed to achieve the highest speed–power
come out of saturation (turn off) than to go into saturation.
product of any technology. It operates at less than 2 V and is
Therefore its rising output voltage occurs with more delay
derived from CML by removing the current sources and re-
than its falling voltage.
placing them with current mirrors.
In Fig. 3, we show a two-input DTL nand gate. The input
threshold of this circuit is equal to two diode drops (2Vbe),
Bipolar Logic Circuits Operation
since the input voltage goes up one diode and down three di-
The most fundamental property of logic circuits is that their odes. Only when both inputs are above the turn-on threshold
transfer characteristics (e.g., voltage transfer function from does the output go low, corresponding to the nand function.
input to output) are such that they clamp at the output. Their The advantage of DTL over RTL is that the inputs do not
gain is high enough so that a minimum input voltage swing draw any current when they are high, because of the reverse
will causes the output to swing fully on or off. This limiting bias at their diodes. The resistor at the base of the output
action allows a large number of logic circuits to function si- transistor speeds up its turnoff.
multaneously, for example in a microprocessor, without suf-
fering any errors. The amount of signal degradation that can
be tolerated at the input of a logic circuit is called its noise
immunity, and it is proportional to the circuit gain. Vplus
Vplus

Vplus

Out

A A
Out B B
Out
A B

Out = A Nor B

Figure 2. Resistor–transistor logic (RTL) nor gate. Timing wave- Figure 3. Diode–transistor logic (DTL) nand gate. Output is high
forms show Boolean relationship. for all input combinations except A ⫽ 1 and B ⫽ 1.
456 BIPOLAR AND MOS LOGIC CIRCUITS

Vplus GND

R1 R2
R2

Q6
R1 Q4
Q7
Q5
A Q1 Q2
R5 Out
Q1 AN
Q2 B Q3 Q4 Outn
A Out

B Q6 BN
VCS Q5 R4 R5
R3 R4

R3
Q3

VEE
Figure 4. Schottky transistor–transistor logic (TTL) nand gate with
totem-pole output and squaring circuit. CML

ECL
The Schottky TTL nand gate shown in Fig. 4 has similar Figure 6. Emitter-coupled logic (ECL) and gate with full differential
operation to the DTL implementation except that the input inputs and outputs. The addition of an emitter follower turns CML
diodes have been merged into two emitters at the input tran- into ECL.
sistor. The output stage is composed of a totem-pole configu-
ration driven by a phase-splitter transistor (Q2). The output
is pulled up by a Darlington connect pair. Schottky diodes Because CE stages are loaded by current sources, the satura-
connected internally across base–collector junctions prevent tion is light. The pnp’s are integrated with the npn’s in a sin-
those transistors that might saturate from doing so. The addi- gle tub. Multiple emitters on the pnp side further compress
tional two resistors and a transistor circuit connected at the the layout. One shortcoming of IIL was that it required spe-
base of the output transistor (Q3, R3, and R4) are known as a cial processes to make npn transistors that could operate up-
squaring circuit, and it is used to sharpen the voltage transfer side down, with the collector on top, and also special steps to
function. The TTL output levels are particularly troublesome, make pnp transistors.
since they require driving large capacitive loads and uncon- ECL/CML circuits are based on the differential amplifier.
trolled (impedance) transmission lines; they must also source Figure 6 shows an ECL and gate consisting of two differential
and sink current in a historically asymmetric way. amplifiers stacked on top of each other. The addition of emit-
IIL circuits are similar to RTL circuits except that all resis- ter follower outputs turns a CML circuit into an ECL circuit.
tors are replaced by pnp current sources, realizing an all- In the figure, the emitter followers are simply loaded by resis-
transistor design style. Figure 5 shows that the current tors, but current sources are more commonly employed. At
sources are applied to the inputs of transistors and that the the bottom of the differential amplifiers, transistors Q5 imple-
gates consist of the parallel connection of several transistors. ments a current source that is biased from a common voltage
Vcs. Since the so-called tail current is constant, the amount of
voltage swing developed across load resistors R1 and R2 is
well controlled and saturation prevented. The bases of the dif-
IDC ferential amplifiers connect to dc levels such that their base–
collector junctions do not saturate. There is normally a volt-
age difference of Vbe (a diode drop) between signals A and AN
A and between signals B and BN, so that the emitter of Q1, for
IDC
example, makes the collector of Q3 be no lower than the base
Out of Q3. The and operation is verified by noting that only when
IDC
both Q1 and Q3 are on will the current flow into R1, making
the output OUTN low, and OUT high.
Although Fig. 6 shows fully differential inputs and out-
B
puts, it is common to use single-ended versions of both. This
requires connecting one side of each differential amplifier to
an appropriate threshold voltage level. These levels are usu-
Figure 5. Integrated injection logic (IIL): pnp transistors make up ally generated by the same circuit that provides the bias to
the current sources. All-transistor design. the current sources, and are typically separated from each
BIPOLAR AND MOS LOGIC CIRCUITS 457

GND Vplus

In M1
Q1
Q1 M2
D Q1N
DN Out
CKN
M3
CK Q2
VCS M4

VEE Figure 9. Standard BICMOS inverting buffer. All-transistor design


requires no resistors. Bipolar transistors provide high current drive
Figure 7. ECL latch. Outputs latch on CK high. for large (or unknown) loads.

other by diode drops. The bias circuit is often a bandgap volt- across the emitter resistors. In NTL the maximum collector
age regulator, which is capable of operating with great immu- current is predictable, and selected so that the logic voltage
nity from temperature and supply voltage variations. swing does not saturate the next stage. Emitter followers be-
An ECL latch is an interesting circuit that shows some of tween stages improve interstage loading and the ability to
the special characteristics of this logic family. Figure 7 shows drive more logic inputs (fanout).
that two differential amplifiers are stacked on top of a third, As an example a standard BICMOS inverting buffer,
all three sharing the same current source. When current flows which can be used to drive large capacitive loads, is shown in
through the input differential pair, on CKN high, the outputs Fig. 9. The two npn transistors in combination can pull up
Q and QN simply follow the inputs D and DN. When CK se- and pull down larger currents, and faster, than MOS devices
lects the current to flow though the differential pair on the of similar area. The CMOS inverter, M1 and M2, drive the
right, it latches to the voltage that was previously presented top npn in opposite phase to the drive presented to the bot-
at its inputs. This differential pair has its outputs cross-con- tom npn. The bottom npn is both buffered and kept out of
nected to its inputs, and also connected to the collectors of the saturation by the n-channel MOS (NMOS) device connected
input differential pair. The figure also shows emitter follow- across its base and collectors. The CMOS inverter also drives
ers with constant current source loading. a fourth NMOS transistors, M4, that speeds the turnoff of the
Figure 8 shows a two-input nonthreshold logic gate. The bottom bipolar transistor.
NTL transistors do not saturate, since the current demand is An example of a current mirror control logic (CMCL) xor
proportional to the input voltage. However, this makes the gate can be seen in Fig. 10. The removal of current sources in
transfer function fairly linear, resulting in lower gain and a
soft voltage transfer curve. This is not desirable for logic cir-
cuits, but can be alleviated by adding parallel capacitors GND

A
Vplus Q
AN
QN

B
VREF

Q5
A B Out
Q6

–2 V
QN = A XOR B

Figure 8. Nonthreshold logic (NTL), including speedup capacitor. Figure 10. Current mirror control logic (CMCL) xor gate.
458 BIPOLAR AND MOS LOGIC CIRCUITS

Table 1. tistical corners), better at low temperature, lower in noise for


data transmission (dc-coupled), and nontoxic.
Parameter BJT MOS New silicon–germanium (SiGe) bipolar technologies are
gm /I Highest, 1/VT ⫽ 1/(26 mV) 1/(250 mV) challenging even the most advanced processes in other mate-
1/f Best Poor rials, since they achieve very high cutoff frequencies (e.g., 75
Voffset Very good, exp(Vbe /Vt) Poor (surface effects) GHz) and have other improved transistor parameters. Since
Rin Medium, base current Infinite 1995, the circuit speed performance of SiGe has not only ex-
Rb Yes, limits Fmax No ceeded GaAs MESFETs but almost equaled that of other more
Switch Not ideal Yes
exotic technologies such as HEMT (high electron mobility
Complementary Lateral PNP, 200 MHz PMOS, 40% speed
transistor) and HBT (heterojunction bipolar transistor). Fi-
device
Ft Function of current Function of Vgs ⫺ Vt nally, silicon-on-insulator and higher resistivity substrates
will remove the last obstacle in the competition for the high-
speed and mixed-signal markets, namely, the substrate’s ca-
pacitance, loss, and cross-talk.
an ECL circuit allows it to operate from 2 V. As shown, two
levels of logic, corresponding to signals A and AN, and B, are CMOS LOGIC CIRCUITS
possible. The differential signals A and AN swing about 0.5 V
and drive the master side of two separate current mirror cir- Although bipolar processes are used for many high-speed de-
cuits. When A is high, the current through Q5 is on, while signs, CMOS has become the dominant technology for most of
AN, being low, produces almost no current in Q6. The signal today’s digital ICs. Lower power and higher integration densi-
B is applied against a reference voltage that is regulated ties are two reasons for the success of the CMOS process.
against supply voltage changes. Field effect transistors (FETs) were conceived as early as
1925, more than two decades before the invention of the bipo-
Comparison of BJT with Other Technologies lar transistor. However, development of fabrication processes
to support the manufacture of FETs did not occur until the
At the highest speeds, bipolar logic survives the CMOS on-
1960s. The earliest MOS processes were based on single tran-
slaught; it also enjoys the advantage of being differential and
sistor types. The CMOS fabrication process allows both types
semianalog. The reasons why bipolar technology continues to
of MOSFETs, p-channel and n-channel, to be fabricated to-
be so important will become apparent from the comparison
gether. Schematic symbols for an n-channel MOS transistor
of the advantages and disadvantages of both BJTs and MOS
and a p-channel MOS (PMOS) transistor are shown in Fig.
devices shown in Table 1.
11. Circuits consisting of NMOS and PMOS transistors can
Bipolar transistors have a tremendous (exponential) gain
be used to perform boolean logic functions.
and high current drive capability, allowing small devices to
develop large gain and to drive loads of considerable size, by
Classical CMOS Gates
contrast to MOS. In addition, the small offset voltage of bipo-
lar differential pairs is good for logic at small signal swings. In order to understand how CMOS logic circuits behave, a
Fully differential signals can have smaller levels, with the model for the operation of an individual FET is needed. The
additional benefit of better common-mode signal and noise re- simplest model of an NMOS transistor is that of a switch that
jection, resulting in better noise immunity. closes when the logic level on the gate is high, passing the
Small logic swing (the way to the future for all logic fami- logical state on the input to the output. With a low on the
lies) can naturally take bipolar logic to lower supply voltages gate, the switch modeling the NMOS transistor is open. For
and higher speeds. Speed and power improve with reduced modeling a PMOS transistor, the switch is open when the
voltage swing. In addition, the much lower 1/f frequency cor- gate is driven with a high and closed when the gate is driven
ner of BJTs, related to its bulk properties, makes them much with a low. Boolean logic functions such as nand, nor, and
superior in applications where memory effects are detrimen- invert can be easily implemented by networks of PMOS and
tal, as in data transmission and test equipment. NMOS transistors as shown in Fig. 12.
Because bipolar logic is built around a lot of the same ele- Series connections of transistors perform a logical and op-
ments used in analog design (BJTs, resistors, diodes, capaci- eration, whereas parallel connections perform a logical or op-
tors, and even inductors), it lends itself nicely to mixed signal eration. For the nand gate in Fig. 12, two NMOS transistors
design, combining analog and digital on the same circuit. For are in series between the output (y) and ground (the source of
example, some of the key bipolar and BICMOS analog func- a low logic level). When both x1 and x2 are asserted high, the
tions, such as analog-to-digital converters, digital-to-analog output is pulled to ground, thus passing a low voltage level to
converters, phase-locked loops, and disk-drive electronics,
contain a mix of logic circuits.
Concerning GaAs metal semiconductor field effect transis- Gate Gate
tors (MESFETs), all of the above comparisons apply, since
their operation is very similar to that of MOS transistors, ex-
Input Output Input Output
cept that the higher mobility (at low electric fields) of GaAs
gives it an advantage. GaAs ICs also are manufactured on a NMOS PMOS
semiinsulating substrate that gives reduced signal loading Figure 11. MOS transistor schematics. As shown, the input is arbi-
and improved crosstalk. However, competing directly with trarily connected to the transistor source, and the output to the drain.
GaAs logic, Si–BJT processes are cheaper, more stable (sta- The gate controls the switch action.
BIPOLAR AND MOS LOGIC CIRCUITS 459

x1 x2 y x1
x2 0 1
x1 x1 x2 0 0 1 0 1 0
0 1 0
y
1 0 0
1 0 0
x2 x1 1 1 0

x y y Figure 13. Nor truth table and Karnaugh map with groupings for
both the PMOS network and the NMOS network.
x1 x2 x2

truth table describing the logical function of a two-input nor


invert 2-input nor 2-input nand gate can be entered into a Karnaugh map and the 1’s and 0’s
can be grouped together as shown in Fig. 13. These groupings
Figure 12. Basic logic gates are constructed by parallel and series
imply that a 1 must be passed to the output under the input
combinations of NMOS and PMOS transistors.
condition x1x2 and that a 0 must be passed to the output when
x1 ⫽ 1 or when x2 ⫽ 1. Using the pass notation introduced,
the output. The two PMOS transistors are connected in paral- y = x1 x2 (1) + x1 (0) + x2 (0) (2)
lel between the high-voltage supply and the output. When ei-
ther x1 is low or x2 is low, a path is closed between the high Equation (2) describes the network of MOS transistors
supply and the output, passing a high voltage level to the needed. The first term is a logical and operation. This implies
output. The Boolean operation of a nand function is thus per- a series path between the output and the high supply, since
formed. both x1 and x2 must be low to pass a 1 to the output. Since p-
To understand why PMOS transistors are used to pass channel transistors pass good 1 levels and the switch model
high levels to the output while NMOS transistors are used to closes when the gate is driven by a low level, a pair of series
pass low levels, the switch model must be modified to take PMOS gates are used. The next two terms imply parallel
account of the threshold voltage. The switch modeling an paths passing 0’s when x1 or x2 is high. Parallel n-channel
NMOS transistor is actually closed only when the difference transistors are therefore used. Figure 12 shows the classical
between the voltage on the gate, Vg, and the voltage on the CMOS nor gate with series PMOS transistors between the
source, Vs, is greater than a threshold voltage, Vt. If an NMOS output and the high supply and parallel NMOS transistors
transistor is used to pass a low level, then Vg is always between the output and the low supply.
greater than Vt and the switch is always closed. If, however, Digital MOS ICs can be designed using exclusively nand,
an NMOS transistor were used to pass a high level, the out- nor, and invert gates; however, the cost of an IC is propor-
put would rise until the voltage was Vt less than the gate tional to the size of the die, and this design methodology
voltage, at which point the switch would open and the output might not result in the most economical solution. Several
would cease to rise. NMOS transistors will pass good 0’s, but logic families have been developed to reduce the number of
degrade the voltage level of a 1. PMOS transistors, by similar transistors required to implement a given Boolean logic ex-
arguments, pass good 1’s, but poor 0’s. pression. As an example, the implementation of the Boolean
The operation of digital CMOS circuits can be described by function described by the truth table in Fig. 14 will be de-
Boolean algebra. The normal boolean expression describing scribed for several static logic families. Using basic logic
the nand function is given by y ⫽ x1x2, which conveys that gates, two two-input nand gates and one three-input nand
both x1 and x2 must be high before y ⫽ 0. An alternative Bool- gate would be needed to implement the design example, re-
ean expression for the nand function is y ⫽ x1 ⫹ x2, which quiring a total of 14 transistors.
implies that if either x1 or x2 is low, then y ⫽ 1. Both concepts
are needed to describe the CMOS nand gate fully.
Let y ⫽ x(0) be read as ‘‘y is equal to x passing a 0,’’ mean-
ing that when x ⫽ 1, a 0 is passed to the output. Also, let x1 x2 x3 x4 y
y ⫽ x(1) be ‘‘y is equal to x passing a 1,’’ meaning that when 0 0 0 0 0
x ⫽ 1, a 1 is passed to the output. The CMOS nand function 0 0 0 1 1
0 0 1 0 0
can then be fully described by the following expression:
0 0 1 1 1
0 1 0 0 0
y = x1 x2 (0) + x1 (1) + x2 (1) (1) 0 1 0 1 0
0 1 1 0 1
This can be read as ‘‘y is equal to x1x2 passing a 0 or x1 passing 0 1 1 1 1 x 1x 2
1 0 0 0 1 x 3x 4 00 01 11 10
a 1 or x2 passing a 1.’’ This notation conveys the meaning that
1 0 0 1 1
when x1 is high and x2 is high, the output is low, and if either 1 0 1 0 1
00 0 0 1 1
x1 or x2 is low, the output is high. The first term of Eq. (1) 1 0 1 1 1 01 1 0 1 1
implies the series connection to ground of two NMOS transis- 1 1 0 0 1
tors. The second and third terms imply a parallel connection 1 1 0 1 1 11 1 1 1 1
of PMOS transistors. 1 1 1 0 1
10 0 1 1 1
1 1 1 1 1
The concept of passing 1’s and 0’s can be used to derive
other classical CMOS gates. The derivation of the two-input Figure 14. Design example used for comparison of several CMOS
nor gate will be used as an example. The information in a logic families.
460 BIPOLAR AND MOS LOGIC CIRCUITS

resistor representing the enhancement NMOS transistors in


x1 x3 x4
the pulldown path. The value of the resistance of a FET is
inversely proportional to the width of the transistor. The volt-
age value representing a low logic level could be controlled by
x2 x2 the ratio of the widths of the depletion and enhancement
NMOS transistors. The enhancement NMOS transistors were
y y
sized to be of much smaller resistance than the depletion
x1 x1 transistor setting a low voltage for the low logic level.
This NMOS structure can be imitated in today’s CMOS
process, by replacing the depletion pullup transistor with ei-
x2 x2 x2 x2 ther a PMOS transistor whose gate is tied to a logic low
(pseudo-NMOS), or an NMOS transistor whose gate is driven
with a bias voltage that is greater than the supply voltage
x3 x4 x3 x4 plus Vt (modified pseudo-NMOS). The pseudo-NMOS struc-
ture is shown in the right half of Fig. 15 and requires just six
transistors. A modified pseudo-NMOS structure would also
Complex gate pseudo-NMOS gate require only six transistors.
There is a cost associated with the pseudo-NMOS ap-
Figure 15. Complex gate implementation using fully complementary proaches. When y ⫽ 0, a current flows between the supply
networks and a pseudo-NMOS approach. voltage and ground. This current flow is minimized by mak-
ing the pullup resistance just low enough to maintain the de-
sired speed of operation for the circuit, but still represents
A complex gate with just one node can perform the same enough current to be the reason that CMOS processes re-
function. Using a Karnaugh map, the required PMOS and placed the NMOS and PMOS processes of the 1970s. Al-
NMOS networks to implement a complex CMOS gate can be though entire chip designs have been based on this logic style,
derived in the same manner that the nor gate was derived. it is normally used in conjunction with dynamic techniques,
For the Karnaugh map groupings shown in Figure 14, which will be discussed in a following section.
y = x1 [x2 x3 (0) + x2 x4 (0)] + x1 (1) + x2 x3 (1) + x2 x4 (1) (3)
Pass Gates
Using NMOS transistors to pass 0’s and PMOS transistors to The concept of passing 1’s and 0’s helps to understand the
pass 1’s, and remembering that a PMOS switch is closed operation of static CMOS gates. The limitation of passing only
when driven with a low logic level, we obtain the 10-transis- 0’s and 1’s requires the use of more transistors than necessary
tor solution shown on the left in Fig. 15. This reduction in for many Boolean functions. This constraint is lifted for pass
transistor count motivates cost-conscious circuit designers to transistor gates. The first grouping, in the Karnaugh map of
find alternative implementations, especially for logic blocks Fig. 16, is x1x2, passing the variable x4. The second is x1x2,
that will be placed many times on a digital IC. passing x3. The resulting equation is

Pseudo-NMOS Gates y = x1 [ x2 (x4 ) + x2 (x3 )] + x1 (1) (4)

Another alternative approach reaches back into history to re- The four-transistor circuit shown on the right side of Fig. 16
duce the transistor count even further. In the 1970s, MOS implements the desired function. Since the variables x3 and
processes were dominantly single-transistor processes. An x4 can be either 1’s or 0’s, the voltage level of 1’s will be de-
NMOS processes had typically two types of n-channel FETs: graded at y and must therefore be restored. One approach for
an enhancement transistor similar to the NMOS transistor passing good levels would be to use an NMOS and a PMOS
available in today’s CMOS process, and a depletion transistor. transistor in parallel (a transmission gate) whenever a vari-
If the gate and source of the depletion device were tied to- able is passed. The NMOS device would pass a good 0, while
gether (self-sourced), the transistor could be modeled as a re- the PMOS transistor would pass a good 1. If transmission
sistor. The model for the NMOS enhancement transistor also gates were used, seven transistors would be required. The
need to be expanded to include the concept of resistance. second approach would be to actively restore the output level
When Vg ⫺ Vs ⬎ Vt and the drain voltage Vd is such that at the output of the pass network.
Vd ⫺ Vs ⬍ Vg ⫺ Vs ⫺ Vt, then the closed switch modeling the
NMOS transistor has the property of resistance.
x 1x 2
In the NMOS process, Boolean logic was implemented with x2 x2
x 3x 4 00 01 11 10 x1
an NMOS network identical to the one shown in Fig. 15,
while the PMOS network was replaced by a single, self- 00 0 0 1 1
sourced depletion transistor connected to the high supply. 01 1 0 1 1 x3 y
When the input state was such that y ⫽ 1, the NMOS net-
11 1 1 1 1
work’s path to ground would be broken and the output would
charge to the high supply through the resistance of the deple- 10 0 1 1 1
x4
tion NMOS transistor. When the inputs were such that y ⫽
0, then the output would be a voltage division between the Figure 16. Pass transistor design eliminates the constraint of pass-
resistor representing the depletion transistor pullup and the ing only 1’s and 0’s.
BIPOLAR AND MOS LOGIC CIRCUITS 461

CK CK
y
x1
y
x2 x2 x2 x3

x3 x4 x1 x3 x4

CK CK
Figure 17. Dynamic logic structures. A
basic precharge evaluate structure (left) is
Dynamic logic gate Domino logic gate compared with a domino logic structure.

The logic of this design example is to perform the function node by charge sharing, reducing the voltage level of the
of a synchronous set and load/hold when used to drive the d stored logic 1. Charge sharing across the gate capacitance of
input of a master–slave flip-flop. Since an array of 100 of transistors within the NMOS pulldown structure also can de-
these circuits was needed on an actual design and since active grade the output 1 level if the inputs transition while clock is
level restoration of the 1 level was inherent in the master high. The second consideration occurs when one dynamic gate
section of the flip-flop, the pass transistor design shown in drives the input of a second dynamic gate. A race exists be-
Fig. 16 was used, saving 1000 transistors in comparison with tween when the clock transitions high and the first dynamic
the nand-gate approach. gate evaluates low. This race occurs because both outputs are
precharged high when the clock is low and evaluate when the
Dynamic Gates clock is high. With the inputs to the NMOS transistors of the
second stage high due to the precharge of the first stage,
Unlike static logic, in which there is a path between the out-
the second node begins to fall even though the first stage might
put and either the high supply or ground for every input
transition low, requiring the second stage to remain high.
state, dynamic logic relies on storage of charge on the capaci-
Due to the charge sharing and inherent race condition of
tive load seen at the output to maintain the logic level for
dynamic gates, they are not generally used for random logic.
periods of time. The time base for storage is normally estab-
When the NMOS and PMOS arrays for implementing a de-
lished by a clock. The output of dynamic logic is also valid
sired function are large, the effort required to ensure proper
only during a portion of the charge storage time. A dynamic
operation is worthwhile. Dynamic logic is often used, for ex-
logic gate that implements the design example of Fig. 14 is
ample, to implement the address decode logic of a memory
shown on the left side of Fig. 17. When the clock CK is low, y
circuit.
is precharged to a 1 level. When the clock transitions high,
In order to overcome the race condition and external cross-
the NMOS transistor at the bottom of the NMOS network
talk susceptability of dynamic logic, the domino logic configu-
evaluates the state of the inputs and conditionally discharges
ration shown on the right side of Fig. 17 can be used. The
the output to ground. If there is no path to ground through
inverter on the output node isolates the high-impedance node
the NMOS array, the output remains high. This high voltage
from charge-sharing effects external to the node. Also, since
level is stored on the capacitance seen at the output node.
precharging the internal node to a 1 sets the output to a 0,
Over time this level will degrade due to parasitic leakage
no race exists between cascaded stages. The first state evalu-
paths. This leakage establishes a lower limit on the rate of
ates, and if the input transitions, it may cause the second to
the clock signal. This limit could be eliminated by adding a
transition, and so on in a domino effect. The NMOS network
PMOS transistor, like the pseudo-NMOS pullup device of Fig.
is simply designed to produce the inverse of the function de-
15, with a resistance just low enough to overcome the effects
sired at the output of the inverter.
of leakage. A total of seven transistors are required to imple-
ment the design example.
Future Challenges for CMOS
There are two design considerations for dynamic logic
gates. The output of the dynamic gate of Fig. 17 is floating or The new set of constraints presented by today’s submicro-
at a high impedance when storing a high level. The logic level meter CMOS processes are opening for debate again design
is stored in the form of charge on the capacitance of the node. questions long thought answered. MOS processing technolo-
This floating node is susceptible to charge sharing introduced gies underwent a evaluation in the past. NMOS, with inher-
by crosstalk from adjacent lines. Charge sharing occurs be- ent speed advantages, replaced PMOS as the dominant MOS
tween two physically adjacent conductors due to the parasitic technology in the early to mid 1970s. CMOS, with inherent
capacitance formed by the node metals separated by an the power advantages, replaced NMOS processes in the late
insulating layer. When an adjacent node voltage changes, 1970s and early 1980s. The speed and density of the circuits
charge is coupled through this parasitice capacitance to the being designed at that time had risen to the point where
otherwise unrelated node. Charge can be moved off of the packaging technology could no longer deal with the power lev-
462 BIPOLAR MEMORY CIRCUITS

els generated by NMOS designs. Today we are faced once BIPOLAR LOGIC CIRCUITS. See BICMOS LOGIC CIR-
again with the same power dilemma. Architecture, logic, cir- CUITS.
cuit, and fabrication techniques are evolving to reduce power
while maintaining or increasing performance. As the submi-
crometer geometries continue to shrink, previous assump-
tions about the choice of design methodologies and families
are no longer valid. For instance, the area of a circuit is now
a much stronger function of interconnect than transistor
count. Off-state leakage is no longer negligible and can in the
case of large memory cores actually dominate as the main
component of static power. Switching power, the power asso-
ciated with charging and discharging capacitive loads, in-
creases with capacitance. This is allowing the design tradeoff
between capacitance and static power to be reevaluated. As
with most engineering disciplines, VLSI logic design will con-
tinue to evolve.

BIBLIOGRAPHY

A. R. Alvarez, BICMOS Technology and Applications, Norwell, MA:


Kluwer Academic, 1989.
A. Barna, VHSIC: Technologies and Tradeoffs, New York: Wiley-Inter-
science, 1981.
M. I. Elmasry, Digital Bipolar Integrated Circuits, New York: Wiley-
Interscience, 1983.
S. H. K. Embabi, A. Bellaouar, and M. I. Elmasry, Digital BICMOS
Integrated Circuit Design, Norwell, MA: Kluwer Academic, 1993.
E. D. Fabricius, Introduction to VLSI Design, New York: McGraw-
Hill, 1990.
L. A. Glasser and D. W. Dobberpuhl, The Design and Analysis of VLSI
Circuits, Reading, MA: Addison-Wesley, 1985.
L. J. Herbst, Monolithic Integrated Circuits, Oxford: Clarendon
Press, 1985.
Integrated Circuit Engineering Corporation, STATUS 1997, Scotts-
dale, AZ: ICE, 1997.
K. Kishine, Y. Kobayashi, and H. Ichino, A high-speed, low-power
bipolar digital circuit for Gb/s LSI’s: Current mirror control logic,
IEEE J. Solid-State Circuits 32:, 215–221, 1997.
P. L. Mathews, Choosing and Using ECL, London: Granada Publish-
ing, 1983.
R. Meyer, Advanced Integrated Circuits for Communications, Course
ECE242 Notes, Berkeley: Univ. of California 1994.
J. M. Rabaey, Digital Integrated Circuits: A Design Perspective, Upper
Saddle River, NJ: Prentice-Hall, 1996.
H. Rein and M. Moller, Design considerations for very-high-speed Si-
bipolar IC’s operating up to 50 Gb/s, IEEE J. Solid-State Circuits,
31: 1076–1090, 1996.
M. I. Rocchi (ed.), High Speed Digital IC Technologies, Norwood, MA:
Artech House, 1990.
M. Shoji, CMOS Digital Circuit Technology, Englewood Cliffs, NJ:
Prentice-Hall, 1988.
N. H. E. Weste and K. Eshraghian, Principles of CMOS VLSI Design:
A Systems Perspective, 2nd ed. Reading, MA: Addision-Wesley,
1993.
S. Wolf, Silicon Processing for the VLSI Era: Volume 2, Sunset Beach,
CA: Lattice Press, 1990.

GERMAN GUTIERREZ
Silicon Wave, Inc.
STERLING WHITAKER
NASA Institute for Advanced
Microelectronics
BOOTSTRAP CIRCUITS 531

BOOTSTRAP CIRCUITS Vdd

The word bootstrapping is defined in Webster’s New World Col- M1


lege Dictionary (1) as ‘‘to lift (or raise) oneself by the (or one’s
(Va) a
own) bootstraps to achieve success by one’s own unaided ef- Cpar M2
forts.’’ A similar phenomenon may occur in some electrical (Vo)
and electronic circuits. A circuit can sense a voltage change Cboot
at one terminal of a two-terminal element and cause an equal
change in the voltage of the other terminal (2). Thus the volt- Input M3 Cout
age drop across that element remains almost unchanged. For (Vin)
example, while terminal a of the device shown in Fig. 1 may
have higher potential than terminal b (by V volts), if the volt-
age or potential at b is somehow raised, a potential change at Figure 2. Bootstrapped NMOS inverter (4). The bootstrapping is
achieved by Cboot.
a follows that at node b. This is referred to as bootstrapping
since it is similar to a person trying to lift himself or herself
off the ground by pulling his or her bootstraps. In actual cir-
cuits where bootstrapping is used, the voltage across the ele-
ment may change.
The bootstrapping technique has been used for both digital
and analog circuits. Some examples of each are presented
next.

Vdd
BOOTSTRAPPING IN DIGITAL CIRCUITS

Bootstrapping has been used in digital circuits (CMOS and Mf1


BiCMOS) mainly to increase the voltage swing of the logic
gates. In such circuits, bootstrapping is achieved by using ca-
Vin Mp1 Cboot
Q1
pacitive coupling (3), as in the NMOS bootstrapped inverter
shown in Fig. 2 (4). Mb1 I2
In Fig. 2, M1 maintains the voltage of node a at Vdd ⫺ VT
(where VT is the threshold voltage of the NMOS transistor). V0 Vo
If the input is grounded, M3 is turned off, whereas M2 is I3
turned on and thus provides current to charge Cout. This VDD
CL
causes the output voltage Vo to rise toward Vdd. If Cboot is ig- Mf2
nored temporarily, M2 should turn off as soon as Vo ⫽ Vdd ⫺
2VT. However, due to the capacitor coupling provided by Cboot, Mp2
the voltage of the gate of M2 will follow the rising output. In I1 Q2
other words, node a is bootstrapped. Notice that M1 cuts off Mb2
once Va exceeds Vdd ⫺ VT; thus node a can be assumed floating
(isolated from Vdd). This allows the voltage Va to rise above
Vdd until it reaches Vdd ⫹ VT, and as a result Vo can be pulled
up to Vdd. This is the main advantage of using bootstrapping
Figure 3. A bootstrapped BiCOMOS inverter (5).
in the case of the NMOS inverter. To achieve proper boot-
strapping, Cboot must satisfy the following condition (4):

2VT
Cboot ≥ Cpar
Vdd − 2VT − VOL

a a I
Voltage α +
Boot Va V
+
strapping V + –
V
circuit Vb VB Io Ro
– V –

b b
Time
Current source/sink
Figure 1. Bootstrapping in electronic circuits: The bootstrapping cir-
cuit forces the voltage at node a to track any voltage changes oc- Figure 4. Bootstrapping to increase the output resistance of a cur-
curring at node b. rent source.

J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
532 BOUNDARY-SCAN TESTING

3. R. E. Joynsan et al., Eliminating threshold losses in MOS circuits


I2 by bootstrapping using varacter coupling, IEEE J. Solid-State
a (va) I
Q2 Circuits, SC-7: 217–224, 1972.
+ 4. L. A. Glasser and D. W. Dobberpuhl, The Design and Analysis of
Q1 VLSI Circuits, Reading, MA: Addison-Wesley, 1985.
+ +
VEE2 VBB1 5. S. H. K. Embabi, A. Bellaoucer, and K. I. Islam, A bootstrapped
I1
– – bipolar CMOS (B2CMOS) logic gate for low voltage applications,
V IEEE J. Solid-State Circuits, 30: 47–53, 1995.
b (vb) 6. R. L. Gerzer, P. E. Allen, and N. R. Strader, VLSI Design Tech-
R niques for Analog and Digital Circuits, New York: McGraw-Hill,
– 1990.

SHERIF H. K. EMBABI
Figure 5. A bipolar bootstrapped current source. Any change in va Texas A&M University
will cause a similar change in vb, such that the voltage across Q1
remains constant.

BOUNDARIES, SEMICONDUCTOR-INSULATOR.
See SEMICONDUCTOR-INSULATOR BOUNDARIES.
where Cpar is the parasitic capacitor at node a and VOL is the
low logical level of the NMOS inverter. It is important to no-
tice that the bootstrapping due to capacative coupling is valid
for a finite period of time. The charge stored on the boot node
(node a) will leak off as time passes, and the voltage at node
a will drift back to its nominal value Vdd ⫺ VT; Vo will conse-
quently drift to Vdd ⫺ 2VT.
Bootstrapping has also been used for BiCMOS digital in-
verters to force the output logic high levels to approach Vdd
(similar to NMOS). One example of such circuits is shown in
Fig. 3 (5).

BOOTSTRAPPING FOR ANALOG CIRCUITS

Bootstrapping techniques can be effective in analog circuits,


for instance, to increase the output resistance of a current
source (2,6). This can be explained conceptually using Fig. 4.
The bootstrapping circuit senses any change in V (the voltage
of node a) and generates an equal change at node b so that
the voltage across the current source is almost constant. This
implies that the output resistance of the current source re-
mains high. It can be shown that output resistance R0 in-
creases by a factor 1/1 ⫺ 움, where 움 is the small signal volt-
age gain (2) where va is the small signal voltage at node a
and Vb is the small signal voltage at node b. The gain 움 should
ideally be unity so that the output resistance of the current
source is infinite. But in practice it will have a finite value (움
⬍ 1).
Figure 5 shows how the current source, consisting of Q1
and VBB1, is bootstrapped using Q2, VEE2, and R. The voltage
gain from the base of Q2 (node a) to the emitter of Q1 (node b)
is less than but very close to unity. Therefore, node b tracks
node a. Thus the collector–emitter voltage and the collector
current of Q1 remains almost unchanged even though the
voltage at node a may vary. This implies that the output re-
sistance is increased.

BIBLIOGRAPHY

1. Webster’s New World College Dictionary, 3rd ed., 1997.


2. A. S. Sedra and K. C. Smith, Microelectronics Circuits, Philadel-
phia: Saunders College Publishing, 1991.
558 BRIDGE CIRCUITS

BRIDGE CIRCUITS
Bridges are the most commonly used circuits in measurement
techniques. They enable accurate static measurements of re-
sistance, capacitance, or inductance. Measurement accuracy
is provided by the null-balance method of output indication,
and by the fact that the bridge circuit configuration allows
comparison of unknown components with precise standard
units. This resulted in development of bridge instruments as
complete units of laboratory equipment. The balance in
bridges is highly sensitive with respect to variations of the
bridge components, and this brought about the widespread
use of bridge configurations in transducer and sensor applica-
tions. In addition, the bridge circuits may be found as ‘‘work-
ing’’ circuitry in electric filters where they provide the flexi-
bility inachievable for other filter configurations, in radio
receivers and transmitters where the bridge approach is used
to design stable sinusoidal oscillators, and elsewhere in elec-
tronic hardware, where they are met in a wide variety of cir-
cuits used for determination of impedance, reactance, fre-
quency, and oscillation period. The number of circuits based
on the bridge configuration is increasing, and this article de-
scribes the elements of the general theory of bridge circuits,
and outlines some of their above-mentioned basic applications
with more stress on measurement and transducer ones.
The circuit [Fig. 1(a)] including four arms with imped-
ances, Z1, Z2, Z3, Z4, an element (in applications called ‘‘bal-
ance detector’’ or ‘‘balance indicator’’) with impedance Zo, and
a voltage source of value Eg and output impedance Zg is an
example of the so-called bridge circuit. Figure 1(b) shows the
equivalent ‘‘lattice’’ form of this circuit. This is the simplest
circuit, for which the currents in the impedances cannot be
found using the circuit reduction based on parallel or series
connection of two or more impedances. To find these currents,
one has to write, for example, a system of three loop equa-
tions. As a result, this circuit, which is not very complicated,
is frequently used for demonstration of general (1) (mesh,
loop, and nodal analysis) and special methods (2) (wye-delta
transformation) of circuit analysis. The calculation of the cur-
rent Io in the impedance Zo is a favorite example for demon-
stration of Thévenin and Norton theorems (1,3).
Most technical applications of this bridge circuit are based
on a simple relationship that exists among the circuit arm

Z1

Z1 Z2 Z2
Zg Zo
Zg Io Zo
Z4
Eg Io
Eg
Z4 Z3 Z3

(a) (b)

Figure 1. (a) Bridge circuit and (b) its lattice form.

J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
BRIDGE CIRCUITS 559

Ig Io
Zg

(1) (a) (2) (2) (b) (1)


Eg Vg Vo Zo Eg
(3) Io (3)

Zin Zout
(a) (c)

(1) (2)
(a)
(3) Io

Eg
(1) (2)
(b)
(3) Figure 2. (a) Bridge circuit as a transmission
system; (b) two-ports with crossed input or output
wires; (c) two subcircuits in a bridge: (d) their par-
(b) (d) allel-series connection is clearly seen.

impedances so that the current (or voltage) of the detector The terms a11, a12, a21, and a22, (called ‘‘chain parameters’’) are
impedance has a zero value. One can easily see that in the the terms of a-parameter matrix. Equations (2) show that the
circuits of Fig. 1 the condition Io ⫽ 0 (or the ‘‘balance’’ condi- two-port does not transmit voltages and currents from left to
tion) is achieved when right if one of the following conditions is satisfied:

Z1 Z3 = Z2 Z4 (1) a11 = ∞ a12 = ∞ a21 = ∞ a22 = ∞ (3)

In measurements, this relationship allows one to calculate


The investigation of the terms g-, y-, z-, and h-matrices (4)
one of the impedances if three others are known. In transduc-
allows one to formulate other specific relationships pertaining
ers, it is used in inverse sense—that is, if Io ⬆ 0 then Eq. (1)
to the balanced bridge circuits. In these circuits, correspond-
is violated as well. The deflection 웃Io of the current Io from
ingly, the parameters of other two-port matrices have the val-
zero (the value and sign) is used to evaluate the deviation of
ues
Z1, Z2, Z3, Z4 or their combinations from their nominal values
satisfying Eq. (1). If these impedances are dependent on some
g21 = 0 y21 = 0 z21 = 0 h21 = 0 (4)
physical variables (which are called measurands), then 웃Io
provides information on these physical variables.
The simplicity of Eq. (1) and its independence of Zo and Zg From the other side, the bridge is a reciprocal circuit, and if
(which in many applications are not well specified) make the Eq. (2) is satisfied then the conditions
bridge measurements of physical variables reliable and sensi-
tive. This feature brought about the widespread use of bridge g12 = 0 y12 = 0 h12 = 0 z12 = 0 (5)
circuits in instrumentation and, recently, in microsensors.
By analogy, all circuits (of usually simple configurations) are also, correspondingly, satisfied, and the circuit will not
where a certain relationship between the elements results in transmit voltages and currents as well from right to left.
a zero current in a given element, or zero voltage between a In addition, for reciprocal circuits the following relation-
given pair of nodes, are called bridge circuits here. ship exists among the chain parameters:

|a| = a11 a22 − a12 a21 = 1 (6)


BRIDGE CIRCUIT BALANCE CONDITIONS

Let us consider the bridge circuit as a passive two-port con- Now let one consider the input and output impedances
nected between the impedances Zo and Zg [Fig. 2(a)] and as-
sume the balance conditions. Investigation of the systems of a11 Z0 + a12 a22 Zg + a12
Zin = Zout = (7)
parameters applied for two-port description (4) allows one to a21 Z0 + a22 a21 Zg + a11
formulate some specific relationships pertaining to bridge cir-
cuits. One easily finds that if Eqs. (6) is valid and one of the condi-
The four terminal quantities for this two-port are related tions of Eq. (3) is satisfied, these impedances are given by one
by the equations of the following expressions:
Vg = a11V0 − a12 I0 a11 a12 a22 a12
(2) Zin = Zin = Zout = Zout = (8)
Ig = a21V0 − a22 I0 a21 a22 a21 a11
560 BRIDGE CIRCUITS

Hence, the condition of balance indeed is independent of Zo port matrix terms. If the initial two-port is described by a z,
and Zg (they are ‘‘not seen’’ from the input and output termi- y, h, or g matrix, then only the diagonal terms of these matri-
nals) if the two-port is a linear one. ces should change their sign.
The set of conditions of Eqs. (3) and (4) can be used, to some Many bridge circuits can be represented as a connection of
extent, for synthesis of bridge circuits (5). A bridge circuit can two two-ports shown in Fig. 2(c), where the bridge output
frequently be represented as a regular connection (4) of k two- branch is a wire carrying the current Io. This connection can
ports. Then the conditions of Eqs. (3) and (4) are modified into be redrawn as shown in Fig. 2(d). Then the condition of bal-
ance (Io ⫽ 0) for this circuit can be written as g21 (a)
⫽ g(b)
21 or as

i=k 
i=k
1 (a)
a11 ⫽ a(b) .
(i) 11
g21 = 0 or =0 (9) The following three bridges serve as examples. The circuit
i=1
a(k)
i=1 11
of the twin-T bridge [Fig. 3(a)] is a parallel connection of two
T circuits. The parameter y21 (i)
(i ⫽ 1, 2) for each of these cir-
for parallel-series connection of these two-ports. They are
cuits can be easily calculated, and their sum y(1) 21 ⫹ y21 , in ac-
(2)
modified into
cordance with Eq. (10) gives the balance condition

i=k 
i=k
1 Z1 Z3 Z Z
(i)
y21 =0 or (k)
=0 (10) Z1 + Z3 + + Z4 + Z6 + 4 6 = 0 (13)
i=1
a
i=1 12 Z2 Z5

for parallel connection of two-ports. Then they will give The ordinary bridge can be represented as a series-parallel
connection of two simple two-ports [Fig. 3(b)]. Calculating the

i=k 
i=k
1
(i)
a22 (i ⫽ 1, 2) parameters and using Eq. (11), one obtains
(i)
z21 = 0 or (k)
=0 (11)
i=1
a
i=1 21 Z1 Z4
= (14)
Z1 + Z2 Z4 + Z3
for series connection of two-ports. Finally, the conditions of
Eqs. (3) and (4) will be modified into from which Eq. (1) follows immediately.
The double bridge [Fig. 3(c)] is easily recognized as the con-

i=k 
i=k
1 nection of two two-ports shown in Fig. 2(c). Equating the pa-
(i)
h21 =0 or =0 (12) rameters a(1) (2)
a (k) 11 (left part) and a11 (right part), one can find the
i=1 i=1 22
balance condition
for series-parallel connection of two-ports. Z6 (Z5 + Z7 ) + (Z1 + Z4 )(Z5 + Z6 + Z7 ) Z + Z3
= 2 (15)
Some bridge circuits include a two-port with input or out- Z6 Z7 + Z4 (Z5 + Z6 + Z7 ) Z3
put crossed wires [Fig. 2(b)]. Such a two-port is described by
an a matrix with terms that are negatives of the initial two- for this bridge.

Z1 Z3 Z2

Z2
Z1

Z4 Z6 Vo Z3
Vo

Z5 Z4

(a) (b)

Z1 Z2
Z5

Z6
Io

Z7
Z4 Z3
Figure 3. Examples of bridge circuits: (a) twin-T
bridge; (b) simple bridge redrawn as a series-parallel
connection of two two-ports; (c) double bridge. (c)
BRIDGE CIRCUITS 561

I10 δ Z
Z1 + δ Z Z1 I10 Z1 + δ Z + –
+
Z2 Z2 Z2

Zg Zo Zg Zg Zo
Z4 Z4 Z4
Eg Eg Eg
Z3 Z3 Z3

(a) (b) (c)

e1 e2 e2
+ – Z1 + δ Z – + Z1 – +
+ + +
Z2 Z2

Zg Zo Zo
Figure 4. Calculation of sensitivity in a
Z4 Z4 simple bridge circuit: (a) initial circuit; (b)
Eg circuit in balance; (c) introduction of com-
Z3 Z3
pensating source: (d) extraction of exter-
nal sources in autonomous two-port; (e)
(d ) (e) circuit for calculation of current variation.

SENSITIVITY can find that


Eg Z2
An important parameter of the bridge circuit is sensitivity. It I10 = (20)
is usually calculated for the balanced bridge condition. One Zg (Z1 + Z2 ) + Z1 (Z2 + Z3 )
defines the sensitivity of the bridge output (or balanced) cur-
Let the element Z1 vary, and let its variation be 웃Z (Fig. 4c).
rent as
In accordance with the compensation theorem (2,5), the cur-
rent 웃Io occurring in the element Zo can be calculated if one
dI0 δI
Si = ≈ 0 (16) introduces in the branch with Z1 ⫹ 웃Z a compensating voltage
dZk δZk source I10웃Z, as shown in Fig. 4(c), and consider a circuit (Fig.
4c) that is an active autonomous two-port (5) connected be-
where the derivative is determined at the point Io ⫽ 0, and tween the impedances Zg and Zo. Then, this active autono-
the sensitivity of the bridge balanced voltage as mous two-port can be represented by a passive two-port (of
the same structure, in this case) and two equivalent sources,
dV0 δV0 which appear at the two-port terminals. This step is simply a
Sv = ≈ (17) generalization of the Thévenin-Norton theorem for two-ports.
dZk δZk
If, for example, one decides to use e1 and e2 connected in series
with the two-port terminals [Fig. 4(d)], one can find that
with the derivative determined at Vo ⫽ 0. Here Zk is the ele-
ment of the bridge circuit that varies (it is frequently called a I10 Z3 δZ
e2 ≈ (21)
‘‘tuning element’’). The right sides of Eqs. (16) and (17) show Z2 + Z3
that variations are used for practical calculations of the sensi-
tivities. In addition, 웃Vo ⫽ Zo웃io so that (in this calculation it is assumed Z1 ⫹ 웃Z 앒 Z1, and Z1Z3 ⫽
Z2Z4). As for e1, there is no need of calculating it, because the
bridge two-port in the circuit of Fig. 4(d) is nearly at the bal-
Sv = Z0 Si (18)
ance condition, and the contribution of e1 to the current in Zo
can be neglected. Simultaneously, for the same reason, the
and calculation of only one sensitivity suffices. source e2 does not produce any current in the impedance Zg.
The calculation of sensitivity requires a sequence of steps Hence, one can calculate the current in Zo using the ‘‘approxi-
that can be demonstrated (Fig. 4) using the bridge of Fig. 1(b). mate’’ circuit of Fig. 4(e). One obtains
Assume that it is required to find the sensitivity
Eg Z2 Z3 δZ
δI0 ≈
dI0 [Zg (Z1 + Z2 ) + Z1 (Z2 + Z3 )][Z0 (Z2 + Z3 ) + Z2 (Z3 + Z4 )]
Si = (19) (22)
dZ1
From Eq. (22) it immediately follows that
of this bridge with respect to variation of the element Z1 [Fig.
Eg Z2 Z3
4(a)]. First, let us calculate the current I10 through this ele- Si ≈
ment in the condition of balance, when Io ⫽ 0. In this calcula- [Zg (Z1 + Z2 ) + Z1 (Z2 + Z3 )][Z0 (Z2 + Z3 ) + Z2 (Z3 + Z4 )]
tion the element Zo can be disconnected [Fig. 4(b)] and one (23)
562 BRIDGE CIRCUITS

(1)
I 10
(1)
I 10
(1) (1) (1)
Zg Zg
IT0 ZT
Zo

Eg (2) Eg (2)

(a) (b) (c)

– +
(1) +
ZT (1)
e2
IT0 ZT + δ Z
δ Io Zo
+
(2)
I10 δ Z +

(d) (e)

Figure 5. Calculation of sensitivity in series connection of two two-ports: (a) initial circuit; (b)
circuit in balance; (c) current in tuning element; (d) introduction of compensating source; (e)
extraction of external sources in autonomous two-port and the circuit for calculation of current
variation.

This calculation of sensitivity may be formalized if the with corresponding inputs. The source e2 can be found as
bridge circuit represents a regular connection of two two-ports
(bridge circuits with more than two subcircuits are very rare). e2 = K2 ec (27)
We assume that the tuning branch is located in the first
two-port. where K2 is another transfer coefficient. Consider that the
Let us consider as an example the series connection of two bridge circuit is nearly balanced, the current 웃I of the detector
two-ports [Fig. 5(a)]. As a first step we assume the condition may be found from the ‘‘approximate’’ circuit shown in Fig.
of balance and then disconnect the indicator branch [Fig. 5(b)] 5(e). The result will be
and calculate the input current I(1)
10 . One can see that this cur-
rent is equal to e2
δI0 ≈ (1) (2)
(28)
Z0 + z22 + z22
(1) Eg
I10 = (1) + z (2)
(24)
Zg + Z11 11
Finally, one can find that
The current IT0 in the tuning branch, ZT, may be determined
K1 K2 Eg
by considering the first two-port only [Fig. 5(c)] with the out- Si ≈ (1) + z (2) )(Z + z (1) + z (2) )
(29)
put open and the current I(1) (Zg + z11
10 applied to its input. For a linear 11 0 22 22
two-port one can write that
The extension of this approach for other regular connections
(1)
IT0 = K1 I10 (25) of two two-ports does not present any difficulty (5).

where K1 is a transfer coefficient. Using the compensation


theorem, one introduces in the tuning branch the compensat- APPLICATION OF BRIDGE CIRCUITS FOR
ing voltage MEASUREMENT OF COMPONENT PARAMETERS

ec = IT0 δZ (26) Bridges are commonly used circuits in measurements. They


have high sensitivity and allow accurate measurements of re-
(other forms of the compensation theorem may also be used). sistance, capacitance, and inductance. Measurement accuracy
For exact calculation of 웃Io one has to preserve the variation is due to the null-balance method of output indication and to
웃Z of the tuning impedance, as is shown in Fig. 5(d). Yet, to the fact that the bridge circuit configuration conveniently
simplify the results (and assuming that 웃Z is small), this vari- allows direct comparison of unknown components with pre-
ation is usually omitted. The first two-port now becomes an cise standard units. Here we outline the basic ideas of such
autonomous active two-port. It may be represented as a pas- measurements. They are useful in laboratory environments
sive two-port having the sources e1 and e2 connected in series and form the basis of commercial equipment designs (6).
BRIDGE CIRCUITS 563

Dc Bridges the standard resistance R4 may seriously affect accuracy. The


double (Kelvin) bridge [Fig. 6(b)] is devised to circumvent this
The dc bridges are used for precise measurements of dc resis-
difficulty. In this circuit the resistor R6 represents the resis-
tance. The conventional Wheatstone bridge is shown in Fig.
tance of this connector, and R5 and R7 are small resistances
6(a). It consists of four arms R1 to R4, a zero-center galvanom-
of two additional arms. If one chooses
eter G, which serves as a balance detector, a protection shunt
Rp, a battery VB (1.5 to 9 V), and a set of switches S1 to S3. R5 R
We assume that the resistor R1 is a resistor whose value is = 2 (31)
R7 R3
unknown, the resistor R4 is a standard resistor (usually a
variable decade box providing, for example, 1 ⍀ steps from 1
to 11,100 ⍀), and the resistors R2 and R3 are ratio-arm resis- (this is easily done in practical designs; see Ref. 6), then, us-
tors that serve to provide multiplication of the standard resis- ing Eq. (15), one can find that the relationship among R1 to
tance by convenient values, such as 100, 10, 1, 1/10, and R4 given by Eq. (30) will be preserved.
1/100. The Kelvin bridge allows one to measure the resistances in
The goal of the operating procedures is to achieve balance, the range 1 ⍀ to 10 ⍀ with accuracy better than 0.1%, and in
which is indicated by a zero reading of the galvanometer with the range 0.1 ⍀ to 1 ⍀ better than 1%.
the switches S1 and S2 closed and the switch S3 open. In the
beginning of the procedure, S3 is closed and S1 and S2 are Ac Bridges
open. To avoid transient galvanometer overloading, S1 is The Wheatstone bridge circuit may also be used for imped-
closed first. Then S2 is closed, and an approximate balance is ance measurements at audio/radio frequencies. The battery is
achieved. Only then is switch S3 opened, and the final balance replaced by a generator of sinusoidal voltage, and a sensitive
is achieved. When balanced, the condition R1R3 ⫽ R2R4 is sat- headset or oscilloscope is used as the balance detector [Fig.
isfied, and the unknown resistor value can be found as 7(a)]. The arms now may include reactive components, and
R2 when the condition of balance, as in Eq. (1), is satisfied, one
R1 = R (30) can find the component Z1 from the equality
R3 4
Z2
When the measurement procedure is finished the switches Z1 = Z (32)
Z3 4
are returned to their initial state in reverse order (i.e., S3 is
closed first, then S2 is opened, and, finally, S1 is opened).
The main sources of measurement errors are the variance Introducing Zi ⫽ Ri ⫹ Xi (i ⫽ 1, 2, 3, 4) in Eq. (32), one obtains
of ratio-arm resistors (the design characteristic), additional
R2 + jX2
resistance introduced by poor contacts, resistance in the re- R1 + jX1 = (R + jX4 ) = A + jB (33)
mote wiring of the unknown (the tactics used against these R3 + jX3 4
sources of errors are discussed in Ref. 7), changes in resis-
tance of arms due to self-heating, spurious voltages intro- Hence, to measure two quantities R1 and X1, one can use six
duced from the contact of dissimilar metals, and incorrect bal- parameters to achieve the balance. This results in an enor-
ance. The well-made bridge can be expected to measure from mous number of different bridges (8) adapted for particular
about 0.1 ⍀ to the low megohm range with approximately 1% circumstances.
accuracy, and for the range 10 ⍀ to 1 M⍀ accuracies of 0.05% The selection of configurations to be used in a wider range
can be expected. A good practice is to make measurements on of applications (6) is dictated by two factors. First, in general,
a series of extremely accurate and known resistors and to use attainment of balance is a progressive operation requiring
the obtained errors as an error guide for measurements with back and forth in-turn improvements in resistive and reactive
the closest bridge constants. For measuring very high resis- balances. For rapid balancing it is desirable that the adjust-
tances, the galvanometer should be replaced by a high-imped- ment of resistive part A be independent of the adjustment
ance device. For measuring very low resistances, one has to made in the reactance part jB. This cannot always be done.
use the double bridge described later. In the region of balance the detector voltage is
In measurements of very low resistances, the resistance of
the connector (yoke) between the unknown resistance R1 and δV0 = K(Z1 Z3 − Z2 Z4 ) (34)

S1 R1 R2
R1 R2 R5

G G
VB S2 VB R6
S3
RP R3
R4 R3 R7
R4

(a) (b) Figure 6. (a) Wheatstone and (b) Kelvin bridges.


564 BRIDGE CIRCUITS

C1

R1 R2 R2
R1

D D

R4
R4 R3 R3
C4

(a) (b)

L1 L1
R2 R2
R1 R1
D D

R3
R4 R3 C3 R4
C3
Figure 7. Ac bridges: (a) Wheatstone bridge; (b) ratio-arm
capacitive bridge; (c) Maxwell inductance bridge; (d) Hay
inductance bridge. (c) (d)

where K may be assumed constant (9). In general, the most desire to have a constant standard capacitor prevails, and
rapid convergence to balance is obtained when the phase four basic configurations shown in Fig. 7 are used in general-
angle between the selected pair of adjustable components in purpose universal impedance bridges (6).
Eq. (34) is 앟/2 and least rapid when the angle tends to zero. It is impossible here to give even a brief survey of special-
For example, for the bridge of Fig. 7(b) the balance equations ized bridges; yet four configurations deserve to be mentioned.
are Figure 8(a) shows the bridge with the voltage source and de-
tector interchanged. This allows one to apply a polarizing
R2 R3 voltage and measure the parameters of electrolytic capacitors.
R1 = R C1 = C (35)
R3 4 R2 4 The battery that supplies this voltage must be shunted by a
bypass capacitor, CB. Figure 8(b) shows a configuration (the
If R4 and C4 can be adjusted, rapid balancing is obtained. If Owen bridge) adapted for incremental inductance measure-
R4 and R2 are chosen for adjustment, the convergence can be ments. A filter reactor LRF inserted in the bridge measure-
very slow (9). ment circuit minimizes the effect of core-induced harmonics
The second important factor is that a standard capacitance in determining the balance point (R2 and C3 are used for
more nearly approaches the ideal no-loss reactance than does balance).
the best wire-wound coil type of inductance. Hence, it is desir- Figure 8(c) shows the Shering bridge, which is also used
able to measure an inductance in terms of capacitance. This for measuring the capacitance and dissipation factor of the
can be obtained in the Maxwell bridge [Fig. 7(c)]. The balance capacitors—especially at high voltages. The lower part of this
equations for the Maxwell bridge are bridge (resistors R4 and R3 and capacitor C3) may be main-
tained at a relatively low potential, and the adjustment to the
R2 variable elements can therefore be made safely. The balance
L1 = R2 R4C3 R1 = R (36)
R3 4 equations are

The Maxwell bridge is mainly applied for measuring coils of R3 C3


C1 = C2 R1 = R4 (38)
low Q-factors. Indeed, Q1 ⫽ 웆L1 /R1 ⫽ 웆C3R3, and a coil with R4 C2
Q1 ⬎ 10 may require very high values of R3. This limitation
is removed in the Hay bridge [Fig. 7(d)]. The balance equa- Other useful configurations of ac bridges with a wide range
tions for the Hay bridge are of application (bridges for measuring mutual inductances) can
be found in Refs. 6 and 9. Some improvements of the measur-
R2 1 1 ing techniques (the Wagner ground) are described well in
R1 = R L1 = R2 R4C3 (37)
R3 4 Q21 + 1 Q21 + 1 Ref. 9.
As a consequence in the development of transformers with
where Q1 ⫽ 웆L1 /R1 ⫽ 1/(웆C3R3). very tight magnetic coupling, the ratio arms of some bridges
One can see that a disadvantage of the last two circuits is may be replaced by transformer coils. A transformer can also
the interaction between reactive and resistive balance, yet the be used as a current comparator. An example of a circuit us-
BRIDGE CIRCUITS 565

ing these two properties of transformers is shown in Fig. 8(d) tutional methods of measurement. In these methods, the
(9). Here the generator is connected to the primary winding bridge is first balanced with the unknown impedance con-
of voltage transformer T1, and the secondary windings of T1 nected in series or in parallel with a standard component in
are tapped to provide adjustable sections of N1 and N2 turns, one of the bridge arms and then rebalanced with the un-
respectively. The primary windings of the current trans- known either short- or open-circuited. The unknown can then
former T2 are also tapped to provide sections with adjustable be determined in terms of the changes made in the adjustable
turns n1 and n2. The secondary of T2 is connected to a detector. elements, and the accuracy depends on the difference between
Let Y1 ⫽ G1 ⫹ jB1 be the unknown admittance, and Y2 ⫽ the two sets of balance values obtained. Residual errors, such
G2 ⫹ jB2 be a suitable comparison standard. Balance may be as stray capacitance and stray magnetic coupling, and any
achieved by any suitable combination of adjustments of Y2 uncertainty in the absolute values of the fixed bridge compo-
and tap positions. The balanced condition corresponds to zero nents are virtually eliminated. These effects are nearly the
net flux in the primary of T2. Hence, the condition of balance same whether or not the unknown is in the circuit.
is
APPLICATION OF BRIDGE CIRCUITS IN TRANSDUCERS
n1 I1 = n2 I2 (39)
Bridge circuits are frequently used to configure transducers—
If the resistance and the flux leakage in the primary windings that is, the circuits providing information about physical vari-
of T2 can be neglected and the core flux is zero, the external ables (temperature, force, pressure, etc.) capable of changing
ends of the current transformer have the same potential as the value of one or more components of the bridge. In trans-
the ground line. The voltages V1 and V2 then appear across ducers, one measures the voltage occurring at the detector (or
Y1 and Y2, respectively, so that I1 ⫽ Y1V1 and I2 ⫽ Y2V2. In a current through the detector). The problems that occur in
addition, the ratio of the induced voltages in the secondary of this case can be demonstrated using the circuit shown in
T1 is V2 /V1 ⫽ N2 /N1. Substituting these simple relationships Fig. 9(a).
in Eq. (39) and separating real and imaginary parts, one ob- In this circuit the resistors R1, R2, R3 are constant and the
tains resistor R3 ⫽ R0(1 ⫹ x) is a linear function of a dimensionless
variable x. One can assume that the detector resistance R0 is
n2 N2 n2 N2 very high, and then find the voltage V0 at the detector termi-
G1 = G B1 = B (40)
n1 N1 2 n1 N1 2 nals. One then has
px + (p − mn)
Hence, using suitable combinations of the tappings, a wide V0 = V (41)
(n + p)(m + 1 + x)
range of multiplying factors are available. For a given set of
standards, this provides a much wider range of measure- where m ⫽ R2 /R0, n ⫽ R4 /R0. When the variable x ⫽ 0, the
ments than does the conventional ac Wheatstone bridge. This circuit should be balanced; this requires that the condition
bridge also allows independent balancing of the conductive p ⫽ mn be satisfied. The voltage at the detector then becomes
and susceptive components (9).
The degree of accuracy obtained in bridge impedance mea- mx
V0 = V (42)
surements can be considerably enhanced by adopting substi- (m + 1)(m + 1 + x)


C1 L1
+ CB R2
R1 R2 R1 V Cc
B
CC
D
D
R4 VB
Cc R4
R3
C4 C4 LRF C3

(a) (b)

I1
C1 V1
C2
Z1
R1 N1 n1
D
D
N2 n2
Z2
R3 C3 –V2
R4
Voltage I2 Current
transformation transformation Figure 8. Some special ac bridges: (a) electrolytic capac-
itor bridge; (b) Owen increment inductance bridge; (d)
(c) (d ) transformer bridge.
566 BRIDGE CIRCUITS

I I
R1 R2
R0 (1 + x) R0
Vo
– +
V V Vo
Ro

R4 I3 R3 = R0 R0 (1 + x)
R0 (1 + x)

(a) (b)

I I
R0 R0 (1 – x) R0 (1 + x) R0 (1 – x)

– + – +
V Vo V Vo

R0 R0 (1 + x) R0 (1 – x) R0 (1 + x)
Figure 9. Resistive transducer bridges: (a) with
one variable resistor; (b) with two variable resis-
tors; (c) with push-pull variable resistors; (d) with
four variable resistors. (c) (d)

One can see that V0 is a nonlinear function of x. The desired However, this situation is not always inevitable. If the cur-
ideal response would be rent I in the circuit of Fig. 9(a) is constant the detector voltage
will be
mx
V0i = V (43) mnx
(m + 1)2 V0 = IR0
(m + 1)(n + 1) + x
  (47)
The relative error due to nonlinearity, ⑀n, may be calculated mnx x
as ≈ IR0 1−
(m + 1)(n + 1) (m + 1)(n + 1)
V0i − V0 x x The nonlinearity error is
n = = ≈ (44)
V0i m+1+x m+1
x
n = (48)
The reduction of ⑀n is a frequent requirement in transducer (m + 1)(n + 1)
applications. In the case being considered this can be
achieved by increasing m and restricting the range of x. This This error is decreasing for increasing m and n. The sensitiv-
means that one is trying to establish a constant current ity in this case is
through R3 (assuming that the voltage V is constant) and is mnx
using the bridge measurements for reasonably small x. Sv = I (49)
(m + 1)(n + 1) + x
Another important parameter of the circuit of Fig. 9(a) is
its sensitivity. Resistor R3 may be considered as the ‘‘tuning’’ and its maximum value, achievable for m ⫽ 앝, n ⫽ 앝, is
element of the bridge; in this case its variation for small x is
웃 x ⫽ R0 x, and in the vicinity of balance one can take 웃V0 ⫽ Svmax = I (50)
V0. Then the voltage sensitivity is
Hence, in this case there is no contradiction between optimi-
V0 V m zation of sensitivity and reduction of nonlinearity. In the pas-
Sv = = (45)
R0 x R0 (m + 1)(m + 1 + x) sive circuit, though, the condition of constant current I can be
achieved only approximately.
Its maximum value The results of analysis for the bridge with one variable re-
sistor may be represented by Table 1. It allows one to con-
V 1 clude (7) that—to reduce the nonlinearity error—one has to
Svmax = (46)
R0 (m + 1)2 restrict the measuring range, work with reduced sensitivity,
or consider current source realization in order to use it as a
is achieved when m 앒 1. This result shows that in this partic- power supply for the bridge or the variable resistor.
ular case the condition of maximum sensitivity conflicts with An increase of sensitivity with a simultaneous decrease of
minimization of nonlinearity error. nonlinearity can also be achieved by using two variable resis-
BRIDGE CIRCUITS 567

Table 1. Properties of the Bridge with One Variable Resistor


Supply Nonlinear Maximal Parameters Approximate
Condition Sensitivity Error, ⑀n Sensitivity Required Conditions
Vo
Sv ⫽
xR0
V m x V 1
V constant m2 ⫽ 1 ⫹ x, q ⫽ 앝 R2 ⫽ R3
R0 (m ⫹ 1)(m ⫹ 1 ⫹ x) m⫹1 R0 (m ⫹ 1)2
mn x m ⫽ 앝, n ⫽ 앝, q ⫽
I constant I I R2 Ⰷ R0 , R4 Ⰷ R0
mn ⫹ m ⫹ n ⫹ 1 ⫹ x mn ⫹ m ⫹ n ⫹ 1 앝
m
I3 constant I3 absent I3 m ⫽ 앝, q ⫽ 앝 R2 Ⰷ R0
m⫹1
Io
Si ⫽
xR0

V m x(m2 ⫹ 움) V (1 ⫺ m) q ⫽ m2(q ⫹ 1), n ⫽ 0


V constant R4 Ⰶ R0
R 20 (m ⫹ 1)움 ⫹ (m2 ⫹ 움)x 움(m ⫹ 1) R0 (1 ⫹ m) small x
I mn x[m(n ⫹ 1) ⫹ q] I 1 q ⫽ n2 ⫺ 1, m ⫽ 앝
I constant R2 Ⰷ R0
R0 (n ⫹ 1)움 ⫹ [m(n ⫹ 1) ⫹ q]x (n ⫹ 1)움 R0 (n ⫹ 1) small x
I m I3 1 m⫽앝
I3 constant absent R2 Ⰷ R0 , Rm Ⰷ R0
R0 (m ⫹ 1)q R0 q large q
Note: m ⫽ R2 /R0 , n ⫽ R4 /R0 , p ⫽ R1 /R0 , q ⫽ Ro /R0 ; R3 ⫽ R0(1 ⫹ x); 움 ⫽ q(m ⫹ 1) ⫹ m(n ⫹ 1); balance requirement p ⫽ mn.

tors in opposite arms [Fig. 9(b)] or by using resistors undergo- can be considered as one alternative. The circuit of Fig. 10(b)
ing opposite variations in adjacent arms [Fig. 9(c)] or by using requires the bridge to have five accessible terminals. The cir-
variable resistors in all four arms [Fig. 9(d)]. Table 2 (7) sum- cuit of Fig. 10(c), with two operational amplifiers, can also be
marizes and compares the results for the output voltage in all considered. It provides a linearly dependent output voltage
such bridges for the case in which all resistors have the same
initial value of R0. Again, one can see that the bridges pow- RG
ered by a current source (which leads to active circuits) have V0 = V x (52)
R0
more choices for linearization.
The realization (10) of the current source for the powering
bridge usually involves [Fig. 10(a)] a second voltage source and amplifies the bridge output signal.
(denoted here as Zener diode voltage, VR) and an operational Capacitive and inductive transducers can be used in a vari-
amplifier. The bridge current is I ⫽ VR /RR. ety of ac bridge circuits. Here we discuss only the so-called
Switching to active circuits, some other alternatives should Blumlein bridge circuit. It has particular advantages for use
be considered. The circuit of Fig. 10(b), which provides a lin- with variable capacitive transducers (11) and is used fre-
early dependent output voltage quently with inductive transducers as well. The circuit is
shown in Fig. 11(a). Let the detector impedance be Z0 ⫽ 앝.
x Two variable impedances (sensor arms), Z ⫹ 웃Z and Z ⫺ 웃Z,
V0 = −V (51)
2 operate in a push-pull fashion. The ratio arms represent a

Table 2. Output Voltage for Bridges with Variable Resistors and Supplied by a Constant Voltage or Current
R1 R2 R3 R4 Constant V Constant I
x x
R0 R0 R0(1 ⫹ x) R0 V IR0
2(2 ⫹ x) 4⫹x
x x
R0(1 ⫹ x) R0 R0(1 ⫹ x) R0 V IR0
(2 ⫹ x) 2
2x x
R0 R0 R0(1 ⫹ x) R0(1 ⫺ x) V IR0
4 ⫺ x2 2
x x
R0 R0(1 ⫺ x) R0(1 ⫹ x) R0 V IR0
2 2
x2 x2
R0(1 ⫺ x) R0 R0(1 ⫹ x) R0 ⫺V ⫺IR0
4 ⫺ x2 2
R0(1 ⫹ x) R0(1 ⫺ x) R0(1 ⫹ x) R0(1 ⫺ x) Vx IR0 x
568 BRIDGE CIRCUITS

VCC
R0
RB R1 R2 R0 R0 (1 + x)

+
Vo
A1 –
V
– A1
R4 R3 + Vo

R0
VR I RR

(b)
(a)

R0 RG
R0 (1 + x)

R0 R0 A2
– Vo
+
A1
V
+

Figure 10. (a) Current source for bridge powering


and linearized active bridge circuits: (b) with one am-
plifier and (c) with two amplifiers. (c)

I1
Z – δZ Z + δZ Z I2 Z

V Vo V Vo = 0

M M

L L L L

(a) (b)

– +
Iδ Z Iδ Z + Z + δZ
+ –
V Z1
δ I1 Z Z δ I2 –

δ V0 –

M + +
δ V0
}

V

L L Z – δZ

Figure 11. (a) Blumlein bridge and (b) the circuits for cal-
culation of branch currents and (c) current variations; (d)
pseudobridge circuit. (c) (d)
BRIDGE CIRCUITS 569

transformer with two tightly coupled coils (i.e., L ⫽ M ). The transfer function of this system is
bridge is fed by a sinusoidal voltage V.
Analysis of steady state can be done in two stages. When V0 z21Z0
T (s) = = (59)
the sensor arms have equal impedances Z [Fig. 11(b)], one Eg Zg Z0 + Zg z22 + Z0 z11 + |z|
finds that
where
V
I1 = I2 = I = (53)
Z (Z1 + Z4 )(Z2 + Z3 ) (Z1 + Z2 )(Z3 + Z4 )
z11 = z22 =
Z1 + Z2 + Z3 + Z4 Z1 + Z2 + Z3 + Z4
Indeed, in this condition the magnetic flux in the transformer
is zero and the detector voltage and the voltage at each trans- Z2 Z4 − Z1 Z3
z12 = z21 = |z| = z11 z22 − z212
former coil are zero. The variations of the impedances may be Z1 + Z2 + Z3 + Z4
represented, in accordance with the compensation theorem
(see the first section of this article), by two voltage sources. One of the main problems of network synthesis is the real-
The circuit [Fig. 11(c)] that includes only these two sources ization of a transfer function with prescribed zeros. The zeros
can be used for calculation of current variations. Writing two of transmission (which are the zeros of the transfer function)
loop equations for this circuit, one finds that can now be interpreted as the frequencies at which the bridge
is balanced. This result, obtained for the simple bridge, is
IδZ valid for all bridge configurations. Hence, the synthesis of
δI1 = δI2 = δI = (54)
Z + 2 jωL simple rejection filters (such as the twin-T bridge, or T
bridge), the transfer function of which includes two complex-
The variation of the detector voltage is conjugate zeros, can be simplified if the balance condition is

δZ
 2 jωL
 used directly for the choice of filter elements.
δV0 = 2IδZ − 2ZδI = 2V (55) The control of transmission zeros location becomes espe-
Z Z + 2 jωL cially simple if the lattice is symmetric. For Z2 ⫽ Z4 ⫽ Za and
Z1 ⫽ Z3 ⫽ Zb, the transmission zeros occur at those values of
This result can be used for evaluation of the Blumlein bridge s for which the two branch impedances have equal values.
sensitivity. For a capacitive sensor, Z ⫽ 1/i웆C. Then 웃Z/Z ⫽ This can be arranged to occur for any value of s; hence, the
⫺웃C/C, and one obtains locations of the transmission zeros of a lattice are un-

δC
 2ω2 LC
 restricted and may occur anywhere in the s-plane. For exam-
δV0 = 2V (56) ple, if Z1 ⫽ Z3 ⫽ R0 and Z2 ⫽ Z4 ⫽ R ⫹ Ls ⫹ 1/Cs, the trans-
C 1 − 2ω2 LC mission zeros are given by the zeros of a polynomial

Hence, the sensitivity of the Blumlein bridge with capacitive LCs2 + (R − R0 )Cs + 1 = 0 (60)
sensor arms is a function of frequency. For a stable result one
must choose the parameters so that 2웆2CL Ⰷ 1. and are located in the left-half s-plane for R ⬎ R0, on the j웆-
For an inductive sensor Z ⫽ i웆l. Then 웃Z/Z ⫽ 웃l/l and axis for R ⫽ R0, and in the right-half s-plane for R ⬍ R0. If
δl
 2L
 L ⫽ 0, one can obtain a zero on the positive real axis.
δV0 = 2V (57) It can be proved (13) that every symmetric, passive, recip-
l 1 + 2L rocal, lumped, and time-invariant two-port has a physically
realizable lattice equivalent. Thus, the lattice is the ‘‘most
This analysis demonstrates that in the Blumlein bridge
general’’ symmetric two-port. The lattice has an important
one essentially has comparison of currents at zero potential
role in the modern network synthesis (15) and, in the past,
of the transformer arms. Hence, the capacitive parasitics at
was a useful tool in the general image parameter theory of
the detector terminals are not important. Using a third out-
filter design (16).
put transformer coil (as was the case for the transformer
bridge), one can realize a very sensitive capacitive sensor.
The idea of current comparison is more directly used in BRIDGE CIRCUITS IN ELECTRONICS
the ‘‘pseudobridge’’ circuit [Fig. 11(d)], where the difference in
currents of the sensor arms is entering the virtual ground and In this section we describe oscillators, the operation of which
produces the output signal can only be fully understood if the bridge balanced condition
is considered.
Z1 δZ
δV0 ≈ 2V (58) Figure 12 shows the Wien bridge [Fig. 12(a)], twin-T bridge
Z Z [Fig. 12(b)], and Meachem bridge [Fig. 12(c)] sinusoidal oscil-
lators. The steady-state operation of all three oscillators re-
Pseudobridges are mostly used with capacitive sensors (12).
quires that, at a certain frequency, the condition

BRIDGE CIRCUITS IN NETWORK SYNTHESIS AT B ( jω) = 1 (61)

Let us return to the lattice form of the bridge [Fig. 1(b)] and be satisfied. Here, TB( j웆) is the transfer function of the corre-
consider the impedances Z1 to Z4 as a coupling two-port of the sponding bridge calculated at s ⫽ j웆. The transfer functions
transmission system [Fig. 2(b)]. One then finds that the of the Wien bridge and twin-T bridge should be designed so
570 BRIDGE CIRCUITS

C1
R1 R3
R1 R2
– – Avo(t)
vo (t) C6
+ – +
+ Avo (t) +
C4 C4 vo (t)
R4 R3
C2 R5

(a) (b)


C1 jω 0

R1 R2 jω 0

σ σ
L1 –σ 1 –σ2 –α2 α 1
+
vo (t) –jω 0
+ –
Figure 12. Sinusoidal oscillators: (a) Wien
R4 R3 –jω 0
bridge; (b) twin-T bridge; (c) Meachem
bridge; and pole-zero diagrams of bridge
transfer functions: (d) Wien and twin-T
bridge; (e) Meachem bridge. (c) (d) (e)

that A very important oscillator parameter (16) is the indirect


frequency stability, S웆. It is calculated as
(s2 − α1 s + ω02 )
TB (s) = K (62) 
(s + σ1 )(s + σ2 ) dφ 
Sω = ω0 (66)
dω ω=ω
0
has two complex-conjugate zeros located in the right half of
the s-plane in the vicinity of the points ⫾j웆0 [the result of Eq.
(62) assumes that, for the twin-T bridge, the real zero and In the vicinity of 웆0, only the nearest zeros and poles are im-
real pole are cancelled]. For the Wien bridge, 웆0 ⫽ portant, and this stability will be
兹(R1C1R4C4), 움1 ⫽ [(R2R4 ⫺ R1R3)/(R1R3R4C4)] ⫺ 1/(R1C3). For
the twin-T bridge the elements providing desirable zeros loca- Sω ≈ −2Qz (67)
tion should be chosen using the balance condition of Eq. (13).
The transfer function of the Meachem bridge should be
for the Wien-bridge and twin-T oscillators. Here Qz ⫽ 웆0 /
(s2 − α1 s + ω02 ) (2움1). For the Meachem bridge oscillator, one has
TB (s) = K (63)
(s + α2 s + ω02 )
Sω ≈ −2Qz − 2Qp (68)
Here, 웆0 ⫽ 兹(L1C1), 움1 ⫽ (R2R4 ⫺ R1R3)/(2L1R3), and 움2 ⫽
(R1 ⫹ R4)/(2L1). In all cases, 웆0 is the desirable oscillation fre- where Qp ⫽ 웆0 /(2움2). One can see that the achieved indirect
quency. frequency stability is determined by the chosen bridge imbal-
In the vicinity of the points ⫾j웆0, the transfer function of ance [the reactance branch in Meachem bridge oscillator is
the bridge will be usually a crystal, and the location of poles in TB(웆) is deter-
mined by the crystal parameters]. The connection between
TB ( jω0 ) = |TB ( jω0 )|eφ ( jω 0 ) (64) bridge imbalance and design for frequency stability is well
known for the Wien bridge and Meachem bridge oscillators
and the condition of Eq. (61) can be rewritten as (16), however, it is still not clearly understood in the twin-T
bridge oscillator design (17).
A|TB ( jω0 )| = 1 φ( jω0 ) = 0 or 180◦ (65) The application of the bridge circuits to design of nonsinu-
soidal oscillators is less known. Using switches in a two-oper-
The first condition in Eq. (65) gives the required amplifier ational amplifier multivibrator, one can obtain control of the
gain, and the second condition gives the required sign of gain. oscillation frequency by detuning a resistive bridge (Fig. 13).
BRIDGE INSTRUMENTS 571

3. E. Brenner and M. Javid, Analysis of Electric Circuits, 2nd ed.,


P1 + New York: McGraw-Hill, 1967.
VCC
4. S. Seshu and N. Balabanyan, Linear Network Analysis, New
R1 R2
C York: Wiley, 1959.
– 5. E. V. Zelyakh, General theory of linear electric networks, Moscow:
– Acad. of Sci. USSR, 1951 (in Russian).
+ v0
6. H. E. Thomas and C. A. Clarke, Handbook of Electronic Instru-
+ Ra ments and Measurement Techniques, Englewood Cliffs, NJ: Pren-
Rb tice-Hall, 1967.

R4 R3 VCC 7. R. Pallás-Areny and J. G. Webster, Sensor and Signal Condition-
ing, New York: Wiley, 1991.
P2
8. B. Hague, Alternating Currents Bridge Methods, London: Pit-
man & Sons, 1938.
(a)
9. R. G. Meadows, Electric Network Analysis, Harmondsworth, Mid-
dlesex, UK: Penguin Books, 1972.
+ 10. S. Franco, Design with Operational Amplifiers and Analog Inte-
P1 VCC
grated Circuits, New York: McGraw-Hill, 1988.
R1 R2 C 11. H. K. P. Neubert, Instrument Transducers, Oxford, UK: Claren-
don Press, 1975.

– 12. L. Baxter, Capacitive Sensors, New York: IEEE Press, 1997.


+ 13. W. H. Chen, Linear Network Design and Synthesis, New York:
+ McGraw-Hill, 1964.
– v0
14. D. F. Tuttle, Electric Networks: Analysis and Synthesis, New York:

VCC McGraw-Hill, 1965.
R4 R3
15. M. B. Reed, Electric Network Synthesis—Image Parameter
P2
Method, Englewood Cliffs, NJ: Prentice-Hall, 1955.
16. K. K. Clarke and D. T. Hess, Communication Circuits: Analysis
(b) and Design, Reading, MA: Addison-Wesley, 1971.
Figure 13. Bridge-controlled multivibrators: (a) with Schmitt trig- 17. N. Boutin and A. Clavet, The misunderstood twin-T oscillator,
ger; (b) with comparator. IEEE Circuits Syst., 2: 8–13, 1980.
18. J. H. Huizing, G. A. van Rossum, and M. van der Lee, Two wire
bridge-to-frequency converter, IEEE J. Solid-State Circuits, SC-
For normal circuit operation, the bridge should be unbal- 22: 343–349, 1987.
anced. The oscillation frequency of this circuit is

1
R R3
 I. M. FILANOVSKY
f0 = 2
− University of Alberta, Edmonton
2C R1 R4
+ −
(VCC − VCC )Rb
(69)
[Rb (R3 − R2 )(VCC
+ − V − ) + R (R + R )(V + − V − )]
CC a 2 3 0 0

The use of a comparator allows one to eliminate the feedback


resistances of the Schmitt trigger [Fig. 13(b)]. For this circuit,
the oscillation frequency is

1
R R3

2
f0 = − (70)
4CR3 R1 R4

Both circuits are used as bridge-to-frequency converters in


two-wire transducers (18).

CONCLUSION

Bridge circuits form a specialized, yet a wide-ranging, group


of circuits that find application in measurement techniques,
transducers, network synthesis, and electronics.

BIBLIOGRAPHY

1. H. H. Skilling, Electrical Engineering Circuits, New York: Wi-


ley, 1958.
2. R. E. Scott, Linear Circuits, Reading, MA: Addison-Wesley, 1960.
BUTTERWORTH FILTERS 657

G(웆) ⫽ 20 log10 兩T( j웆)兩, the filter gain in dB, or A(웆) ⫽


⫺G(웆), the filter attenuation. ⌰(웆) is the filter phase, but we
may advantageously use the group delay ␶(웆) ⫽ ⫺⭸⌰(웆)/⭸웆.
Ideal filters should have constant gain and constant group
delay in frequency bands called pass bands and infinite atten-
uation in frequency bands called stop bands. Real filters only
approximate these characteristics. In general, no attention is
paid to phase when approximating gain characteristics, for
satisfying gain and phase in tandem is a problem that usually
does not admit closed-form solution and requires an iterative
optimization procedure. If phase equalization is necessary,
the usual practice is to perform it later using other circuits.
Classical approximation methods are usually developed for
normalized low-pass filters with a pass band between 0 rad/s
and 1 rad/s, where the attenuation variation—the pass-band
ripple—must not exceed Ap, and with a stop band from 웆s ⬎
1 rad/s to infinity, where attenuation must exceed the mini-
mum attenuation in the pass band by at least As.
Other low-pass, high-pass, band-pass, and band-stop filters
can be designed by trivial frequency transformations applied
onto the normalized low-pass-filter prototype, and will not be
discussed here.

HISTORICAL BACKGROUND

The concept of electrical filters was independently developed


by Campbell and Wagner during World War I. These early
electrical wave filters easily accomplished the stop-band re-
quirements, but a reasonably constant gain in the pass band
required heuristically tuning of some filter resistors.
In his seminal work, Butterworth (1) attacked the problem
of designing linear intervalve resonating circuits in such a
way that the overall circuit combined amplification and fil-
tering, matching the desired characteristics in both stop band
and pass band without a tuning procedure. For the normal-
ized low-pass filter, Butterworth proposed a ‘‘filter factor’’ F,
nowadays corresponding to the filter gain 兩T( j웆)兩, such that

|T ( jω)|2 = 1/(1 + ω2n ) = 1/L(ω2 ) (1)

where n is the filter order. Figure 1 shows 兩T( j웆)兩 vs. 웆 for
n ⫽ 2, 4, 7, and 10. It is clear that 兩T( j웆)兩 approaches the
ideal low-pass-filter characteristics when n is increased, satis-
fying pass-band and stop-band requirements. Moreover, But-
terworth cleverly realized that for n even L(웆2) could be de-
composed as a product of n/2 second-order polynomials Pi(웆),


n/2 
n/2
L(ω2 ) = Pi (ω) = [1 + 2ω cos(2i − 1)π/2n + ω2 ]
i=1 i=1

and showed that (1) the product of conveniently selected pairs


of polynomials comprised a function Li(웆2) ⫽ Pi(웆)Pn/2⫺i(웆),
which could be associated with a second-order filter, and (2)
the product of four polynomials selected as described pre-
BUTTERWORTH FILTERS viously comprised a function Li(웆2)Lj(웆2), which could be asso-
ciated with a fourth-order filter. All components of these low-
Electrical filters made by linear, lumped, and finite compo- order filters could be easily calculated, and the filters could
nents present a real rational transfer function T(s) ⫽ be used as coupling stages between amplifier tubes. For the
Vout(s)/Vin(s). For real frequencies, T( j웆) ⫽ 兩T( j웆)兩ej⌰(웆), where first time it was possible to construct a filter amplifier that
兩T( j웆)兩 is the filter linear gain. Alternatively we may use required no heuristic tuning (2).

J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
658 BUTTERWORTH FILTERS

1 we verify that ⭸jL(웆2)/⭸웆j at 웆 ⫽ 0 is zero for j odd and equals


j!aj for j even. For the moment, let us normalize 兩T( j0)兩 ⫽ 1.
0.9
This leads to a0 ⫽ 1 in Eq. (3). For a filter to be of order n,
0.8 a2n ⫽ ⑀2 ⬆ 0 in Eq. (3). From these considerations, to cancel
the maximum number of derivatives of L(웆2)—and conse-
0.7
quently those of 兩T( j웆)兩—at 웆 ⫽ 0, we must choose aj ⫽ 0 for
0.6 j ⫽ 1 to 2n ⫺ 1, leading to
H(jω)

0.5 L(ω2 ) = 1 +  2 ω2n (4)


0.4
which is similar to L(웆2) in Eq. (1) if we choose ⑀ ⫽ 1. The
0.3 n=2 Butterworth approximation is the answer we were looking
0.2 for. Two important considerations must be given on this new
n=4 parameter ⑀.
0.1 n = 10 n=7
0 1. As A(0) ⫽ 0 and A(웆) is clearly a monotonically increas-
0 0.5 1 1.5 2 2.5 3 3.5 ing function, ⑀ controls the attenuation variation, or
ω (rad/s) gain ripple, in the pass band:
Figure 1. Magnitude of the Butterworth transfer function for n ⫽ 2,
4, 7, and 10.
Ap = A(1) − A(0) = 10 log10 (1 +  2 ) (5)

It is interesting to notice that the pass-band ripple is


SOME COMMENTS ON BUTTERWORTH FILTERS independent of the filter order n, depending only on ⑀.
On the other hand,
Butterworth’s contribution for approximating and synthesiz-
ing filters that are well behaved both in the pass band and As = A(ωs ) − A(0) = 10 log10 (1 +  2 ωs2n ) (6)
stopband was immediately recognized and still stands for its
historical and didactic merits. Even nowadays, compared with From Eqs. (5) and (6) we verify that the require-
others, Butterworth’s approximation is simple, allows simple ments for the pass band and stop band are satisfied if
and complete analytical treatment, and leads to a simple net-
work synthesis procedure. For these reasons, it is an invalu-  ≤ (100.1A p − 1)1/2 (7)
able tool to give students insight on filter design and to intro-
and
duce the subject to the novice. From now on, we intend to
present the Butterworth filter with emphasis on this didac-
log10 [(100.1A s − 1)/ 2 ]
tic approach. n≥ (8)
The Butterworth approximation is an all-pole approxima- 2 log10 ωs
tion, that is, its transfer function has the form
2. As ⑀2웆2n ⫽ (⑀1/n웆)2n, Eq. (4) and consequently the filter
T (s) = k0 /D(s) (2) gain may be written as a function of ⑀1/n웆 instead of 웆.
So ⑀1/n is merely a frequency scaling factor that may be
and its n transmission zeros are located at infinity. normalized to 1 without loss of generality in the study
The Butterworth approximation is sometimes called the of the maximally flat approximation, yielding the origi-
maximally flat approximation, but this denomination, first nal Butterworth approximation given by Eq. (1).
used by Landon (3), also includes other approximations. The
idea of the maximally flat gain is to preserve filter gain as
BUTTERWORTH TRANSFER FUNCTION
constant as possible around the frequency at which the most
important signal components appear, by zeroing the largest
We are now interested in determining the filter transfer func-
possible number of derivatives of the gain at that frequency.
tion T(s), which corresponds to Eq. (1). Using the analytical
The Butterworth approximation is just the all-pole approxi-
continuation 웆 ⫽ s/j (or 웆2 ⫽ ⫺s2)
mation with maximally flat gain at 웆 ⫽ 0.

1 1 
|T ( jω)|2 = T (s)T (−s)|s= jω =
MAXIMALLY FLAT APPROXIMATION D(s) D(−s) s= jω
 (9)
1 
Let us consider the family of normalized low-pass all-pole fil- =
L(−s2 ) −s 2 =ω 2
ters of order n. We search for the approximation with maxi-
mally flat gain at 웆 ⫽ 0. For all-pole approximations, L(웆2) is
a polynomial in 웆2. Comparing the polynomial L(웆2) and its and
MacLaurin series
L(−s2 ) = D(s)D(−s) = 1 + (−s2 )n = 1 + (−1)n s2n
  
n 2n
1 ∂ j L(ω2 ) 
L(ω2 ) = a2i ω2i = ωj (3) we verify that the roots of L(⫺s2) are the filter poles and their
i=0 j=0
j! ∂ω j ω=0
symmetrical points with respect to the origin. The roots si of
BUTTERWORTH FILTERS 659

1.5 1.5

jω jω

1 1

0.5 0.5

σ σ
0 0

–0.5 –0.5

–1 –1

–1.5 –1.5
–12 –1 –0.8 –0.6 –0.4 –0.2 0 0.2 –12 –1 –0.8 –0.6 –0.4 –0.2 0 0.2
Figure 2. Butterworth filter poles for (a) n ⫽ 4 and (b)
(a) (b) n ⫽ 7.

L(⫺s2) are Table 1 shows the coefficients di and the Qi factors of the
poles of D(s) for n ⫽ 1 to 7.
si = e j(2i+n−1)π /2n, i = 1, 2, . . ., 2n
PHASE AND GROUP DELAY
where j ⫽ ⫹兹(⫺1). All roots si have unitary modulus and are
equally spaced over the unitary-radius circle. As the filter Group delay gives more practical information than phase
must be stable, we take the roots si in the left-half s plane as characteristics and is easier to calculate. From Eqs. (9) and
the roots pi of D(s) (the poles of the filter), that is, (10) we verify that T(s) may be written as the product of first-
and second-order functions, and so the group delay is the ad-
dition of the group delay of each of these functions. Using
pi = e j(2i+n−1)π /2n, i = 1, 2, . . ., n
 1 ∂T (s)  
τ (ω) = Ev 
For n odd there exists a real pole at s ⫽ ⫺1; for n even all T (s) ∂s 
s= jω
poles are complex. Figure 2 shows the filter poles for n ⫽ 4
and n ⫽ 7. in these low-order functions, where Ev( ⭈ ) is the even part of
Knowledge of the roots of D(s) allows us to calculate its ( ⭈ ), it is easy to show that the group delay is given by
coefficients di, or to factor D(s) in second-order polynomials
(s2 ⫹ s/Qi ⫹ 1) where 1  1 1 + ω2
τ (ω) = +
1+ω 2
i
Qi 1 − (2 − 1/Q2i )ω2 + ω4
1
Qi = −
2 cos [(2i + n − 1)π/2n] where the first term comes from the first-order term of T(s),
if it exists, and the summation is done over all second-order
for i ⫽ 1, . . ., n/2 if n is even or i ⫽ 1, . . ., (n ⫺ 1)/2 if n is
odd, when a factor s ⫹ 1 is also added.
Table 1. Coefficients and Q Factors for the


 
n/2 Butterworth Filters

 (s2 + s/Qi + 1) for n even

n  n d1 d2 d3 Q1 Q2 Q3
D(s) = d i si = i=1 (10)

 
(n−1)/2
1 1.0000


 (s + 1) (s2 + s/Qi + 1) for n odd
i=0
2 1.4142 1.0000 0.7071
i=1 3 2.0000 2.0000 1.0000 1.0000
4 2.6131 3.4142 2.6131 1.3066 0.5412
A curious property is that, as D(s) is real and its roots are 5 3.2361 5.2361 5.2361 1.6180 0.6180
on the unitary-radius circle, its coefficients are ‘‘symmetrical,’’ 6 3.8637 7.4641 9.1416 1.9319 0.7071 0.5176
7 4.4940 10.0978 14.5918 2.2470 0.8019 0.5550
that is, di ⫽ dn⫺i for i ⫽ 0, . . ., n. Obviously d0 ⫽ dn ⫽ 1.
660 BUTTERWORTH FILTERS

14 For a given source resistor Rs, P1(웆) reaches its maximum


Pm when Z1( j웆) matches Rs, that is, at frequencies where
12 Z1( j웆) ⫽ Rs.
 
 V (ω) 2
10 P1 (ω) =  in  Re{Z ( jω)}
n = 10 Z1 ( jω)  1

8 |Vout (ω)|2
Po (ω) =
τ (ω) (s)

RL
n=7
6 |Vin (ω)|2
Pm =
4Rs
4 n=4
where Re兵 ⭈ 其 means the real part of 兵 ⭈ 其. Butterworth filters
2 n=2 present maximum gain at 웆 ⫽ 0, and at this frequency the
filter must transmit the maximum possible power to the load
RL. At 웆 ⫽ 0 inductors act as short circuits, capacitors as open
0
0 0.5 1 1.5 circuits, and by inspection of Fig. 4 we verify that Z1( j0) ⫽
ω (rad/s) RL. For maximum power gain at 웆 ⫽ 0 we choose RL ⫽ Rs.
Still by inspection of Fig. 4, we verify that 兩T( j0)兩 ⫽ . As
Figure 3. Group delay of Butterworth filters for n ⫽ 2, 4, 7, and 10. d0 ⫽ 1, we must choose k0 ⫽  in Eq. (2) and consequently
Eq. (1) becomes
terms of T(s). The first term monotonically decreases from 1
|T ( jω)|2 = 1/4L(ω2 )
to 0 as 웆 increases. The other terms begin as 1/Qi at 웆 ⫽ 0,
peak to 앒2Qi at 웆 앒 1 ⫺ 1/8Qi2 앒 1, and then decrease to zero
We will use here the simple synthesis procedure described
as 웆 further increases. The approximations hold for 4Qi2 Ⰷ 1.
in Ref. 4 and will make use of all simplifications allowed by
The result is that for the cases of interest, with Ap not too
the Butterworth approximation. Let us introduce the filter
large, say Ap ⬍ 1 dB, and n not too small, say n ⬎ 4, the group
transducer function H( j웆) such that
delay of Butterworth filters is practically monotonically in-
creasing in the pass band and is easily compensated by sim- Pm R 1
ple all-pass filters. Figure 3 shows the group delay for n ⫽ 2, |H( jω)|2 = = L = L(ω2 ) = 1 + ω2n
P1 (ω) 4Rs |T ( jω)|2
4, 7, and 10. Remember that, due to the frequency scaling
used to reduce the pass-band ripple, the pass-band edge corre-
measures the power rejection by the filter at frequency 웆. As
sponds to ⑀1/n ⬍ 1.
Pm ⱖ P1(웆), 兩H( j웆)兩2 ⱖ 1, and the equality is reached only at
the frequencies of maximum power gain. Let us also introduce
SYNTHESIZING BUTTERWORTH FILTERS the filter characteristic function K( j웆) such that

The filter is implemented as a linear network synthesized to |K( jω)|2 = |H( jω)|2 − 1 = ω2n
exhibit the desired frequency behavior. Implementation is re-
lated to the technology chosen for the filter assemblage. Al-
though progress has brought new technologies for synthesiz-
Rs L2 Ln –1 Vout
ing and implementing filters, the doubly loaded LC ladder
network with maximum power gain still remains the basis of
most synthesis procedures, due to the its low gain sensitivity Vin
to the network components. This synthesis method is usually C1 C3 Cn RL
very complex, but the peculiarities of Butterworth equations
allow simplifications that make this filter very adequate to
introduce the doubly loaded LC ladder network synthesis
Z1
method to students.
(a)
First, we already know a structure to be used with all-pole
filters. As the transmission zeros of a ladder network are the
Rs L2 Ln Vout
poles of the series-branch impedances and the shunt-branch
admittances, a possible network topology is shown in Fig. 4
for n odd and even. Vin
If we find a suitable Z1(s), the synthesis problem reduces C1 C3 Cn – 1 RL
to the easy realization of a one-port network with impedance
Z1(s) through the extraction of poles at infinity, alternating
from the residual admittance and impedance (the ‘‘chop-chop’’
Z1
method) until it remains only a constant, implemented by the
(b)
load resistor RL. The final topology will be given by Fig. 4.
As the LC network is lossless, the active power P1(웆) going Figure 4. Low-pass all-pole doubly loaded LC ladder network for (a)
into Z1( j웆) will be dissipated as active power P0(웆) at RL. n odd and (b) n even.
BUTTERWORTH FILTERS 661

Table 2. Element Values for the Butterworth Filter 4. Obtain the normalized low-pass prototype by frequency-
scaling the standard filter, multiplying all reactive ele-
n A1 A2 A3 A4 ments by ⑀1/n.
1 2.0000 5. Invert step 1, that is, denormalize the low-pass proto-
2 1.4141 1.4142 type obtained in step 4.
3 1.0000 2.0000 1.0000
4 0.7653 1.8478 1.8478 0.7653
5 0.6180 1.6180 2.0000 1.6180 CONCLUSIONS
6 0.5176 1.4142 1.9319 1.9319
7 0.4450 1.2470 1.8019 2.0000 Butterworth’s paper is the touchstone of modern filter-ap-
proximation theory. However, its low selectivity when com-
pared to that of other approximations restricts its use to situ-
ations that require low sensitivity at the center of the pass
is also a measurement of the power rejection by the filter at band and/or a good group delay flatness. Probably the major
frequency 웆. As 兩H( j웆)兩2 ⱖ 1, 兩K( j웆)兩2 ⱖ 0, and the equality is importance of Butterworth filter nowadays is for didactic pur-
reached only at the frequencies of maximum power gain. poses. Its simplicity and complete analytical formulation
After some algebraic manipulation and using analytical make it the best option to introduce filter synthesis to stu-
continuation to obtain dents.
K(s) sn
= BIBLIOGRAPHY
H(s) D(s)

it is possible to show that 1. S. Butterworth, On the theory of filter amplifiers, Wireless Engi-
neer, Vol. 7, pp. 536–541, 1930. Reprinted in M. E. Van Valken-
K(s) burg (ed.), Circuit Theory: Foundations and Classical Contribu-
1− tions, from J. B. Thomas (series ed.), Benchmark Papers in
H(s) D(s) − sn
Z1 (s) = Rs = Rs Electrical Engineering and Computer Science, Pennsylvania: Dow-
K(s) D(s) + sn den, Hutchinson & Ross, 1974.
1+
H(s) 2. V. Belevitch, Summary of the history of circuit theory, Proc. IRE,
50: 848–855, 1962. Reprinted as (1).
and Z1(s) may be easily synthesized by the chop-chop method, 3. V. D. Landon, Cascade amplifiers with maximal flatness, RCA
concluding the synthesis procedure. Rev., 5: 347–362, 1941.
For Butterworth filters the number of frequency points 4. G. Daryanani, Principles of Active Network Synthesis and Design,
with maximum power transfer is maximum (all concentrated New York: Wiley, 1976.
at the origin). All-pole filters with this characteristic are sym- 5. L. Weinberg, Explicit formulas for Tschebyscheff and Butter-
metrical if n is odd and antisymmetrical if n is even, that is, worth ladder networks, J. Appl. Phys., 28: 1155–1160, 1957.
Ai is equal to An⫹1⫺i for all i, where Ai denotes the numerical 6. H. J. Orchard, Synthesis of ladder networks to give Butterworth
value of either Ci or Li. Table 2 presents the values of Ai for or Chebyshev response in the pass band (review), IRE Trans. Cir-
n ⫽ 1 to 7 and for Rs ⫽ RL ⫽ 1 ⍀. cuit Theory, CT-1 (Dec.): 37, 1954.
There exists a simple equation that directly provides the 7. L. Weinberg and P. Slepian, Takahasi’s results on Tchebycheff
component values, but its deduction is rather complicated. and Butterworth ladder networks, IRE Trans. Circuit Theory, CT-
For Rs ⫽ RL ⫽ 1 ⍀, 7 (June): 88–101, 1960.
8. M. E. Van Valkenburg, Introduction to Modern Network Synthesis.
Ai = 2 sin(2i − 1)π/2n (11) New York: Wiley, 1960.
9. G. C. Temes and J. W. LaPatra, Circuit Synthesis and Design,
For a more complete discussion on the synthesis of Butter- New York: McGraw-Hill, 1977.
worth ladder network design, even with arbitrary resistors 10. DeV. S. Humpherys, The Analysis, Design, and Synthesis of Elec-
Rs and RL, as well as the historical facts surrounding the dis- trical Filters, Englewood Cliffs, NJ: Prentice-Hall, 1970.
covery of those formulas, the reader is referred to Refs. 5 to 7. 11. L. Weinberg, Network Analysis and Synthesis, New York:
McGraw-Hill, 1962.
12. A. S. Sedra and P. O. Brackett, Filter Theory and Design: Active
DESIGNING BUTTERWORTH FILTERS and Passive, Matrix, 1978.

Only few steps are necessary to design a Butterworth filter: LUIZ P. CALÔBA
MARCELLO L. R. dE CAMPOS
1. Obtain the normalized low-pass filter and its restric- Universidade Federal do Rio de
tions Ap, As, and 웆s. Janeiro
2. Determine ⑀ and n using Eqs. (7) and (8).
3. Synthesize, use tables, or use Eq. (11) to obtain the
standard filter of order n. BUYING COMPUTERS. See COMPUTER SELECTION.
CASCADE NETWORKS to the higher-order circuit as an additional term.

Cascade design refers to the procedure in which the de- Example 1. We now illustrate the decomposition of a
signer chooses to factor a complex circuit requirement of higher-order transfer function into a product of lower-order
order n ≥ 2 that is difficult or impossible to realize in its transfer functions. The transfer function of a sixth-order
given form into a number of simpler specifications that re- filter, with a normalized frequency parameter, is
sult in more practical circuits and are more readily imple-
mented. These are then connected in a chain, that is, in a
cascade circuit, to realize the specified requirements. Typ-
ically, one factors a required high-order transfer function
To realize this filter as a cascade circuit, the numerator
and denominator are factored by a suitable root-finding
algorithm as follows:

with n ≥ m and n > 1, into a number of lower-order func-


tions Tj (s). H(s) in Eq. (1) is a ratio of two polynomials N(s)
and D(s) of degrees 2m and 2n, respectively. We selected,
without loss of generality, the coefficient a2n = 1, because
numerator and denominator can always be divided by a2n .
The realization then connects the functions Tj (s) such that
In this case, n = 3 and the numerator is odd, that is, N(s)
is factored into a product of two second-order factors and
a first-order factor, and the function is presented as the
product of three terms according to Eq. (2).
that is, the total transfer function is obtained from the
product of lower-order functions. Examples of cascade syn- Example 2. This example illustrates how cascading of
thesis extend from the early days of electronics when lower-order sections enables the realization of an ampli-
RLC circuits were isolated by vacuum-tube amplifiers fier with a large inverting gain, K = −1,000,000. The band-
to the present-day discrete or fully integrated realiza- width must be at least 5 kHz and the smallest resistor used
tions of active filters (RC circuits augmented or isolated should be 1 k to minimize currents and loading effects.
by operational amplifiers) and switched-capacitor circuits If one attempts to realize the amplifier in the usual way
where resistors in active filters are replaced by periodically with a 741-type operational amplifier as shown in Fig. 1(a),
switched capacitors. Cascade design is used widely not only a 1000 M = 1 G = 109  resistor is required. This re-
for the implementation of magnitude and phase responses sistor is too large to be realized; it is essentially an open
but also for group-delay equalizers. The goal is to realize circuit and leaves the operational amplifier operating in
H(s) via simpler circuits in an efficient way with low sensi- an open loop. The bandwidth would be less than 1 Hz.
tivities to component tolerances. The sensitivity issue will Because of such processing or technology constraints, it
be addressed below. is convenient, even necessary, to partition the prescribed
In Eq. (1), we have labeled the degrees of the numera- gain into several factors, such as K = K1 K2 K3 = (−100) ×
tor and denominator polynomials N(s) and D(s) as 2m and (−100) × (−100), and connect the resulting circuits in cas-
2n, respectively, to emphasize the fact that we assume the cade [Fig. 1(b)]. In this configuration, the second amplifier
degrees to be even. Both N(s) and D(s) can therefore be picks up the output of the first one with gain −100 and
factored into the product of second-order pole-zero pairs, multiplies it by a second factor −100, and so on, to realize
as expressed in the following form: K = −1,000,000 as required. Of course, with this large am-
plification of the factor 106 , the designer must pay careful
attention to avoid signal-level distortion. This issue will not
be addressed here. At the expense of additional circuitry,
cascade design enabled us to realize the specifications with
the required gain, bandwidth (it is larger than 8 kHz), and
practical component values. Without the cascade method,
the specifications placed such demands on the components
to render the circuit unrealizable.

The notation assumes that both N and D are of degree 2n; In a similar manner, high-order active filters described
if m < n, the numerator will contain 2(n − m) factors of by a transfer function, H(s), are most frequently designed
unity. If the degree of H(s) is odd, the function can always as a cascade of low-order circuits. The method results in
be factored into the product of even terms as shown in Eq. filters that can be adjusted (or tuned) easily and have low
(3) and a first-order factor. First-order sections can easily sensitivity to component tolerances Ref. 1. In addition, the
be realized by a passive RC network and can be appended design method is completely general, in that transfer func-

J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright © 2007 John Wiley & Sons, Inc.
2 Cascade Networks

Figure 1. (a) Proposed operational amplifier circuit to realize K = −R2 /R1 = −1,000,000. The
circuit is not practical because of the large resistor R2 and because the minimal feedback limits the
bandwidth to very low values; (b) practical realization as a cascade of three amplifiers with gain
Ki = −100.

tions of arbitrary form can be realized. Naturally, to keep


where we assumed that the component x is located in sec-
the circuits stable, that is, to prevent them from oscillating,
tion j, and partial derivatives are used because H is a func-
the poles, the roots of D(s), are restricted to the left half of
tion of s and of all circuit components. Customarily, both
the complex s plane (α0j > 0, α1j > 0). The transmission
the transfer function deviation ∂H and the component de-
zeros, the roots of N(s), however, can be anywhere in the s
viation ∂x are normalized to H and x, respectively, so that
plane; their location depends only on the desired response.
we obtain from Eq. (8) with Eq. (2)
An additional advantage is that in cascade design the de-
signer can focus on the low-order sections Tj (s) that are
generally simpler to implement than the high-order func-
tion H(s). The low-order sections are then simply connected
in a chain, or cascaded, as shown in Fig. 2. If Tj (s) is defined These quantities are the classical sensitivities, defined as
as a voltage transfer function,
Vo j
T j (s) = (5)
Vi j
Equation (6) can be rewritten in the form
the total transfer behavior realized by that circuit is de-
rived to be
Vout Vo1 Vo2 Vo3 Vo(n−1) Vout
H(s) = = ...
Vin Vin Vo1 Vo2 Vo(n−2) Vo(n−1) (6)
= T1 (s)T2 (s) . . . Tn−1 (s)Tn (s) which means that the percentage error in a function F(x)
caused by a component with tolerance ∂x is computed by
as required by Eq. (2). Equation (7) holds because the con-
multiplying the percentage error of the component by the
nection guarantees that the input voltage of section j is
sensitivity. From Eq. (9) we have the important result
equal to the output voltage of section j − 1, Vij = Vo(j −1) .

SENSITIVITY
which says that in a cascade circuit the sensitivity of the
The sensitivity of a cascade realization of a transfer func- total transfer function H to a component is equal to the
tion H(s, x) to a component x is calculated via derivatives, sensitivity of the section Tj that contains the component.
In contrast to noncascaded implementations, in which the
sensitivity to a component may depend on all poles and
zeros and may become very large Ref. 1, here it depends
only on the pole and zero in section j and is not affected by
Cascade Networks 3

Figure 2. Cascade realization of a 2nth-order transfer function. The realized transfer function is
the product of the individual function realized by the blocks T1 .

any of the other sections. Equation (12) verifies formally contend with more than a resistor ratio that would multi-
the intuitive expectation that the cascade circuit with good ply T1T2 simply by a frequency-independent constant.
sensitivity behavior should be built from low-sensitivity To illustrate this point consider the example of a second-
sections. order low-pass filter being built by cascading two identical
first-order sections as in Fig. 4. The sections have the trans-
fer function,
CASCADING CONDITION

A general condition that must be satisfied for Eq. (7) to


hold in the simple form shown is that the sections do not
load each other and do not otherwise interact. This condi- The intent is to multiply the transfer functions T1 and T2
tion requires that the output impedance Zout of any section so that
ideally is zero and its input impedance Zin infinite. Figure Vo2 1 1
H(s) = = T1 (s)T2 (s) =
3 illustrates this requirement for two sections T1 and T2 , V1 sCR + 1 sCR + 1 (16)
defined as 1
=
Vn1 Vn2 (sCR)2 + 2sCR + 1
T1 (s) = and T2 (s) =
V1 Vi2 However, because of the finite impedances identified in the
figure,
Vn1 and Vn2 are the voltages at the respective internal
nodes n1 and n2 in Fig. 3. The complete sections, realisti- 1 1
Zi2 = R + and Zo1 =
cally modeled to include a finite input impedance Zi (s) and sC sC + 1/R
output impedance Zo (s), are contained in the dashed boxes.
by Eq. (15) the proposed circuit in Fig. 4 realizes the trans-
Assuming that V1 is an ideal voltage source so that Zi1 has
fer function
no effect and that there is an open circuit at Vo2 so that no
current flows through Zo2 , analysis of the configuration in 1
Vo2 1 R+
Fig. 3, starting from the output, yields H(s) = =( )2 sC
V1 sCR + 1 1 R
Zi2 (s) (R + )+( ) (17)
Vo2 = T2 (s)Vi2 = T2 (s) Vn1 sC sCR + 1
Zi2 (s) + Zo1 (s) (12) 1 (sCR + 1) 2
1
Zi2 (s) = =
= T2 (s) T1 (s)V1 (sCR + 1)2 (sCR)2 + 3sCR + 1 (sCR)2 + 3sCR + 2
Zi2 (s) + Zo1 (s)
The desired performance is completely altered as can be
Thus, the transfer function of the two-section cascade is
confirmed by direct analysis. It is seen that the finite
Vo2 Zi2 (s) frequency-dependent input and output impedances sub-
H(s) = = T1 (s)T2 (s) (13) stantially change the desired transfer function. If cascad-
V1 Zi2 (s) + Zo1 (s)
ing must be used but Eq. (16) is not satisfied, buffering us-
rather than the simple product T1T2 intended. The problem ing a voltage follower can be employed as in Fig. 5 so that
is that the current through Zo1 is not zero, that is, section no current is drawn from the first section and the second
1 is loaded by section 2. Equation (15) indicates that the section is driven by a nearly ideal voltage source.
desired result is achieved provided that To repeat the important condition that must be satisfied
|Zi2 ( jω)|  |Zo1 ( jω)| (14) if two circuits are to be connected in cascade: the trailing
section must not load the leading section. The circuits to be
Ideally, Zi2 = ∞ and Zo1 = 0. Condition (14) is satisfied in cascaded can be of low order, high order, active, passive, or
the circuit in Fig. 1(b) because the operational amplifier any desired combination; the loading restriction does not
circuits with feedback and a gain of 100 have very small change. For designing high-order active filters, the cascade
output resistance (Rout < 50 ) compared to the input re- connection normally consists of first- and second-order ac-
sistance of the following stage (Rin = 1 k). The condition tive building blocks because they can be cascaded directly
to permit cascading is, therefore, that the input impedance with no need of buffering: since active circuits usually have
of the loading section must be much larger than the output an operational amplifier output as their output terminal,
impedance of the driving section so that the voltage divider unbuffered cascading is possible because the operational
factor that multiplies the ideal product T1T2 in Eq. (15) is amplifier output resistance in a feedback network is very
as close to unity as possible. The voltage divider ratio is small (see Example 2). On the other hand, as in the ex-
written on purpose in terms of impedances as functions of ample of Fig. 4, it can be expected intuitively that passive
s or jω to emphasize that, in practice, the designer needs to circuits can generally not be connected in cascade without
4 Cascade Networks

Figure 3. Two-section cascade with finite input and output impedances. The second section loads
the first one unless its input impedance, Zi2 , is much larger than the output impedance, Zo1 , of the
first section.

Figure 4. Cascade connection of two first-order passive low-


pass sections with finite input and output impedances. The two
modules interact so that the realized transfer function is not
equal to the product of the two first-order functions. Isolating
the sections is required as shown in Fig. 5.

Figure 5. Two low-pass modules isolated by an operational amplifier. The unity-gain voltage fol-
lower isolates the sections’ performance so that the total transfer function is the product of the two
first-order modules.

buffering because the condition in Eq. (16) will rarely be widely in industry because it is applicable generally, is well
satisfied. understood, very easy to implement, and efficient in its use
of active devices (as few as one operational amplifier per
pole pair). It uses a modular approach and results in fil-
ters that for the most part show satisfactory performance
THE DESIGN APPROACH AND TRADE-OFFS
in practice. One of the main advantages of cascade filters
is that they are very easy to tune because each biquadratic
The problem to be addressed is how the transfer function
section, referred to as biquad in the literature, is responsi-
of Eq. (1) can be realized in an efficient way with simple
ble for the realization of only one pole pair and zero pair:
low-order circuits and low sensitivities to component toler-
the realizations of the individual critical frequencies of the
ances. As was mentioned, the sensitivity behavior of high-
filter are decoupled from each other. The disadvantage of
order filter realizations shows Ref. 1 that, in general, it is
this decoupling is that for filters of high order, say larger
not advisable to realize the transfer function H(s) of Eq. (1)
than order eight (n > 4), with stringent requirements and
in the so-called direct form, using only one or maybe two
tight tolerances, cascade designs are often found to be still
operational amplifiers embedded in a high-order passive
too sensitive to component variations in the passband. In
RC network. Although it is possible in principle to realize
these cases, ladder simulations may lead to more reliable
Eq. (1) in direct form, the resulting circuits are normally
circuits Ref. 1.
so sensitive to component tolerances that reliable perfor-
As shown in Eq. (3), the high-order transfer function
mance cannot be expected in practice. A further disadvan-
H(s) is factored into a product of second-order blocks,
tage of the direct synthesis method is the use of a very
large number of passive components to realize a function
of given order.
In the cascade approach, the high-order function H(s)
is factored into functions of second order as indicated in
Eq. (3). The resulting biquadratic functions are realized by where the denominator is expressed in terms of the usual
the methods discussed elsewhere in this encyclopedia and filter parameters, the quality factor Q and the pole fre-
connected in cascade such that their product implements quency ω0 . We have also introduced a suitably defined gain
the prescribed function H(s). The cascade method is used constant, kj , for example such that the leading coefficient in
Cascade Networks 5

the numerator of the gain-scaled transfer function tj (s) is practical considerations.


unity or such that |tj (jω0j )| = 1. The sections Tj (s) are quite
arbitrary; for example, they can realize a low-pass function
DYNAMIC RANGE
(β2j = β1j = 0), a bandpass function (β2j = β0j = 0), a high-
pass function (β1j = β0j = 0), or a pair of finite transmission
Since it is the dominant effect of pole–zero pairing, section
zeros on the jω axis (β1j = 0), as the process does not change.
ordering, and gain assignment, we will be concerned here
Because second-order filter sections can be built to realize
only with dynamic range issues. To help tackle the problem,
arbitrary functions of the form of Eq. (21), cascade design
let us label the maximum signal level that can be handled
is very general in permitting the realization of any type of
with no distortion as Vo,max . We assume that it is measured
stable transmission requirement. If we then may assume
at the output of the biquadratic sections. This assumption
that the output impedances of the biquadratic functions
will always be correct in single-amplifier biquadratic sec-
are sufficiently small (compared to the input impedances),
tions for which section output and operational amplifier
all second-order blocks can be connected in cascade, Fig.
output are the same. In multiamplifier biquadratic sec-
2, without causing mutual interactions due to loading, and
tions, each operational amplifier output must be evaluated
the product of the biquadratic functions is realized as re-
and the maximum operational amplifier output voltage in
quired by Eq. (7).
the biquadratic section must be determined. To avoid over-
Although this simple process leads in a straightforward
driving any operational amplifier sooner than any other
way to a possible cascade design, it leaves several questions
one inside a biquadratic section, it is intuitively reason-
unanswered:
able that any available design freedom in the biquadratic
sections should be chosen such that all operational ampli-
1. Which zero should be assigned to which pole in Eq. fiers “see” the same signal level. If this is not possible, that
(3) when the biquadratic functions Tj (s) are formed? is, if the undistorted output voltage Voi of section i is only
Since we have n pole pairs and n zero pairs (counting a fraction 1/qi of the maximum internal voltage, with qi >
zeros at 0 and at ∞) we can select from n factorial, n! 1, Voi in the following equations must be replaced by qiVoi .
= 1 × 2 × 3 × · · · × n, possible pole–zero pairings. For simplicity, we shall assume in our discussion that the
2. In which order should the biquadratic sections in Eq. sections can be designed such that qi = 1.
(7) be cascaded? Does the cascading sequence make We must ensure then that the signal level at any section
a difference? For n biquadratic sections, we have n! output, |Voi (jω)|, satisfies
possible sequences.
max|Voi ( jω)| < Vo,max , 0 ≤ ω ≤ ∞, i = 1, . . . n (19)
3. How should the gain constants kj in Eq. (21) be cho-
sen to determine the signal level for each biquad? In Note that this condition must indeed be satisfied for all
other words, what is the optimum gain distribution? frequencies and not only in the passband because large
signals even outside the passband must not be allowed
Because the total transfer function is the product of the to overload and saturate the operational amplifiers: when
biquadratic sections, the selections in steps 1–3 are quite operational amplifiers are overdriven, their operation be-
arbitrary as far as H(s) is concerned. However, they do de- comes nonlinear. The circuit, however, may still act as a
termine significantly the dynamic range, that is, the dis- filter and remove the higher harmonics that are generated
tance between the maximum possible undistorted signal by the nonlinear operational amplifier operation. The prob-
and the noise floor: the maximum and minimum signal lev- lem that arises when saturating the operational amplifiers
els throughout the cascade filter can be shown to depend on is, therefore, not so much harmonic distortion of the signal
the choices in steps 1–3. Although the sensitivities to com- but changed operating points, intermodulation distortion,
ponent tolerances are functions of pole–zero pairing, the and deviations of the magnitude response Ref. 1.
effect usually is not very strong. For a detailed treatment The lower limit of the useful signal range is set by the
see Refs. 2 and 3. Also, the selection of pole–zero pairing noise floor. If in the passband of a cascade filter the signal at
for best sensitivity can be shown to conflict often with the an internal stage becomes very small, it must be amplified
choice necessary for best dynamic range. Since the imple- again to the prescribed output level. From any point in the
mentation of the blocks Tj (s) normally makes use of active cascade of filter stages, say at the output of stage i, signal
devices, such as operational amplifiers, depending on the and noise are amplified by the same amount, namely,
operating frequency, the maximum undistorted signal volt-
age that a filter can process is limited either by the power
supply or by the slew rate of the operational amplifiers.
The optimal cascading routine to be discussed Consequently, the signal-to-noise ratio will suffer if in the
(pole–zero pairing, section ordering) and gain assignment, cascade filter the signal suffers in-band attenuation, that
is entirely general and does not depend on the transfer is, if it is permitted to become very small. The function
function or its type. It is independent of the actual im- H+ i (s), defined in Eq. (23), is referred to as the noise gain
plementation of the second-order building blocks. The de- from the output of section i to the filter output. Thus, the
signer may choose any convenient technology and the cir- second condition to be satisfied by the output voltage of any
cuit architecture that seems preferable from the point of biquadratic section is
view of sensitivity, numbers and kinds of elements, values
and element value spreads, power consumption, or other min|Voi ( jω)| → max for ωL ≤ ω ≤ ωU , i = 1, . . . n (21)
6 Cascade Networks

ωL and ωU are the lower and upper, respectively, corners of two second-order bandpass sections instead of a high-pass
the passband. In this case we are, of course, only concerned and a low-pass section.
with signal frequencies in the passband, because in the
stopband the signal-to-noise ratio is of no interest. Note, Example 3. Determine the optimal pole-zero pairing for
however, that for a white noise input the output noise spec- the transfer function of Eq. (5) of Example 1. The transfer
trum of a filter section has the same shape as the square function was
of the transfer function magnitude, which means that the
highest noise response occurs at the pole frequencies with
the highest Q values. Since these are mostly found just be-
yond the specified corners of the passband they would not
The zeros are located at z1 = 0 and z2 = ∞, z3,4 = ±j0.5, and at
be included in the measurement defined in Eq. (24). There-
z5,6 = ±j1.5, and the poles are at p1.2 = −0.045 ± j0.9099, p3,4
fore, to avoid decreased dynamic range caused by possibly
= −0.1 ± j1.0, and p5,6 = −0.05 ± j1.085. According to the
large noise peaks at the passband corners, it is advisable to
approximate assignment rule just stated, we should pair
extend the frequency range beyond the specified passband
(z1,2 , p3,4 ), (z3,4 , p1.2 ), and (z5,6 , p5,6 ). This choice is indicated
corners, ωL and ωU , into the transition band to cover the
in Eq. (28):
pole frequencies with the highest Q values.
The steps of pole–zero pairing, section ordering, and
gain assignment will now be chosen such that the condi-
tions in Eqs. (22) and (24) are satisfied. It must be empha-
sized that these steps do not just amount to minor adjust-
ments when designing a cascade filter, but that the cascade
circuit will likely not perform to specifications in practice
unless these steps are taken at the design stage. Section Ordering

Pole-Zero Pairing After the pole-zero assignment has been solved, the optimal
ordering sequence must be determined out of the n! possi-
According to Eqs. (22) and (24), the pole–zero pairing bilities in which the biquadratic sections can be connected
should be chosen such that, in a given section, Mi = to form the cascade network. For example, for the sixth-
max|Voi (jω)| is minimized at all frequencies, and mi = order network with three sections in Example 3, there ex-
min|Voi (jω)| is maximized in the passband. In other words, ist six possible ways to cascade the biquadratic functions:
using Eq. (21), |ti (jω)| should be as flat as possible in the
frequency range of interest. Notice that Mi may lie outside
and mi at the edge of the passband, and that the actual
minimum of the magnitude |ti (jω)| lies in the stopband and
The best sequence is the one that finds the ordering that
is of no concern. As the values of Mi and mi change when the
maximizes the dynamic range. The procedure is completely
relative positions of the poles and the zeros of ti (s) are al-
analogous to the earlier discussion where pole–zero pairs
tered, the pole–zero assignment must be chosen such that
were chosen to keep the transfer functions of the individual
the ratio Mi /mi is as close to unity as possible, which means
sections as flat as possible. Now the cascade connection is
that for each biquadratic function the “measure of flatness”
designed such that the transfer functions

should be minimized. The optimal pole–zero assignment from filter input to the output of the ith intermediate bi-
for the total 2nth-order cascade filter is then the one that quadratic section are as flat as possible. Hn is, of course,
minimizes the maximum value of di : equal to the total transfer function H. This will help en-
sure that the maximum signal voltages do not overdrive
the operational amplifiers and that, over the passband,
Algorithms that accomplish this task are available in the the smallest signal stays well above the noise floor. Con-
literature Refs. 4 to 7. Even in fairly simple low-order cases sequently, the relationships in Eqs. (22) and (24) must be
the problem of pole–zero assignment can be quite compu- satisfied,
tation intensive; it requires substantial software and com-
puter resources. min|Voi ( jω)| < Vo,max 0≤ω≤∞ (26)
If the appropriate computing facilities are not available, min|Voi ( jω)| → max for ω L ≤ ω ≤ ωU (27)
a simple solution that provides good suboptimal results is
simply to assign each zero or zero-pair to the closest pole where Voi (s) is now the output voltage of the cascade of the
Refs. 4–8. On occasion, depending on system requirements, first i sections when driven by an input signal Vin (s). With
we may also preassign some pole–zero pair(s) and leave Hi (s) given in Eq. (30), we define the two measures
them out of the remaining pairing process. For instance, if max|Voi ( jω)| Voi ( jω)
the numerator contains a term s2, we may prefer to factor it Mi = = max| |
|Vin ( jω)| Vin ( jω) (28)
into s × s instead of s2 × 1, that is, we may prefer to realize = max|Hi ( jω)| for 0 ≤ ω ≤ ∞
Cascade Networks 7

and
Using Eq. (31) and using the section numbering in Eq. (28),
min|Voi ( jω)| Voi ( jω) the optimal ordering is, therefore, T2T1T3 . If instead the de-
mi = = min| |
|Vin ( jω)| Vin ( jω) (29) sign were to emphasize the elimination of high-frequency
= min|Hi ( jω)| for ωL ≤ ω ≤ ωU signals from the filter and low-frequency noise from the
and require again that the flatness criterion of Eq. (25) output, the ordering T2T3T1 ,
be minimized, now, however, by choice of the cascading se-
quence,

would be preferred because the bandpass section, T2 , has


The optimal sequence is the one that minimizes the max- the best high-frequency attenuation, and section T1 pro-
imum number di as prescribed in Eq. (26). Note that we vides reasonable attenuation at low frequencies (0.25/0.83
do not have to consider dn because, with all sections con- = 0.3 ≈ −10.4 dB), whereas section T3 has a high-frequency
nected in the cascade filter, dn is nothing but a measure gain of 1 and amplifies low-frequency noise by more than
of the prescribed passband variations (the ripple). With 2.25/1.18 = 1.91 ≈ 5.6 dB. This suboptimal ordering gives
the problem identified, the optimum cascading sequence almost identical results to the optimal one, T2T1T3 , because
can be found in principle by calculating di for all n! se- Q 1 ≈ Q3 .
quences and selecting the one that satisfies Eq. (35). As in
the pole–zero assignment problem, a brute-force optimiza- Gain Assignment
tion approach involves a considerable amount of computa-
tion, and more efficient methods have been developed that The last step in the realization of a cascade filter is the
use linear programming techniques, such as the “branch assignment of the gain constants. Generally, the selection
and bound” method of Refs. 5–7, or “back track program- is again based on dynamic range concerns with the goal of
ming” Ref. 9. The necessary computer algorithms are de- keeping the signals below amplifier saturation limits and
scribed in the literature. above the system noise floor. To get a handle on the pro-
If the required software routines are not available, the cess, we note that the circuit is linear and all voltages rise
designer must pick a cascading sequence that is based on in proportion to Vin . It is clear then that the maximum
experience or intuition. A selection that is often very close undistorted input signal can be processed if we choose the
to the optimum is the one that chooses the section sequence gain constants such that all internal output voltages Voi , i
in the order of increasing values of Qi , that is, = 1, . . . , n − 1, are equal in magnitude to the presumably
prescribed magnitude of the output voltage, Von :
max|Voi ( jω)| = max|Von ( jω)| = max|Vout ( jω)|,
i = 1 = 1, . . . n − 1
so that the section with the flattest transfer function mag-
Assuming as before that the output voltage of the bi-
nitude (the lowest Q) comes first, the next flattest one sec-
quadratic sections reaches the critical magnitude, this
ond, and so on. The possible choices are frequently further
choice ensures that for a given signal level none of the op-
limited by other considerations. For example, it is often de-
erational amplifiers in the blocks of Fig. 2 is overdriven
sirable to have as the first section in the cascade a low-pass
sooner than any other one. Note, however, the earlier com-
or a bandpass section so that high-frequency signal compo-
ments about precautions necessary in multiamplifier bi-
nents are kept from the amplifiers in the filter in order to
quads.
minimize slew-rate problems. Similarly, the designer may
For the analysis it is convenient to use the notation of
wish to employ a high-pass or a bandpass section as the last
Eqs. (2), (21), and (30), that is,
section in order to eliminate low-frequency noise, dc offset,
or power-supply ripple from the filter output. In such sit-
uations, the optimum sequencing is performed only on the
remaining sections.
The following example illustrates some of the steps dis- and, for the intermediate transfer functions,
cussed.

Example 4. Continue Example 3 to find the optimal cas-


cading sequence for the three second-order sections. Since
the coefficient of s in the denominators of the second-order Furthermore, we introduce the constant
sections of Eq. (28) equals ω0i /Qi , and the constant coeffi-
cient equals ω2 0i , we have

such that
8 Cascade Networks

29 dB rather than 10 dB, it is necessary only to alter the


is the prescribed gain. Similar to the definition of Mn , let us
first section in the cascade from k1 = 3.16 to
denote the maxima of the intermediate n − 1 gain-scaled
transfer functions by Mi , that is,
to achieve the new circuit for which dynamic range is still
optimized.
To demonstrate that gain equalization is very important
To make max|Vo1 (jω)| = max|Vout (jω)|, we then obtain the in cascade realizations, consider the case where equaliza-
equation k1 M1 = KMn , that is, tion is not performed. Had the designer chosen all ki = 1
as in Eq. (38), the output levels would have been 13.9 dB
at Vo1 , 31.9 dB at Vo2 , and 41.3 dB at Vo3 . Quite apart from
the specified gain of 10 dB not being realized, the differ-
ence of a factor of 41.3 dB − 13.9 dB = 27.4 dB = 23.4 in
Similarly, k1 k2 M2 = KMn , that is, with Eq. (45), operational amplifier output voltages would likely result
in gross distortions unless the input voltage is kept very
small.

results in max|Vo2 (jω)| = max|Vout (jω)|. Proceeding in the


BIBLIOGRAPHY
same manner yields
1. R. Schaumann M. S. Ghausi K. R. Laker Design of Analog Fil-
ters: Passive, Active RC and Switched Capacitor, Englewood
Cliffs, NJ: Prentice Hall, 1990.
Choosing the gain constants as in Eqs. 45, 46, 47 guaran- 2. R. Schaumann and M. A. Van Valkenburg, Design of Active Fil-
tees that all operational amplifiers “see” the same maxi- ters, New York, NY, Oxford University Press, 2001.
mum voltage to ensure that the largest possible signal can 3. M. S. Ghausi K. R. Laker Modern Filter Design, Englewood
be processed without distortion. Note that changing the to- Cliffs, NJ: Prentice Hall, 1981.
tal gain of the n-section cascade filter affects only K, that 4. G. S. Moschytz Linear Integrated Networks—Design, New York:
is, k1 . The voltages in all other sections Ti (s), i = 2, . . . , n, van Nostrand Reinhold, 1975.
increase or decrease proportionally, but their relative mag- 5. S. Halfin An optimization method for cascaded filters, Bell Syst.
nitudes stay the same, as determined by ki in Eq. (47). Tech. J., 49: 185–190, 1970.
6. S. Halfin Simultaneous determination of ordering and ampli-
fications of cascaded subsystems, J. Optimiz. Theory Appl., 6:
Example 5. Continue Example 4 to find the optimal gain
356–360, 1970.
constants for the three second-order sections so that their
7. E. Lüder Optimization of the dynamic range and the noise dis-
maximum output levels are equalized. The specified mid-
tance of RC-active filters by dynamic programming, Int. J. Cir-
band filter gain is 10 dB. Use the section ordering in Eq.
cuit Theory Appl., 3: 365–170, 1975.
(38).
8. A. S. Sedra P. O. Brackett Filter Theory and Design: Active and
From Eq. (43), we find
Passive, Portland, OR: Matrix, 1978.
9. W. M. Snelgrove A. S. Sedra Optimization of dynamic range in
cascade active filters. In Proc. IEEE Int. Symp. Circuit Syst.,
corresponding to the prescribed gain of 10 dB. The maxima 1978, pp. 151–155.
Mi can be computed as
ROLF SCHAUMANN
Portland State University,
Portland, OR
by evaluating the functions in Eqs. (43) and (44). With Mi
known, and using Eq. (48), Eqs. (45) and (47) give

that is

These values result in all section outputs being equal to


KM3 = 3.16 times the input voltage level for a uniform gain
of 10 dB. If the designer were to find out later that system
performance would improve for a different filter gain, say,
J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering
Copyright c 1999 John Wiley & Sons, Inc.

CELLULAR ARRAYS

History

This section gives a brief overview on cellular array architectures and related computing principles. Cellular
arrays are massively parallel computing structures composed of cells placed on a regular grid. These cells
interact locally, and the array can have both local and global dynamics. Indeed, it can be shown that in
approximating any partial differential equation (PDE), continuous in space and time, one can use a cellular
array as a computing environment, either in software simulation or in analog or digital hardware emulation.
Two cellular array structures will be discussed, in detail: (i) cellular automata (CA)—discrete in space, time,
and value, and (ii) cellular nonlinear or neural networks (CNN)—discrete in space and continuous in time
and value. It will be shown that there is a biological relevance and motivation behind promoting cellular
architectures as good prototypes for parallel computing. It is discussed how a stored-program analog array
computer can be built, the CNN Universal Machine (CNN-UM), which makes it possible to synthesize novel
spatiotemporal algorithms. Various physical implementations will be discussed, with emphasis on integrated
array sensing and reconfigurable computing, that is, visual microprocessor architectures embedding stored
programmability. Some application potentials will also be highlighted in engineering, biology, chemistry, and
physics.
Historically, research on cellular arrays was initiated by von Neumann (1) in the 1960s, who studied the
homogeneous structure of cellular automata and its evolution as a general framework for modeling complex
structures. Such a structure consists of a set of cells, each one capable of performing only a set of primitive
operations. Depending on the interconnection pattern among the cells and the initial content, the structure
evolves through a sequence of states. With the introduction of CA, von Neumann’s primary interest was to
derive a computationally universal cellular space with self-reproducing and self-repair configurations. After
a thorough study, he framed a “universal” CA with a set of transition rules involving five-neighborhood cells
(a cross-shaped neighborhood of a cell), each having 29 distinct states. The transition function with this
relatively small set of states turned out to be sufficient to achieve a powerful set of elementary operations: local
logical operations, wire-branching, transmission, relative delays, construction, and destruction. Based on these
operations he successfully synthesized various organs (pulser, decoder, coded channel, etc.) and higher-level
functions (e.g., sensing); furthermore, he proposed a universal computer. Following this pioneering work, several
researchers attempted to improve the construction of von Neumann’s cellular space (23–4), and others started
analyzing CA with the tools of abstract algebra, topology, and measure theory (56–7) with the ambitious goal
of developing a unified theory of cellular models (89–10). In this first phase of CA research already a number of
applications were proposed, including parallel language recognition, image processing, and biological modeling.
The new phase of activities in CA research is due to Wolfram (11, 12) in the early 1980s, who pioneered the
investigation of CA as mathematical models for self-organizing statistical systems. He focused on a simplified
structure: a discrete lattice of cells in which each cell has only two possible values (binary cells). The next state of
a cell depends on itself and its two neighbors (three-neighborhood dependency). The cells evolve in discrete time
1
2 CELLULAR ARRAYS

steps according to some deterministic rule depending only on local neighbors. Thus, he defined a network that
can be built based on a simple storage elements and combinatorial logic. Using polynomial and matrix algebraic
tools, he moved toward in characterizing the state-transition behavior and the global properties of CA. Based on
the statistical properties Wolfram classified the three-neighborhood CA broadly into four major categories (12).
Packard and Wolfram (13) also defined the framework of the two-dimensional CA and characterized its basic
properties. At the same time, others started to characterize CA models in various unrelated fields. Among other
CA-based models for physical systems [e.g., simple crystal growth (14)], probabilistic analysis of CA behavior
[e.g., the Game of Life algorithm (15)] and the architectural research of CA machines [e.g., CAMs (16)] should
be mentioned. With the advent of very large scale integrated (VLSI) technology, a number of specific-purpose
chips were built mainly for test-pattern generation and various cryptographic applications.
Parallel to this second phase of CA investigations another research field (17) also drew the attention
of the scientific community. After almost two decades of relative silence, Hopfield’s results (18, 19) on global
optimization problems and biological modeling renewed the activity in the neural network (NN) and artificial
intelligence (AI) research. In the next few years various models and architectures were proposed for a broad
class of classification, optimization, and approximation problems (e.g., Refs 2021–22). However, because all
these structures were densely or fully connected, their implementation posed a major challenge for VLSI
designers and the wiring problems could not be adequately solved.
In the late 1980s a new cellular array architecture and computing principle was proposed by Chua and
Yang (23), called cellular neural/nonlinear networks (CNN), standing at the crossroads of CA and NN research.
CNN inherited the analog (autonomous-nonautonomous) network dynamics from NN and the cellular (locally
connected) structure from CA, and soon it proved to be a powerful paradigm fertilizing research activity in
a number of disciplines. It turned out to be a well-constructed framework for biological modeling especially
modeling vision (242526272829–30), simulating or emulating partial differential equations (PDEs) (313233–
34), and in various engineering designs (3536373839404142434445464748495051525354–55).
CNNs are regular, single-layer or multilayer, parallel processing structures with analog nonlinear comput-
ing units (base cells). The state value of the individual processors is continuous in time and their connectivity
is local in space. The program of these networks is completely determined by the pattern of the local inter-
actions, the so-called template. The time evolution of the analog transient, “driven” by the template operator
and the processor dynamics, represents the computation in CNN (results can be defined both in equilibrium or
nonequilibrium states of the network). The standard CNN equation (23) contains only first-order cells placed
on a regular grid and the interconnection pattern is linear. This was soon generalized to higher-order cells,
nonuniform grids, and nonlinear and/or delay-type templates (56, 57).
Completing the base cells of the CNN with local sensors, local data memories, arithmetical and logical
units, furthermore, with global program memories and control units results in the CNN Universal Machine
(CNN-UM) architecture [Roska and Chua (58)]. The CNN-UM is an analogic (analog and logic) supercomputer;
it is universal both in the Turing sense (59) and also as a nonlinear operator (25). Therefore, when designing
CNN processors, it can be used as a general architectural framework. Currently, there exist different physical
implementations of this architecture: mixed-signal VLSI (6061626364656667–68), emulated digital VLSI (69),
and optical implementation (70).
From hardware design point of view there is another important group of cellular arrays: smart sensors,
among them probably the most important the specific-purpose vision chips, which also appeared in the 1980s
after the pioneering work of Mead (71) and his research group. This line of investigation follows a more
bottom-up approach: cells are constructed in analog VLSI and are connected to perform a certain sensing-
computing task. Though the structures are CNN-like, there is no well-defined system level theory describing
the qualitative properties of these architectures. The emphasis is on sensing combined with a specific type of
computing for data prefiltering, reduction, and estimation of motion, of color-, and of form etc., rather than on
solving a complex task on a reprogrammable cellular architecture.
CELLULAR ARRAYS 3

This article gives a short overview of various cellular array architectures. First the mathematical descrip-
tion of different coupled cellular structures is presented by putting the emphasis on CA or CNN frameworks
and their relation to PDE-based descriptions. Then various architectures and their biological relevance are
discussed, followed by physical implementations and some state-of-the-art engineering designs. General appli-
cation areas are also briefly described. Finally, the key concepts are summarized and future trends in cellular
array research and implementations are predicted.

Foundations and Mathematical Descriptions

In this section the mathematical description of CA- and CNN-type cellular arrays is given along with the basic
types of PDEs. It has been proven that all PDEs can be approximated to any desired accuracy by introducing
finite differences (72) (and possibly discrete variables), that is, they can always be mapped to a cellular
structure. Whereas all resulting models are locally connected, they may exhibit a very complicated global
dynamics. Cellular arrays are computationally universal (7, 15, 59) and from an engineering point of view, they
can be considered as dedicated architectures for building a universal parallel computer. The presentation of
this section aims to underline the engineering view that any “PDE machine” that can be built is likely to be a
cellular array.
PDE Machines as Cellular Arrays: an Engineering Approach. In a continuous space-time ap-
proach diffusive and wavelike transport mechanisms in physics can be well characterized by PDEs. A detailed
qualitative and quantitative analysis of these processes usually focuses on space-time evolution of these sys-
tems; however, a closed-form solution of the describing equations is available only in fairly simple cases. Then,
one may consider on constructing a universal computer programmed by PDEs and capable of calculating all the
solutions. The major obstacle in building the ideal PDE machine—continuous in both space and time—is well
explained by quantum mechanics stating that “the spectrum of the volume of any physical region is discrete”
(73). For instance, even when choosing some gas or fluid as a basic material, there is always a certain micro-
scopic scale at which these substances should be regarded as spatially discrete. On the other hand, treating
them on a macroscopic scale (thus continuous in space), another engineering problem arises: adjusting some
of the (global) physical parameters of this system would mean a very restricted way of programming. As a con-
sequence, it is very likely that this “computer” would be self-describing, capable of calculating and predicting
only those processes that it actually represents. Are there any better mathematical abstractions for parallel
computing machines in engineering design?
Discretization along all spatial coordinates maps the PDE-based system into a dynamical system described
by ordinary differential equations (ODE). Stated with other words, the PDE is approximated on a fixed grid
looking at its properties at a chosen scale. This leads to a cellular array structure in which each node represents
an analog (continuous state and time) computing device. In this case, it is easier to imagine the corresponding
universal computer composed of cells having a prescribed sphere of influence in a local neighborhood, similar
to the sensory organs and the nervous system of different species in nature. Here, reprogramming would mean
changing the cell types and/or their local interaction (in order to change the local configuration) to realize a
universal computation.
Further simplification is possible by discretizing the ODE system in time and limiting the cell states to a
finite number of states. This “fully discretized” system, described by ordinary difference-differential equations
(ODDE), is theoretically still capable of reproducing the qualitative properties of the underlying PDE while
possessing structural simplicity that makes it tailor-made for physical implementation. For instance, imagine
a structure of molecules in a chemical substance in which the spin of the electrons is described by discrete
states and the chemical reaction evolves in phases. In this cellular array structure each node represents a
digital (discrete state and time) computing device. Similarly to the analog case, reprogramming would ensure
the construction of possible local configurations and the realization of a universal computation.
4 CELLULAR ARRAYS

The above-described analog and digital cellular arrays are not only good approximations of various PDE-
based dynamical systems, but they can also be considered as universal computers (7, 15, 59). Furthermore,
it has been proven that there are cases in which for a certain cellular array structure (described by ODEs or
local rules) the limiting PDE representation with the same qualitative properties does not even exist (74). This
promotes a provocative view that PDEs are merely idealizations of (or only alternatives to) cellular structures
described by coupled ODEs or local update rules (75, 76).
Systems Described by Partial Differential Equations. In the following, some basic types of PDEs,
which describe continuous space-time systems, will be introduced. Without loss of generality the mathematical
descriptions will be specified in a two-dimensional (2-D) setting. Let us consider a planar signal flow (a spa-
tiotemporal intensity function) I(x,y,t), where (x,y) represent the spatial coordinates and t the time dependence.
Then the nonlinear reaction-diffusion equation is represented by

where g and F stand for nonlinear functions, D and L are scalars. As a special case, assuming no changes in
time (and setting F = 0), one obtains the following Laplace equation

Using the same notation, a simple wave-type equation can be described as

Other types of useful PDEs, developed particularly for 2-D signal (image) processing, can be found in 777879–
80.
Equations (1, 2, 3) and their variants are often used to describe diffusion and wave-type transport mecha-
nisms in physics. There is an important common thread in these descriptions: there are no distant interactions
in space-time; from the continuity assumption it follows that only “infinitely close” particles interact. This
makes it impossible to build a device that exactly corresponds to the above-noted PDEs; however, it provides
the chance to approximate these equations by simple locally connected cellular systems.
Systems Described by Ordinary Differential Equations: Cellular Neural or Nonlinear Network.
Cellular neural or nonlinear networks (CNN) are two- or higher-dimensional arrays defined by simple dy-
namical systems arranged at the node points of a regular grid (Fig. 1). The state of these systems (cells) is
represented by a real number, the cells interact locally, and their operation is continuous in time. The cell dy-
namics is described by the following nonlinear ordinary differential equation with delayed-nonlinear intercell
CELLULAR ARRAYS 5

Fig. 1. A two-dimensional cellular array defined on a square grid. The ijth cell of the array is shaded black; cells that fall
within the sphere of influence of neighborhood radius r = 1 (the nearest neighbors) are gray.

coupling (the extension to higher dimensions is straightforward, allowing similar interlayer interactions):

where xij, uij, and yij are the state, input, and output voltages of the specified CNN cell, respectively. The
notation ij refers to a grid point associated with a cell on the 2-D M × N grid, and kl∈N r is a grid point in
the neighborhood within the radius r of the cell ij. Âij,kl represents the delayed nonlinear feedback, Bijˆ,kl the
delayed nonlinear control, and τA and τB represent finite time delays, respectively. The constant zij is the cell
current, which could also be interpreted as a space-varying threshold. In general, the CNN template, which is
the “program” of the CNN array, consists of the [A B z] terms (omitting the indices). The output characteristic
f is a sigmoid-type (e.g., piecewise linear) function. Note that the output equation also describes a first-order
dynamical system. The time constant of a (first-order) CNN cell is determined by the linear capacitor (C) and
the linear resistor (R), and it can be expressed as τ = RC. Without loss of generality R = 1 and C = 1 will be
considered.
Simplifying the interaction types to nondelayed linear operators (τA = 0 and τB = 0), omitting the dynamics
in the output equation (Cy = 0), and ceasing the space-variant nature of the cell current (zij → z) result in the
“standard-CNN” system [(23), the circuit theoretic model can be seen in Fig. 2]:
6 CELLULAR ARRAYS

Fig. 2. The circuit theoretic model of a standard CNN cell with voltage-controlled current sources.

Qualitative properties. Major efforts in CNN research have been concentrated on studying 2-D rectan-
gular standard cellular systems described by Eq. (5). Depending on the template values, the associated CNN
might exhibit a very complicated dynamics: stable, oscillatory, and chaotic patterns can also be generated. In
2-D signal (image) processing applications, usually the globally or completely stable CNN behavior is exploited
by creating the analog kernels of a complex algorithm. A comprehensive overview on stable CNNs can be found
in Ref. 81.
PDE versus CNN. The cellular array described by Eq. (4) can be used to approximate Eqs. (1)(2) (3)
to any required precision by introducing finite differences in space. As an example, let us derive the CNN
equation corresponding to a variant of Eq. (1), the linear heat equation [setting F = 0, L = 0 and g(I) = I], by
using a four-neighbor discretization of the Laplacian:

Thus the linear heat (diffusion) equation can be directly mapped onto the CNN array resulting in the
following simple template (choosing D = 1):

Programmed by this template and operating in the central linear region of the output characteristic, the CNN
solves the associated heat equation. Indeed, this “PDE machine” based on Eq. (5) has already been built as
an analog VLSI circuitry (e.g., Ref. 67). In general, Eq. (4) can be viewed as the mathematical framework for
analog parallel processing cellular arrays, discrete in space but continuous in time.
Systems Described by Local Rules: Cellular Automata. A CA consists of a regular lattice of cells
(see Fig. 1). Each cell takes q possible values, and is updated in discrete time steps according to a local rule 
that depends on the value of the neighboring cells. The value xij of a cell at position i,j in a 2-D CA with a rule
that depends on the neighbors within the radius k,l∈ N r evolves according to
CELLULAR ARRAYS 7

There are several possible lattices and neighborhood structures for 2-D CAs. For instance, a five-neighbor
square CA (a “standard CA”) evolves as follows:

In most cases, xij takes binary values and  is a Boolean logic function of the neighboring cell values.
Qualitative properties. A major line of investigations in CA research deals with 1-D and 2-D standard
cellular systems described by Eq. (9). A qualitative characterization orders these CAs into four classes (12):
(i) evolution leads to a homogeneous state, (ii) evolution leads to a set of separated simple stable or periodic
structures, (iii) evolution leads to a chaotic pattern, and (iv) evolution leads to complex localized structures
(capable of “universal” computation).
PDE versus CA. The cellular array described by Eq. (8) can be used to approximate Eqs. 1, 2, 3 to any
required precision by introducing finite differences in space-time and discretizing the state variable. Taking
again the linear heat equation [derived from Eq. (1)] as an example, one obtains ( t = h)

Observe that in Eq. (10) the local rule  represents the linear combination of the neighboring cell values.
The state value Iij is quantized and in order to approximate properly the original PDE it should take multiple
discrete values.
CNN versus CA. Equation (10) is a fairly general CA model; however, it can also be viewed as a simple
discrete-time CNN model with no input (82). This also clarifies some important differences when comparing the
CA and CNN frameworks. The CA represents autonomous discrete-valued lattice dynamical systems, while the
CNN can be regarded as a general description of analog-valued nonautonomous cellular dynamical systems.
The “standard” framework of both the CA and CNN research is the mathematical description that closely
describes the core of the first hardware implementations. Note that a simple algorithm running on a CNN
hardware (67) can exactly emulate a binary CA with Boolean logic update rules (13).
Remarks. Any numerical integration formula solving (approximating) a PDE (and an associated CNN)
in the “binary universe” can be regarded as a general CA model although with multiple discrete states and
complicated local update rules. In general, Eq. (8) can be viewed as the mathematical framework for autonomous
digital parallel processing cellular arrays, discrete in space-time. A general nonautonomous nonlinear model
could be described by the discrete time version of Eq. (4), the discrete-time CNN equation [(82), DTCNN].

Architectures and Biological Relevance

Different CA and CNN architectures. Based on the preceding generic definition of the CNN [Eq. (4)]
and CA [Eq. (8)], several types of cellular arrays can be generated. They can be classified according to the
types of the grid, the processor (cell), the interaction (template or update rule), and the mode of operation.
Thus, building the core of a massively parallel computer based on cellular array architecture, the following key
points should be addressed in detail:

(1) Grid types Cellular arrays are usually defined on a spatially discrete square (rectangular) grid, however,
hexagonal and triangular arrangements can also be considered (Fig. 3(a–c)). These grids are the only
8 CELLULAR ARRAYS

Fig. 3. The most common and two special grid types of cellular architectures. Regular contiguous tessellations of the
plane based on congruent polygons are shown in the top row: (a) rectangular, (b), triangular, and (c) hexagonal lattices. In
the bottom row two special grid types are given that belong to the ornamental group. All grid types (b)–(e) could be mapped
to a rectangular grid (a) with periodic space-variant interconnections.

regular contiguous tessellations of the plane based on congruent polygons alone. Other grid types can
also be created based on nonregular congruent polygons or from a regular vertex grid through discrete
geometrical transformations: rotations and translations [ornamental groups, Fig. 3(d–e)]. A great number
of these grids can be mapped on a typical eight-neighbor rectangular structure with periodic space-variant
connections (83). Multiple and varying grid sizes (e.g., coarse and fine grids, and logarithmically changing
size) may be useful in simulating adaptive biological systems (e.g., retina, lateral geniculate nucleus (LGN),
or magno-parvo pathways in the cortex).
(2) Cell (processor) types and boundary cell types Cellular arrays can be built from linear or nonlinear, first- or
higher-order cells. A linear or “small-signal” operation (as a special case) is achievable through piecewise
linear output characteristics. A highly nonlinear dynamic behavior (typical CNN models) is attainable
through a sigmoid, Gaussian, or inverse-Gaussian type output characteristics. Cells can include additional
local analog and/or logical memories for storing intermediate processing results. A cell might perform
local logic operations (typical CA models) or a combined analogic (analog and logic) computation (extended
CNN models). The cells of an array can be uniform or nonuniform (regularly or slightly varying). In some
processing tasks two or three cell types in a regular grid might be very useful, for example, in color image
processing. Since in any physical implementation only a finite array can be built, a boundary condition
should be exactly defined. Creating boundary cells that are not involved in the cooperative computation
(“virtual cells”) can satisfy this requirement. The most important boundary cell specifications are as follows:
(i) In the fixed (Dirichlet) type, constant values are assigned to all boundary cells. (ii) In the zero-flux
(Neumann) type, boundary cells are made to follow cells that are on the same side of the array. (iii) In the
CELLULAR ARRAYS 9

periodic (toroidal) type, boundary cells are made to track the values of cells from the opposite side of the
array.
(3) Neighborhood size and interaction types The interaction type (the biological “synapse”) between the grid
cells represents the program of a cellular array. Depending on whether these interaction types are fixed
or programmable, the array can be regarded as a specific-purpose (see previous section on CA and smart-
sensor implementations) or a reconfigurable (see previous section on the CNN-UM and its implementations)
parallel array architecture. The nearest neighborhood is the most common sphere of influence in the intercell
communication for both CA and CNN models (either the cross-shaped fourfold-connected or the star-shaped
eightfold-connected neighborhoods); however, larger neighborhood sizes can also be considered (typically
in biological modeling or when creating adaptive artificial systems). The interaction types can be linear or
nonlinear memoryless functions [e.g., a typical CA update rule corresponding to Eq. (8)] of one, two, or more
variables. Delayed nonlinear [e.g., a typical CNN template corresponding to Eq. (4)] and dynamic (lumped)
interactions are more general connection types. By breaking the symmetry or isotropy and moreover varying
the nature of the interconnections in space and/or in time, an extremely rich collection of further templates
(or local update rules) can be created.
(4) Modes of the operation The mode of operation can be continuous (general CNN models) or discrete time
(general DTCNN and CA models). In the case of a discrete-time procedure the update mechanism can be
synchronous or asynchronous. The computation can be analog, logic, or analogic (see also item 2) and can be
executed either in local mode or in propagating mode (on a decoupled or coupled array, respectively). Besides
the usual fixed-point operational mode (equilibrium computing), transient (nonequilibrium computing),
oscillating, chaotic, and general stochastic modes can also be used.

The CNN Universal Machine: An Analogic Stored Program Cellular Array Computer. A cel-
lular architecture that includes the main properties listed and discussed in the previous subsection is the
CNN Universal Machine [CNN-UM (58)] The CNN-UM makes it possible to combine efficiently analog array
operations with local logic. Because the reprogramming time is approximately equal to the settling time of a
nonpropagating analog operation, it is capable of executing complex analogic (analog and logic) algorithms. To
ensure programmability, a global programming unit was added to the array and for an efficient reuse of inter-
mediate results, each computing cell was extended by local memories. In addition to local storage, every cell
might be equipped with local sensors and additional circuitry to perform cellwise analog and logical operations.
The architecture of the CNN-UM is shown in Fig. 4.
The CNN-UM is built around the dynamic computing core of a simple CNN. An image can be acquired
through the sensory input [e.g., optical sensor (OPT)]. Local memories store analog [local analog memory
(LAM)] and logic [local logical memory (LLM)] values in each cell. A local analog output unit (LAOU) and
a local logic unit (LLU) perform cellwise analog and logic operations on the stored values. The output is
always transferred to one of the local memories. The local communication and control unit (LCCU) ensures
the communication between the extended cell and the central programming unit of the machine, the global
analogic programming unit (GAPU). The GAPU has four functional blocks. The analog program register (APR)
stores the analog program instructions, the CNN templates. In the case of linear templates, for connectivity r
= 1, a set of 19 real numbers has to be stored (this number is even less for both linear and nonlinear templates
assuming spatial symmetry and isotropy). All other units within the GAPU are logic registers containing the
control codes for operating the cell array. The local program register (LPR) contains control sequences for the
individual cell’s LLU, and the switch configuration register (SCR) stores the codes to initiate the different switch
configurations when accessing different functional units (e.g., whether to run a linear or nonlinear template).
The global analogic control unit (GACU) stores the instruction sequence of the main (analogic) program. The
GACU also controls the timing, sequence of instructions and data transfers on the chip and synchronizes the
communication with any external controlling device.
10 CELLULAR ARRAYS

Fig. 4. The architecture of the CNN Universal Machine, the stored program analogic array supercomputer.

Synthesizing an analogic algorithm running on the CNN-UM, the designer should decompose the solution
to a sequence of analog and logical operations. A limited number of intermediate results can be locally stored
and combined. Some of these outputs can be used as a bias map (space-variant bias) or fixed-state map
(space-variant mask) in the next operation by adding spatial adaptivity to the algorithms without introducing
complicated intercell couplings. Either a linear or a nonlinear template defines analog operations. The output
can be defined both in fixed and nonfixed states of the network (equilibrium and nonequilibrium computing),
depending on the control of the transient length. It can be assumed that elementary logical (NOT, AND, OR, etc.)
and arithmetical (ADD, SUB) operations are implemented and can be used at the cell level between LLM and
LAM locations, respectively. In addition, data transfer and conversion can be performed between LAMs and
LLMs.
Biological Relevance. Earlier it was explained why cellular arrays can be viewed as efficient PDE ma-
chines in simulating physics. Here, some key observations made in biology—based on morphological, pharma-
cological, and physiological measurements—will be recalled that underline the biological relevance of cellular
architectures and also the analogic operational mode of array computing.
At the neuronal level, it is instructive that many complex neural functions are performed without spikes,
and in many visual functions (e.g., within the retina of the vertebrates) 2-D layers of locally connected neurons
perform some tasks that combine both spikeless (analog) and spike-type (logic) processing as well (84). Cellular
arrays are also natural models for topographic maps of biological sensory systems (84). At the neural systems
level, recent physiological discoveries have shown that complex visual tasks are solved by using several different
projections of the same image stored “retinotopically” in different parts of the brain (cortex) as a “multiscreen
theater” (85). Finally, at the cognitive systems level, the evidence of functional cerebral hemispheric asymmetry
of the brain (86) provides a strong motivation for formulating the cellular computing model as a nonlinear
analogic (dual) computing structure (87) and justifies a novel approach to computational complexity (88).
CELLULAR ARRAYS 11

Physical Implementation

In this section a brief overview on the main physical implementation trends of cellular arrays will be given. The
emphasis will be put on those reconfigurable cellular architectures that interact with the physical world, that
is, where on-chip sensing and flexible computing are integrated. For these engineering prototypes CA models
cannot be considered as a general framework. The unifying paradigm is rather a CNN-type general model with
locally connected analog “computing sensors” arranged on a regular rectangular grid. Specific purpose vision
chips (“smart sensors”) make up a very important class of these architectures, in which sensing is combined
with a specific functionality. These chips are special-purpose nonprogrammable (only tunable) information
sensing devices. Programmable single-chip visual microprocessors can be considered as a more general class
developed within the CNN framework. The general architecture of these reconfigurable devices (CNN-UM)
has been described in the previous section and lead to efficient optoelectronic prototypes. Throughout the
section the phrase visual sensing should be understood in a very broad sense: it rather describes a general 2-D
sampling of any physical property of the environment (e.g., color, temperature, pressure, smell) than only the
2-D representation of the light intensity within the visible spectrum.
CA implementations. The CA theory evolved in parallel with the advance of digital technology that
implemented noncellular architectures and used sequential computing principles for decades. Though the
theory of autonomous cellular automata machines (CAMs) was well developed in the 1980s, single-chip large-
scale 2-D prototypes had not been fabricated. The CA framework was used in parallel supercomputer [e.g.,
the Connection Machine (89)] and massively parallel processor design [MPP (90)], furthermore in specific
cryptographic and test hardware synthesis. However, this framework was not widely used in engineering
designs integrating array sensing and computing.
Specific-Purpose vision Chips: Smart Sensors. Carver Mead, who first introduced the idea of
neuromorphic engineering using VLSI technologies in the 1980s, pioneered the research field of smart sensors
(71, 91, 92). The main idea was to integrate the photodetecting elements with the processing circuits on the
same chip and perform sensor information processing without redundant and unnecessary data acquisition
(“smart sensing”). The smart-sensor concept implies a low-level interaction between the sensors and proces-
sors; therefore, modular camera-processor combinations do not belong to this class. During the last decade the
sensors that have been embedded into these devices were almost exclusively photodetecting elements; how-
ever, recently other types have also been designed in cellular array architectures (e.g., high-resolution tactile
sensors).
Specific-purpose vision chips are optimized for certain functionality, for the quality of processing, instead
of the acquired image quality aimed by high-resolution and precision cameras. The advantage of vision chips
lies in their very high processing speed, large (usually adaptively controlled) dynamic range, small size, and low
power dissipation compared to camera-processor combinations. At the current stage, it is a drawback that most
of these devices are fully custom designed (time consuming, costly, and error prone) and that the implemented
algorithms should account for the hardly controllable inaccuracies of analog VLSI systems. Furthermore, none
of the vision chips are of general purpose, i.e., they could be parameter tunable within certain limits but
are not programmable to perform different vision tasks. This property is particularly undesirable during the
development and test phase of a vision system.
The main technologies used in vision chip fabrication are complementary metal-oxide semiconductor
(CMOS), charge-coupled device (CCD), bimetal CMOS (BiCMOS), and GaAs (MES-FET and HEMT), though
CMOS was exclusively used in the majority of the designs. Vision chips can be classified (93) into spatial (e.g.
94,95), spatiotemporal (e.g., 9697–98), and optical neurochip (e.g., Ref 99) groups.
Programmable Visual Microprocessors (CNNM-UM chips). Specific-purpose vision chips are non-
programmable cellular architectures. Since they implement only a specific functionality they require a top level
system for complex information processing. Similarly, all fully connected neural network chip realizations have
a common feature: they implement a single instruction only; thus the weight matrix is fixed when processing
12 CELLULAR ARRAYS

some input. Reprogramming (i.e., changing the weight matrix) is possible for some devices but takes longer
time (by orders of magnitudes) than the computation itself.
These observations motivated the design of the CNN-UM (58), a stored program nonlinear array computer.
The CNN-UM can also be viewed as a visual microprocessor especially when it is built with a focal plane
sensory array. Different analog VLSI implementations of CNN-UM chips and a comparison of their performance
characteristics can be found in Table 1. The first fully working implementation that can run analogic algorithms
is the 1995 mixed-signal version (it has an optical input) from Seville (62). This chip, embedded into the CNN
prototyping system (100), was used in various experiments validating CNN templates and algorithms. The
most promising is certainly the latest version of these implementations fabricated in 1998 (67) (see the last
column). It has a 64 × 64 CNN array with optical input, and in addition to the features shown in Table 1 it
allows the use of fixed-state map techniques, global logical lines, and ARAMs (analog random access memory)
(66) during the algorithm synthesis. This prototype already paves the road toward industrial applications.
There is always a gap between the system level design and chip implementations. Trying to synthesize
powerful algorithms that can keep up with the state-of-the art methods of signal-processing disciplines, the
engineer at the system level always faces the problem of hardware limitations and has to simplify the method-
ologies used. On the other hand, a VLSI designer would like to know the priority of the requirements motivated
by different applications. At the current stage, the need for higher-order (complex) cells, nonlinear interactions,
larger neighborhood size, and space-variant programming contradicts the requirement of higher resolution.
Designing the future generation of CNN-UM chips the cell area versus functionality trade-off will always be
one of the main issues with which to cope.
CELLULAR ARRAYS 13

Fig. 5. Spatial edge (middle row) and spatiotemporal motion (bottom row) detection output from a “retinotopic” compu-
tation executed on a CNN-UM chip (input is shown in the top row). Simply reprogramming the array can test different
biological retina models.

Applications

In this section some applications based on cellular array architectures will be highlighted. Since these ar-
eas are very diverse the objective of this summary is only to show how various disciplines can profit from
having a programmable (reconfigurable) “visual” microprocessor equipped with both analog and logical com-
puting capabilities. It is stressed that the algorithmic flexibility connecting biology, physics, and chemistry
on these cellular architectures also fertilizes the engineering designs targeting industrial and other real-life
applications. Experimental results based on existing prototypes (63, 67) will also be demonstrated.
Biological Modeling: Revealing Adaptation and Plasticity. Cellular architectures have been ex-
tensively used in various biological modeling experiments (e.g., 2425262728–29) but probably retina modeling
is the only interdisciplinary research field that has significantly influenced the engineering designs. For in-
stance, most specific-purpose vision chips aim to reproduce some well-defined functionality (e.g., spatial edge
detection or spatiotemporal motion detection) of this “front end” of biological visual systems.
The retina is said to be the “approachable part of the brain” (101) and indeed, currently a large number
of morphological observations, pharmacological, and physiological measurements are at hand helping us to
understand the cells, synapses, and circuitry of this tiny neural processing layer. It is certainly due to its
enormous complexity and emerging computational capability that even nowadays the neural code sent to the
cortex is not fully understood. Vision chip design exploits the information learned about the structure and
some functionality of the retina, but usually there are radical differences comparing biological and silicon
retinas at the cell and synaptic levels. Also, the observed measured functional richness, the adaptation and
plasticity properties in the retina assume, in engineering terms, a very flexible re-programmability that is not
incorporated into these smart sensor architectures.
Theoretical research and implementation in the CNN field have evolved into a closer interaction with
retinal modeling experiments (25). Due to this fact the latest CNNU-UM chip (67) is capable of computing both
the spatial edge and spatiotemporal motion features of an image sequence (Fig. 5). Other functionality can
also be easily tested, i.e., simply by reprogramming this architecture, one can generate hypotheses on various
retinal models.
Retina modeling also motivated a new direction in CNN chip designs trading resolution for so-called
complex cells (higher-order cells with more complicated synapses) that can also be interpreted as having
multilayer CNN structures with simple cells (102). Just taking a glance at a simplified outer-retina model
14 CELLULAR ARRAYS

Fig. 6. A three-layer base model of the outer plexiform layer (OPL) in the retina. Each biological cell layer is mapped onto
a CNN layer that consists of first- or second-order (two mutually coupled first-order) cells. Some pathways and connections
are also indicated that still represent a controversial issue in neurobiology.

[(28), Fig. 6] that requires at least a three-layer cellular architecture, it is easy to understand why the physical
implementation of cellular arrays has made only the first steps toward a real neuromorphic and programmable
visual microprocessor.
Programmable Physics: Diffusion and Wave Models. The PDE-related diffusion-type CNN tem-
plate derived earlier could be used to emulate a diffusion mechanism on a CNN-UM chip (67). Starting from
an arbitrary initial condition (a gray-scale image) the linear heat diffusion results in a progressively low-pass-
filtered version of the original image [Fig. 7(a)]. Changing the value of the central term in the feedback matrix
(a0 = 3) and the bias value (z = 2.75) a nonlinear trigger-wave propagation can also be generated from an
arbitrary initial patch [Fig. 7(b)]. As it can be seen the direction of the propagation is reversible (it depends on
the sign of the bias value). These two simple cases, based on first-order cells, demonstrate how physics can be
easily programmed on a cellular architecture. More complicated wave phenomena can also be generated based
on second-order cells as shown in Fig. 7(c)–(f) (see further examples in 38 and 45).
Programmable Chemistry: Self-Assembly in Chemical Substances. There are several classes
of CNN templates (4041–42) capable of simulating self-assembly in chemical substances. In the following
experiments it will be shown how checkers, patches, stripes, and various combination of these spatial patterns
can be formed from random initial conditions on a CNN-UM chip (67) programmed by these templates (Fig. 8).

Cellular Computing in Engineering Designs. In this subsection the image-flow processing capabili-
ties of cellular architectures will be discussed. It is demonstrated how a cellular computer vision system builds
on the instructions derived during biological, physical and chemical modeling experiments. This is a radically
different approach to synthesizing a “computer vision” algorithm compared to traditional methods since it is
based on programmable receptive fields, transport mechanisms (diffusion and waves), and self-organization
phenomena.
A cellular computing system is capable of multidimensional information processing. The data can be
acquired either in the focal plane (through the on-chip sensors integrated with the cells of the system) or
transferred from other array sensor devices (e.g., a CCD camera). In this subsection two such engineering
designs based on cellular architectures and analogic cellular computing will also be shortly presented. In these
prototypes the flexibility of a recently designed CNN-UM chip (67) and hardware-software environment (100)
is exploited building a focal-plane and an online video-flow processing application.
Cellular Computer Vision. The architectures and physical implementation of cellular systems were
discussed in previous sections. Here, some key operators and the algorithmic aspects of cellular computing will
CELLULAR ARRAYS 15

Fig. 7. Programmable physics on a cellular architecture based on first-order and second-order cells: (a) snapshots of a
controlled diffusion process, (b) snapshots of a reversed trigger-wave propagation, (c) initial patches for wave generation,
(d) traveling waves, (e) autowaves, (f) spiral waves.

be addressed. Figures 9, 10, 11 illustrate how biological, physical, and chemical models can be interpreted as
meaningful operators in image processing.
In Fig. 9 programmable receptive fields (edge and corner detection), wave-type computation (reconstruc-
tion and connected component detection) and spatial logic (XOR) is used to build up the analogic algorithm
solving an object classification problem. In this simple example [Fig. 9(a)] isolated objects that have no corners
should be identified marking the horizontal and vertical object extension (coordinates) along the image edges
[Fig. 9(g–h)]. The task is solved combining analog operations (either with local or global dynamics) with spatial
logic relying on a programmable cellular architecture with local memories.
Various filters can be constructed based on diffusion-type computation as illustrated in Fig. 10. Observe
in Fig. 10(b) the low-pass filtered and in Fig. 10(c) the band-pass filtered output of the test image given in Fig.
10(a). Self-organizing phenomena can also be exploited in image processing. By creating a halftone version
of a gray-scale image, an adaptive pattern-creating feature helps us to obtain a visually pleasing binary
representation of the original image as shown in Fig. 10(d).
The global dynamics of locally connected cellular arrays is a key property in building powerful image flow
processing algorithms. Operators derived from transport mechanisms allow us to combine controlled diffusion
16 CELLULAR ARRAYS

Fig. 8. Programmable chemistry on a cellular architecture pattern-creating self-organization phenomena: (b) checkers,
(c) patches, and (d) stripes formed from a random initial condition (a). A colorful combination of the basic motifs can also
be generated (e)–(g).

Fig. 9. Programmable receptive fields, wave-type computation, and spatial logic in analogic cellular image processing.
The example demonstrates the intermediate steps of an analogic algorithm: (a) original image; (b) result of edge detection;
(c) result of corner detection; (d) objects reconstructed from corners; (e) isolated object without corners obtained through
spatial logic; (f) edge of the detected object; (g) vertical extension of the detected object; (h) horizontal extension of the
detected object.

and waves within the analogic algorithmic framework and make it possible to solve sophisticated detection-
reconstruction problems. Such an example is shown in Fig. 11, tracking the contour of the left ventricle where
diffusion-type filters were used in noise suppression and trigger waves in boundary detection.
Focal-plane Object Classification. Ultrahigh frame-rate (exceeding 10,000 frame/s) image processing is
an unsolved problem with current digital systems of affordable price and size. Both the limited computational
CELLULAR ARRAYS 17

Fig. 10. Diffusion-type computation and self-organization in analogic cellular image processing. (a) Original image; (b) the
output of a blurring low-pass filter realized through controlled diffusion mechanism; (c) the output of an edge enhancing
band-pass filter realized through diffusion mechanism and spatial arithmetics; (d) halftone (binary representation of a
gray-scale image) output obtained through adaptive pattern creating self-organization.

Fig. 11. Using transport (diffusion and wave) mechanisms in analogic cellular image processing. Top row: snapshots of the
human heart on an echocardiography sequence. The image-flow shows the spatiotemporal deformation of the left ventricle
(the largest heart chamber). Bottom row: detected contour of the left ventricle calculated by an analogic cellular algo-
rithm. Diffusion-type filters were used in noise suppression and trigger-waves in boundary detection. The spatiotemporal
consistency was ensured through inter-frame spatial logic operations.

power and the I/O bottleneck (when the image is transferred from the sensor to the processor) represent major
obstacles in digital systems.
Cellular neural or nonlinear network (CNN) technology offers a parallel and analogic (combined analog
and logic) approach to these problems. If a CNN chip is used as a focal-plane array, even a zero computational
load requirement is achievable (the processing is limited by the image acquisition only). The chip (63) acts
as a focal-plane visual microprocessor: it acquires image frames parallel through the optical input, transfers
them to the processor elements, and performs the analysis also in parallel. In 20 µs, approximately five analog
operations (CNN templates) and ten local logic operations can be completed. This makes it possible that even a
complex morphological decision can be performed on-chip within two subsequent frames at a 50,000 frames/s
operational speed.
The experimental setup of the focal-plane classification system is shown in Fig. 12(a). The CNN platform
that carries the chip is mounted on the back panel of a camera (only the optics is used, no shutter is required).
On a rotating disk different images are posted and during the experiment these images are projected onto the
chip through the lens system of the camera [see the images acquired by the on-chip sensor in Fig. 12(b)].
In the experiment objects are classified based on their local morphological features by a complex analogical
algorithm. In Fig. 13 the major subroutines of the algorithm are shown along with their measured on-chip time
performance (no transfer and display time included). This demonstration proves that the current CNN system
18 CELLULAR ARRAYS

Fig. 12. The ultra high-speed focal-plane array processor system: (a) the experimental setup, (b) the objects silhouettes
captured by the 20 × 22 CNN-UM chip.

Fig. 13. The flow chart of the object classification algorithm and the measured time performance on the 20 × 22 CNN-UM
chip. A binary-morphology-based analogic cellular algorithm ensures rotation and translation invariant detection.

is able to classify six different flying objects (hot-air balloons and airplanes) based on their silhouettes’ low-
resolution projections on the chip’s optical sensors at a speed of approximately 10,000 frames/s.
Online Video-flow Processing. In the last few years particle detection and classification in fluid flows
have received considerable interest among the applications requiring image processing at ultrahigh frame rates.
For instance, a sensory module capable of identifying the density of debris particles in the oil flow of various
engines would enable a cost-effective online monitoring of these systems (e.g., condition-based monitoring of
jet engines).
In these applications the CNN chip can be used either as a focal-plane array processor or a video-flow
processing visual microprocessor. In the latter case recent feasibility studies and experiments indicate that in
a demonstration, the prototype system detection and classification of the particles can be performed online on
a 64 × 64 CNN chip (67).
CELLULAR ARRAYS 19

Fig. 14. The online video-flow processing system: (a) the experimental setup, (b) a typical image frame acquired by the
CCD camera, (c) identified marble superimposed onto the original image, (d) identified bubbles superimposed onto the
original image.

Fig. 15. The flow chart of the bubble-marble classification algorithm with the time requirement of the algorithm subrou-
tines on a 64 × 64 CNN-UM chip. The analogic algorithm is based on binary morphology and diffusion-type operators.

Figure 14(a) shows the experimental setup of the online video-flow demonstration. In a water tank
containing bubbles and marbles, a fast turbulent flow is generated. The task is to detect and separate the
marbles from air bubbles in each acquired image. A typical image acquired by the CCD camera can be seen in
Fig. 14(b), a detected marble in Fig. 14(c), and the identified bubbles in Fig. 14(d).
The algorithm consists of the following processing stages: (i) adaptive thresholding, in which all objects
are detected in the image field through a spatially adaptive thresholding, (ii) morphological prefiltering, in
which objects are compared to prototype objects to filter out single bubbles and bubble groups, also to classify
the remaining objects into different particle groups, (iii) object classification, such that in the last stage objects
are classified based on their size and morphology (and a simple statistics is calculated for different object
groups).
The on-chip time performance of the subroutines of the algorithm is summarized in Fig. 15 (no transfer
and display time included). The demonstration proves that with the current CNN system a morphology-based
complex algorithm can be executed during an online video-flow processing.

Summary

Various cellular array architectures that have been proposed for massively parallel computing were discussed.
It has been argued that though the physical laws are often described by PDEs, the abstract engineering
computing machine is rather a cellular structure that is discrete in space, continuous or discrete in time, and
is based on analog/discrete computing devices (processors).
20 CELLULAR ARRAYS

It has been shown that though historically rooted from cellular automata (and fully connected neural
networks) current implementation trends and biological modeling results justify the intensive research ef-
forts on the field of cellular nonlinear/neural networks. This is especially true when both array sensing and
computing are to be addressed within a unified framework. It has been stressed that in order to have a re-
configurable cellular computing array, stored programmability is a key issue in architecture design targeting
various application areas in engineering, biology, chemistry and physics.

BIBLIOGRAPHY

1. J. Von Neumann Theory of Self-reproducing Automata, Urbana: University of Illinois Press, 1966.
2. J. Thatcher Universality in von Neumann Cellular Model, Technical Report 03105-30-T, ORA, University of Michigan,
1964.
3. C. Lee Synthesis of cellular universal machine using 29-state model of von Neumann, University of Michigan
Engineering Summer Conference, 1964.
4. E. F. Codd Cellular Automata, New York: Academic, 1968.
5. M. Harao S. Naguchi On some dynamical properties of finite cellular automata, IEEE Trans. Computers, C-27, 42–52,
1978.
6. D. Richardson Tessellations with local transformations, J. Comput. Systems Sci., 6: 373–388, 1972.
7. T. Toffoli Computation and construction universality of reversible cellular automata, J. Comput Systems Sci., 15:
213, 1977.
8. H. Yamada S. Amoroso Tesselation Automata, Information Control, 14: 299–317, 1969.
9. H. Yamada S. Amoroso Completeness problem for pattern generation in tesselation automata, J. Comput. System
Sci., 4: 137–176, 1970.
10. H. Yamada S. Amoroso Structural and behavioral equivalencies of tessellation automata, Information Control, 18:
1–31, 1971.
11. S. Wolfram Statistical mechanics of cellular automata, Rev. Mod. Phys., 55: 601–644, 1983.
12. S. Wolfram Universality and complexity in cellular automata, Physica D, 10: 1–35, 1984.
13. N. H. Packard S. Wolfram Two-dimensional cellular automata, J. Statist. Phys., 38: 126–171, 1985.
14. G. Crisp A Cellular Automaton Model of Crystal Growth: I) Athracene, Technical. Report, Princeton: Institute for
Advanced Study, 1986.
15. E. R. Berlekamp J. H. Conway H. K. Guy Winning Ways for your Mathematical Plays, New York: Academic, 1982.
16. T. Toffoli N. Margolis Cellular Automata Machines, Cambridge, MA: MIT Press, 1987.
17. W. S. McCulloch W. Pitts A logical calculus of the ideas immanent in nervous activity, Bull. Math. Biophys., 5:
115–137, 1943.
18. J. J. Hopfield Neural networks and physical systems with emergent collective computational abilities, Proc. Natl.
Acad. Sci., 79: 2554–2558, 1982.
19. J. J. Hopfield Neurons with graded response have collective computational properties like those of two state neurons,
Proc. Natl. Acad. Sci., 81: 3088–3092, 1984.
20. M. A. Cohen S. Grossberg Absolute stability of global pattern formation and parallel memory storage by competitive
neural networks, IEEE Trans. Syst., Man Cybern., 13: 815–826, 1983.
21. T. Kohonen An introduction to neural computing, Neural Networks, 1: 3–16, 1988.
22. S. Grossberg Nonlinear neural networks: Principles, mechanisms and architectures, Neural Networks, 1: 17–62,
1988.
23. L. O. Chua L. Yang Cellular neural networks: Theory and applications, IEEE Trans. Circuits Syst., 35: 1257–1290,
1988.
24. T. Roska J. Hámori E. Lábos K. Lotz L. Orzó J. Takács P. L. Venetiáner Z. Vidnyánszky Á Zarándy The use of CNN
models in the subcortical visual pathway, IEEE Trans. Circuits Syst.—I: Fundam. Theory Appl., 40: 182–195, 1993.
25. F. Werblin T. Roska L. O. Chua The analogic CNN universal machine as a bionic eye, Int. J. Circuit Theory Appl., 23:
541–569, 1995.
26. K. Lotz A. Jacobs J. Vandewalle F. Werblin T. Roska Z. Vidnyánszky J. Hámori Some cortical spiking neuron models
using CNN, Int. J. Circuit Theory Appl., 24: 301–314, 1996.
CELLULAR ARRAYS 21

27. A. Jacobs T. Roska F. Werblin Methods for constructing physiologically motivated neuromorphic models in CNN, Int.
J. Circuit Theory Appl., 24: 315–339, 1996.
28. Cs. Rekeczky B. Roska E. Nemeth F. Werblin The network behind dynamic spatiotemporal patterns: Building low-
complexity retinal models in CNN Based on morphology, pharmacology and physiology, Int. J. Circuit Theory Appl.,
29: 197–240, 2001.
29. A. Bouzerdoum R. B. Pinter Shunting inhibitory cellular neural networks: Derivation and stability analysis, IEEE
Trans. Circuits Syst., 40: 215–221, 1993.
30. D. Bálya B. Roska E. Nemeth T. Roska F. Werblin A Qualitative Model-Framework for Spatio-temporal Effects in
Vertebrate Retinas, in Proc. 6th IEEE Int. Workshop Cellular Neural Netw. Appl., CNNA 2000, pp. 165–170, Catania,
May 2000.
31. T. Roska D. Wolf T. Kozek R. Tetzlaff L. O. Chua Solving partial differential equations by CNN, in Proc. Eur. Conf.
Circuit Theory Design ECCTD  93, pp. 1477–1482, Davos, 1993.
32. T. Roska L. O. Chua D. Wolf T. Kozek R. Tetzlaff F. Puffer Simulating nonlinear waves and partial differential
equations via CNN—Part I: Basic techniques, IEEE Trans. Circuits Syst., 42: 807–815, 1995.
33. T. Kozek L. O. Chua T. Roska D. Wolf R. Tetzlaff F. Puffer K. Lotz Simulating nonlinear waves and partial differential
equations via CNN—Part II: Typical examples, IEEE Trans. Circuits Syst., 42: 816–821, 1995.
34. T. Kozek T. Roska A double time-scale CNN for solving 2-D Navier-Stokes equations, Int. J. Circuit Theory Appl., 24:
49–56, 1996.
35. B. E. Shi Gabor-type filtering in space and time with cellular neural networks, IEEE Trans. Circuits Syst., 45:
121–132, 1998.
36. B. E. Shi T. Roska L. O. Chua Design of linear cellular neural networks for motion sensitive filtering, IEEE Trans.
Circuits Syst., 40: 320–331, 1993.
37. B. E. Shi T. Roska L. O. Chua Estimating optical flow with cellular neural networks, Int. J. Circuit Theory Appl., 26:
343–364, 1998.
38. K. R. Crounse L. O. Chua Methods for image processing in cellular neural networks: A tutorial, IEEE Trans. Circuits
Syst., 42: 583–601, 1995.
39. K. R. Crounse Image Processing Techniques for Cellular Neural Network Hardware, Ph.D. Thesis, University of
California at Berkeley, 1997.
40. K. R. Crounse L. O. Chua P. Thiran G. Setti Characterization and dynamics of pattern formation in cellular neural
networks, IEEE Trans. Circuits Syst., 6: 1703–1724, 1996.
41. P. Thiran K. R. Crounse L. O. Chua M. Hasler Pattern formation properties of autonomous cellular neural networks,
IEEE Trans. Circuits Syst., 42: 757–774, 1995.
42. P. Arena S. Baglio L. Fortuna G. Manganaro Self-organization in a two layer CNN, IEEE Trans. Circuits Syst., 45
(2): 157–162, 1998.
43. T. Szirányi J. Zerubia Markov random field image segmentation using cellular neural network, IEEE Trans. Circuits
Syst., 44: 86–69, 1997.
44. Á Zarándy A. Stoffels T. Roska L. O. Chua Implementation of binary and gray-scale morphology on the CNN universal
machine, IEEE Trans. Circuits Syst., 45: 163–167, 1998.
45. Cs. Rekeczky T. Roska A. Ushida CNN-based difference-controlled adaptive nonlinear image filters, Int. J. Circuit
Theory Appl., 26: 375–423, 1998.
46. T. Yang L. B. Yang C. W. Wu L.O. Chua Fuzzy Cellular Neural Networks: Theory, in Proc. 4th CNNA’96, pp. 181–186,
Seville, June 1996.
47. S. Paul K. Hüper J. A. Nossek L. O. Chua Mapping nonlinear lattice equations onto cellular neural networks, IEEE
Trans. Circuits Syst.—I: Fundam. Theory Appl., 40: 196–203, 1993.
48. Á Zarándy T. Roska F. Werblin L. O. Chua “intelligent image resolution enhancement by the CNN universal machine
and its relevance to TV picture enhancement, in Proc. 3rd Int. Symp. Nonlinear Theory Appl. NOLTA’95, pp. 701–706,
Las Vegas, December 1995.
49. T. Kozek K. R. Crounse T. Roska L. O. Chua Smart image scanning algorithms for the CNN universal machine, in
Proc. 3rd Int. Symp. Nonlinear Theory Appl. NOLTA’95, pp. 707–712, Las Vegas, December 1995.
50. T. Szirányi M. Csapodi Texture classification and segmentation by cellular neural network using genetic learning,
Comput. Vision Image Understanding (CVGIP), 71 (3): 255–270, 1998.
51. M. Csapodi T. Roska Dynamic analogic algorithms for complex recognition task—A first step towards the bionic
eyeglass, Int. J. Circuit Theory Appl., 24: 127–145, 1996.
22 CELLULAR ARRAYS

52. Á Zarándy F. Werblin T. Roska L. O. Chua Spatial-logic algorithms using basic morphological analogic CNN opera-
tions, Int. J. Circuit Theory Appl., 24: 283–300, 1996.
53. P. L. Venetianer P. Szolgay K. R. Crounse T. Roska L. O. Chua Analog combinatorics and cellular Automata—Key
algorithms and layout design, Int. J. Circuit Theory Appl., 24: 145–164, 1996.
54. Cs. Rekeczky Á. Tahy Z. Végh T. Roska Spatio-temporal nonlinear filtering and endocardial boundary detection in
echocardiography, Int. J. Circuit Theory Appl., 27: 171–207, 1999.
55. Cs. Rekeczky L. O. Chua Computing with front propagation: Active contour and skeleton models in continuous-time
CNN, J. VLSI Signal Proc. Syst., 23 (2/3): 373–402, November–December 1999.
56. T. Roska L. O. Chua Cellular neural networks with non-linear and delay-type template elements and non-uniform
grids, Int. J. Circuit Theory Appl., 20: 469–481, 1992.
57. L. O. Chua T. Roska The CNN paradigm, IEEE Trans. Circuits Syst., 40: 147–156, March 1993.
58. T. Roska L. O. Chua The CNN universal machine: An analogic array computer, IEEE Trans. Circuits Systems, 40:
163–173, March 1993.
59. L. O. Chua T. Roska P. L. Venetianer The CNN is as universal as the turing machine, IEEE Trans. Circuits Systems,
40: 289–291, April 1993.
60. J. M. Cruz L. O. Chua A CNN chip for connected component detection, IEEE Trans. Circuits Systems, 38: 812–817,
July 1991.
61. J. M. Cruz L. O. Chua T. Roska A Fast, Complex and Efficient Test Implementation of the CNN Universal Machine,
in Proceedings of the 3rd International Workshop on Cellular Neural Networks and Their Applications, pp. 61–66,
Rome, 1994.
62. S. Espejo R. Carmona R. Domingúez-Castro A. Rodrigúez-Vázquez CNN Universal Chip in CMOS Technology,
Internat. J. Circuit Theory Applications, 24: 93–111, 1996.
63. R. Dominguez-Castro S. Espejo A. Rodriguez-Vazquez R. Carmona P. Földesy Á. Zarándy P. Szolgay T. Szirányi T.
Roska A 0.8 µm CMOS 2-D programmable mixed-signal focal-plane array processor with on-chip binary imaging
and instructions storage, IEEE J. Solid State Circuits, 103–1026, July, 1997.
64. J. M. Cruz L.O. Chua A 16 × 16 cellular neural network universal chip: The first complete single-chip dynamic com-
puter array with distributed memory and with gray-scale input-output, Analog Integrated Circuits Signal Processing,
15 (3): 227–238, 1998.
65. Ari Paasio Adam Dawidziuk Kari Halonen Veikko Porra Minimum size 0.5 micron CMOS programmable 48 by 48
CNN test chip, in Proceedings of the ECCTD ’97, pp. 154–156, Budapest, 1997.
66. R. Carmona S. Espejo R. Dominguez-Castro A. Rodrı́guez-Vázquez T. Roska T. Kozek L. O. Chua A 0.5 µm CMOS
CNN analog random access memory chip for massive image processing, in Proceedings of the 5th International
Workshop on Cellular Neural Networks and Their Applications CNNA’98, pp. 271–281, London, 1998.
67. S. Espejo R. Domı́nguez-Castro G. Liñán Á. Rodrı́guez-Vázquez A 64 × 64 CNN Universal Chip with Analog and
Digital I/O, in Proceedings of the 5th International Conference on Electronics, Circuits and Systems ICECS’98, pp.
203–206, Lisbon, September 1998.
68. A. Paasio A. Kananen K. Halonen V. Porra A 48 by 48 CNN Chip Operating with B/W Images, in Proceedings of the
5th International Conference on Electronics, Circuits and System ICECS’98, pp.191–194, Lisbon, September 1998.
69. Á. Zarándy P. Keresztes T. Roska P. Szolgay CASTLE: An Emulated Digital CNN Architecture: Design Issues,
New Results, in Proceedings of the 5th International Conference on Electronics, Circuits and Systems (ICECS’98),
pp.199–202, Lisbon, September 1998.
70. N. Frühauf E. Lüder G. Bader Fourier optical realization of cellular neural networks, IEEE Trans. Circuits Systems,
40: 156–162, March 1993.
71. C. Mead Analog VLSI and Neural Systems, Reading, MA: Addison-Wesley, 1989.
72. L. V. Kantorovich V. I. Krylov Approximate Methods of Higher Analysis, New York: Interscience, 1964.
73. C. Rovelli L. Smolin Discreteness of Area and Volume in Quantum Gravity, Nucl. Phys. B, B442: 593–619, 1995.
74. J. P. Keener Propagation and its failure in coupled systems of discrete excitable cells, SIAM J. Appl. Math., 47:
556–572, 1987.
75. L. O. Chua CNN: A vision of complexity, Internat. J. Bifurcation Chaos, 7 (10): 2219–2425, 1997.
76. T. Toffoli Cellular automata as an alternative to (rather than an approximation of) differential equations in modeling
physics, Physica 10D: 117–127, 1984.
77. P. Perona J. Malik Scale-space and edge detection using anisotropic diffusion, IEEE Trans. Pattern Analysis Machine
Intelligence, 12: 629–639, July 1990.
CELLULAR ARRAYS 23

78. F. Catté P. L. Lions J. M. Morel T. Coll Image selective smoothing and edge detection by nonlinear diffusion, SIAM
J. Num. Anal., 29 (1): 182–193, 1992.
79. L. Alvarez P. L. Lions J. M. Morel Image selective smoothing and edge detection by nonlinear diffusion II, SIAM J.
Num. Anal., 29 (3): 845–866, 1992.
80. L. Alvarez F. Guichard P. L. Lions J. M. Morel Axioms and fundamental equations of image processing, Arch. Rational
Mech. Anal., 123: 199–257, 1993.
81. P. P. Civalleri M. Gilli On stability of cellular neural networks, J. VLSI Signal Proc. Syst., 23: 429–436, 1999.
82. H. Harrer J. A. Nossek Discrete-time cellular neural networks Int. J. Circuit Theory Appl., 20: 453–468, 1992.
83. A. Radványi On the rectangular grid representation of general CNNs, in Proc. 6th IEEE Int. Workshop Cellular
Neural Netw. Appl., CNNA 2000, pp. 387–394, Catania, May 2000.
84. E. R. Kandel J. H. Schwarz T. M. Jesssel Principles of Neural Science, Amsterdam: Elsevier, 1991.
85. Special Issue on Mind and Brain, Sci. Amer. 274, September 1992.
86. J. L. Bradshow N. C. Nettleton Human Cerebral Assymetry, Englewood Cliffs, NJ: Prentice-Hall, 1983.
87. T. Roska Dual computing structures containing analog cellular neural networks and digital decision units, in Proc.
IFIP Workshop Silicon Architectures Neural Nets, pp. 233–244, 1991.
88. T. Roska L. O. Chua On a framework of complexity of computations on flows—Implemented on the CNN universal
machine, DNS-15-1995, Technical Report, Analogical and Neural Computing Systems Laboratory, Computer and
Automation Institute, Hungarian Academy of Sciences, Budapest 1995.
89. W. D. Hillis The connection machine: A computer architecture based on cellular automata, Physica D, 10: 213, 1984.
90. K. Preston, Jr. M. J. B. Duff Modern Cellular Automata: Theory and Applications, New York: Plenum, 1984.
91. C. Mead Neuromorphic electronic systems, IEEE Proc., 78: 1629–1636, 1990.
92. C. Mead M. A. Machowald A silicon model of early visual processing, Neural Netw., 1: 91–97, 1988.
93. A. Moini Vision Chips or Seeing Silicon, Boston: Kluwer Academic, 1999.
94. A. G. Andreou K. Strohbehn Neural information processing II, in M. Ismail and T. Fiez (eds.), Analog VLSI of Signal
and Information Processing, New York: McGraw-Hill, Chap. 8, pp. 358–413, 1994.
95. J. Harris C. Koch J. Luo J. Wyatt Resistive fuses: Analog hardware for detecting discountinuities in early vision, in
C. Mead and M. Ismail (eds.), Analog VLSI Implementation of Neural Systems, Boston: Kluwer Academic, pp. 27–56,
1989.
96. J. Tanner C. Mead A correlating optical motion detector, in MIT Advanced Research in VLSI, pp. 57–64, 1984.
97. A. Moore C. Koch A multiplication based analog motion detection chip, Proc. SPIE, Visual Information Processing:
From Neurons to Chips, 1473: 66–75, 1991.
98. T. Delbruck A chip that focuses on image itself, in C. Mead and M. Ismail (eds.), Analog VLSI Implementation of
Neural Systems, Boston: Kluwer Academic, Chap. 7, pp. 171–188, 1989.
99. E. Lange Y. Nitta K. Kyuma Optical neural chips, IEEE Micro, 14 (6): 29–41, 1994.
100. T. Roska Á. Zarándy S. Zöld P. Földesy P. Szolgay The computational infrastructure of analogic CNN computing—part
I: The CNN-UM chip prototyping system, IEEE Trans. Circuits Syst. I: Special Issue on Bio-Inspired Processors and
Cellular Neural Networks for Vision, 46 (2): 261–268, 1999.
101. J. E. Dowling The Retina: an Approachable Part of the Brain, Cambridge, MA: Belknap, 1987.
102. Cs. Rekeczky T. Serrano-Gatarredona T. Roska A. Rodrı́guez-Vázquez A Stored Program 2nd order/3-layer Complex
Cell CNNM-UM, in Proc. 6th IEEE Int. Workshop Cellular Neural Net. Appl., CNNA 2000, pp. 213–218, Catania,
May 2000.

CSABA REKECZKY
TAMÁS ROSKA
Computer and Automation Institute of
the Hungarian Academy of Sciences
and Péter Pázmány Catholic
University
CHEBYSHEV FILTERS 267

processing, where the signal is processed by a linear system


that changes the amplitudes and phases of these components,
but not their frequencies. In a simple form, this processing
can be used to let pass or to reject selected frequency bands,
ideally with no attenuation at the passbands and infinite at-
tenuation at the stopbands. This article discusses a class of
approximations to this kind of ideal filter, known as an Che-
byshev filter. It starts with a discussion on a technique for
the derivation of optimal magnitude filters, then discusses the
direct and inverse Chebyshev approximations for the ideal
filtering operator, ending with comments on extensions of the
technique. Tables with example filters are included.
The magnitude approximation problem in filter design con-
sists essentially of finding a convenient transfer function with
the magnitude satisfying given attenuation specifications.
Other restrictions can exist, such as structure for implemen-
tation, maximum order, and maximum Q of the poles, but
in most cases the problem can be reduced to the design of a
normalized continuous-time low-pass filter, which can be de-
scribed by a transfer function in Laplace transform. This filter
must present a given maximum passband attenuation (Amax),
between 웆 ⫽ 0 and 웆 ⫽ 웆p ⫽ 1 rad/s, and a given minimum
stopband attenuation (Amin) in frequencies above a given limit
웆r rad/s. From this prototype filter, the final filter can be ob-
tained by frequency transformations and by continuous-time
to discrete-time transformations in the case of a digital fil-
ter (1).
A convenient procedure for the derivation of optimal mag-
nitude filters is to start with the transducer function H(s) and
the characteristic function K(s). H(s), which can also be called
the attenuation function, is the inverse of the filter transfer
function, scaled such that min 兩H( j웆) ⫽ 1兩. K(s)is related to
H(s) by the equation

|H( jω)|2 = 1 + |K( jω)|2 (1)

This greatly simplifies the problem, because K( j웆) can be a


ratio of two real polynomials in 웆, both with roots located
symmetrically on both sides of the real axis, while H( j웆) is a
complex function. K(s) is obtained by replacing 웆 by s/j in
K( j웆) and ignoring possible ⫾j or ⫺1 multiplying terms re-
sulting from the operation. The complex frequencies where
K(s) ⫽ 0 are the attenuation zeros, and where K(s) ⫽ 앝 corre-
spond to the transmission zeros. If K(s) is a ratio of real poly-
nomials, K(s) ⫽ F(s)/P(s), H(s) is also a ratio of real polynomi-
als in s, with the same denominator, H(s) ⫽ E(s)/P(s), and
E(s) can be obtained by observing that for s ⫽ j웆 Eq. (1) is
equivalent to

H(s)H(−s) = 1 + K(s)K(−s) ) E(s)E(−s)


(2)
= P(s)P(−s) + F (s)F(−s)

Because E(s) is the denominator of the filter transfer function,


which must be stable, E(s) is constructed from the roots of the
polynomial P(s)P(⫺s) ⫹ F(s)F(⫺s) with negative real parts.
The desired transfer function is then T(s) ⫽ P(s)/E(s).

CHEBYSHEV FILTERS CHEBYSHEV POLYNOMIALS

Any signal can be considered to be composed of several sinus- Two important classes of approximations, the direct and in-
oidal components with different frequencies, amplitudes, and verse Chebyshev approximations, can be derived from a class
phases. Filtering is one of the fundamental methods for signal of polynomials known as Chebyshev polynomials. These poly-

J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
268 CHEBYSHEV FILTERS

Table 1. Chebyshev Polynomials Or, the attenuation in decibels is

n Polynomial A(ω) = 10 log{1 + [Cn (ω)]2 } (9)


0 1
1 x The parameter ⑀ controls the maximum passband attenua-
2 2x ⫺ 1 tion, or the passband ripple. Considering that when Cn(웆) ⫽
3 4x 3 ⫺ 3x ⫾1 the attenuation A(웆) ⫽ Amax Eq. (9) gives
4
5
8x 4 ⫺ 8x 2 ⫹ 1
16x 5 ⫺ 20x 3 ⫹ 5x =
p10 0.1A max −1 (10)
6 32x 6 ⫺ 48x 4 ⫹ 18x2 ⫺ 1
7 64x 7 ⫺ 112x 5 ⫹ 56x 3 ⫺ 7x
Figure 2 shows examples of the magnitude function 兩T( j웆)兩 in
8 128x 8 ⫺ 256x 6 ⫹ 160x 4 ⫺ 32x 2 ⫹ 1
9 256x 9 ⫺ 576x 7 ⫹ 432x 5 ⫺ 120x 3 ⫹ 9x
the passband and in the stopband obtained for some normal-
10 512x 10 ⫺ 1280x 8 ⫹ 1120x 6 ⫺ 400x 4 ⫹ 50x 2 ⫺ 1 ized Chebyshev low-pass approximations, with Amax ⫽ 1 dB.
11 1024x 11 ⫺ 2816x 9 ⫹ 2816x 7 ⫺ 1232x 5 ⫹ 220x 3 ⫺ 11x The magnitude of the Chebyshev approximations presents
12 2048x 12 ⫺ 6144x 10 ⫹ 6912x 8 ⫺ 3584x 6 ⫹ 840x 4 ⫺ 72x 2 ⫹ 1 uniform ripples in the passband, with the gain departing from
0 dB at 웆 ⫽ 0 for odd orders and from ⫺Amax dB for even
orders.
The stopband attenuation is the maximum possible among
nomials were first described by P. L. Chebyshev (2). The
filters derived from polynomial characteristic functions and
Chebyshev polynomial of order n can be obtained from the
with the same Amax and degree (4). This can be proved by as-
expression
suming that there exists a polynomial Pn(x) that is also
Cn (x) = cos(n cos−1 x) (3) bounded between ⫺1 and 1 for ⫺1 ⱕ x ⱕ 1, with Pn(x) ⫽
⫾Pn(⫺x) and Pn(⫹앝) ⫽ ⫹앝, which exceeds the value of Cn(x)
It is simple to verify that this expression corresponds, for for some value of x ⬎ 1. An approximation using this polyno-
⫺1 ⱕ x ⱕ 1, to a polynomial in x. Using the trigonometric mial instead of Cn(x) in Eq. (7) would be more selective. The
identity cos(a ⫹ b) ⫽ cos a cos b ⫺ sin a sin b, we obtain curves of Pn(x) and Cn(x) will always cross x times for ⫺1 ⱕ
x ⱕ 1, due to the maximum oscillations of Cn(x), but if Pn(x)
Cn+1 (x) = cos[(n + 1) cos−1 x] grows faster, they will cross another two times for x ⱖ 1 and
(4) x ⱕ ⫺1. This makes Pn(x) ⫺ Cn(x) a polynomial of degree n ⫹
= xCn (x) − sin(n cos−1 x) sin(cos−1 x)
2, because it has n ⫹ 2 roots, which is impossible since both
Applying now the identity sin a sin b ⫽ [cos(a ⫺ b) ⫺ are of degree n.
cos(a ⫹ b)] and rearranging, a recursion formula is obtained: The required approximation degree for given Amax and Amin
can be obtained by substituting Eq. (6) in Eq. (9), with
Cn+1 (x) = 2xCn (x) − Cn−1 (x) (5) A(웆r) ⫽ Amin and solving for n. The result, including a denor-
malization for any 웆p, is
For n ⫽ 0 and n ⫽ 1, we have C0(x) ⫽ 1 and C1(x) ⫽ x. Using
−1
Eq. (5), the series of Chebyshev polynomials shown in Table cosh γ
1 is obtained. n≥ −1
(11)
cosh (ωr /ω p )
The values of these polynomials oscillate between ⫺1 and
⫹1 for x between ⫺1 and ⫹1, in a pattern identical to a sta-
where we define the constant 웂 as
tionary Lissajous figure (3). For x out of this range, cos⫺1 x ⫽
j cosh⫺1 x, an imaginary value, but Eq. (3) is still real, in the 
form 100.1A min − 1
γ = (12)
100.1A max − 1
−1 −1
Cn (x) = cos(n j cosh x) = cosh(n cosh x) (6)

For high values of x, looking at the polynomials in Table 1,


C1 C2 C3
we see that Cn(x) 앒 2n⫺1xn and grows monotonically. The plots
of some Chebyshev polynomials for ⫺1 ⱕ x ⱕ 1 are shown in
Fig. 1.

THE CHEBYSHEV LOW-PASS APPROXIMATION

This normalized Chebyshev low-pass approximation is ob- C4 C5 C6


tained by using

K( jω) = Cn (ω) (7)

The result is a transducer function with the magnitude given


by [from Eq. (1)]
 Figure 1. Plots of the first six Chebyshev polynomials Cn(x). The
squares limit the region ⫺1 ⱕ x ⱕ1, ⫺1 ⱕ Cn(x) ⱕ 1, where the poly-
|H( jω)| = 1 + [Cn (ω)]2 (8)
nomial value oscillates.
CHEBYSHEV FILTERS 269

The transfer functions for the normalized Chebyshev filters jω


can be obtained by solving Eq. (2). For a polynomial approxi- –1
mation, using P(s) ⫽ 1, from Eq. (7) it follows that cosh ( 1 sinh 1)
n
  s  2 sinh ( 1 sinh
–1
1)
E(s)E(−s) = 1 + Cn (13) n
j π
π 2n
The roots of this polynomial are the solutions for s in n
π
s  s
 j n
Cn = cos n cos−1 =± (14)
j j  π
n
σ
Identifying
s
n cos−1 = a + jb (15)
j

it follows that ⫾j/ ⑀ ⫽ cos(a ⫹ jb)⫽ cos a cos jb ⫺ sin a


sin jb ⫽ cos a cosh b ⫺ j sin a sinh b. Equating real and
imaginary parts, we have cos a cosh b ⫽ 0 and sin a sinh b ⫽
⫿1/ ⑀. Since cosh x ⱖ 1, the equation of the real parts gives:
π
a= (1 + 2k), k = 0, 1, . . ., 2n − 1 (16)
2
Figure 3. Localization of the poles in a normalized Chebyshev low-
pass approximation (seventh order, in this case). The pole locations
|T( jω)|dB can be obtained as shown.
0 1
0
1 ω
3 and as for these values of a, sin a ⫽ ⫾1, the equation of the
5 imaginary parts gives
6
−1 1
4 b = ∓ sinh (17)

–1 2
By applying these results in Eq. (15), it follows that the roots
(a)
of E(s)E(⫺s) are
|T( jω)|dB
1 10 sk = σk + jωk k = 0, 1, . . ., 2n − 1
ω
0
 π 1 + 2k  1 1

−1
–1 σk = sin sinh sinh
2 n n  (18)
1
 π 1 + 2k  1 1

−1
ωk = cos cosh sinh
2 n n 

The roots sk with negative real parts (k ⱖ n) are the roots of


E(s). By the expressions in Eq. (18), it is easy to see that the
roots sk are located on an ellipse with vertical semi-axis cosh
(1/n sinh⫺1 1/ ⑀), horizontal semi-axis sinh (1/n sinh⫺1 1/ ⑀), and
foci at ⫾j. The location of the roots can be best visualized with
5 the diagram shown in Fig. 3 (3).

REALIZATION OF CHEBYSHEV FILTERS

These approximations were originally developed for realiza-


10
–100 tion in passive form, and the best realizations were obtained
as LC doubly terminated structures designed for maximum
(b) power transfer at the passband gain maxima. These struc-
Figure 2. Passband gain (a) and stopband gain (b) for the first nor- tures are still important today as prototypes for active and
malized Chebyshev approximations with 1 dB passband ripple. Ob- digital realizations, due to the low sensitivity to errors in ele-
serve the uniform passband ripple and the monotonic stopband gain ment values. At each attenuation zero, and the Chebyshev
decrease. approximations have the maximum possible number of them
270 CHEBYSHEV FILTERS

distributed in the passband, maximum power transfer occurs The selectivity of the inverse Chebyshev approximation is
between the terminations. In this condition, errors in the ca- the same as the corresponding Chebyshev approximation, for
pacitors and inductors can only decrease the gain (5). This the same Amax and Amin. This can be verified by calculating the
causes zeros in the derivative ⭸兩T( j웆)兩/⭸L, C at all the attenu- ratio 웆p /웆r for both approximations. For the normalized Che-
ation zeros, and keeps low the error in all the passband. Table byshev approximation, 웆p ⫽ 1, and 웆r occurs when ⑀Cn(웆r) ⫽
2 lists polynomials, poles, frequency, and Q of the poles, and 웂. For the normalized inverse Chebyshev approximation, 웆r ⫽
values for LC doubly terminated ladder structures, with the 1, and 웆p occurs when (⑀웂)/Cn(1/웆p) ⫽ ⑀. In both cases, the
structure shown in Fig. 4(a), for some normalized Chebyshev resulting ratio is 웆r /웆p ⫽ C⫺1
n (웂). Equation (11) can be used to
low-pass filters. Note in the realizations that odd-order filters compute the required degree.
have identical terminations, but even-order filters require dif- The transmission zero frequencies are the frequencies that
ferent terminations, because there is no maximum power make Eq. (19) infinite:
transfer at 웆 ⫽ 0, since the gain is not maximum there. With
the impedance normalization shown, it is clear that the even- Cn (1/ωk ) = cos(n cos−1 (1/ωk )) = 0 )
order realizations have antimetrical structure (one side is the 1
dual of the other). The odd-order structures are symmetrical. ωk = π 1 + 2k
, k = 0, 1, . . ., n − 1 (23)
cos
2 n
THE INVERSE CHEBYSHEV LOW-PASS APPROXIMATION
The pole frequencies are found by solving Eq. (2) with F(s)
This normalized inverse Chebyshev approximation is the and P(s) given by Eq. (20):
most important member of the inverse polynomial class of ap-
proximations. It is conveniently obtained by using the charac-  s 2n  s 2n  j 2
teristic function obtained from E(s)E(−s) = (γ )2 + Cn (24)
j j s
F( jω) γ γ ωn
K( jω) = = = n (19) The roots of this equation are the solutions of
P( jω) Cn (1/ω) ω Cn (1/ω)
 j
where ⑀ and 웂 are given by Eqs. (10) and (11). The polynomi- Cn = ± jγ (25)
als F(s) and P(s) are then s

F (s) = γ (s/ j)n By observing the similarity of this equation to Eq. (14), the
(20) roots of E(s)E(⫺s) can be obtained as the complex inverses of
P(s) = (s/ j) Cn ( j/s)
n
the values given by Eq. (18), with ⑀ replaced by 1/(⑀웂). They
Ignoring ⫾j or ⫺1 multiplying factors in Eq. (20) and renor- lie on a curve that is not an ellipse. E(s) is constructed from
malizations, F(s) reduces to ⑀웂 sn, and P(s) to a Chebyshev the roots with negative real parts, which are distributed in
polynomial with all the terms positive and the coefficients in a pattern that resembles a circle shifted to the left side of
reverse order. The magnitude characteristic of this approxi- the origin.
mation is maximally flat at 웆 ⫽ 0, due to the n attenuation The similarity of the passband response to the Butter-
zeros at s ⫽ 0, and so is similar in the passband to a Butter- worth response makes the phase characteristics of the inverse
worth approximation. In the stopband, it presents a series of Chebyshev filters much closer to linear than those of the
transmission zeros at frequencies that are the inverse of the Chebyshev filters. The Qs of the poles are also significantly
roots of the corresponding Chebyshev polynomial. Between lower for the same gain specifications.
adjacent transmission zeros, there are gain maxima reaching
the magnitude of ⫺Amin dB. Without a renormalization, the
stopband starts at 1 rad/s, and the passband ends where the REALIZATION OF INVERSE CHEBYSHEV FILTERS
magnitude of the characteristic function, Eq. (19), reaches ⑀:
The realization based on LC doubly terminated ladder struc-
1 1 tures is also convenient for inverse Chebyshev filters for the
ω p = −1 =  −1
 (21) same reasons mentioned for the direct approximation. In this
Cn (γ ) cosh n1 cosh γ
case, the passband sensitivities are low due to the nth-order
attenuation zero at s ⫽ 0, which results in nullification of the
Odd-order filters present a single transmission zero at
first n derivatives of the filter gain in relation to all the reac-
infinity, and even-order filters end up with a constant gain
tive elements at s ⫽ 0 and keeps the gain errors small in
⫺Amin at 웆 ⫽ 앝. From Eqs. (1) and (19), the attenuation in
all the passband. Stopband errors are also small, because the
decibels for a normalized inverse Chebyshev approximation is
transmission zero frequencies depend only on simple LC se-
  2  ries or parallel resonant circuits. The usual structures used

A(ω) = 10 log 1 + (22) are shown in Fig. 4(b).
Cn (1/ω) Those realizations are possible only for the odd-order
cases, because those structures cannot realize the constant
The gains for some normalized inverse Chebyshev approxima- gain at infinity that occurs in the even-order approximations
tions are plotted in Fig. 5. A frequency scaling by the inverse (realizations with transformers or with negative elements are
of the factor given by Eq. (21) was applied to make the pass- possible). Even-order modified approximations can be ob-
band end at 웆 ⫽ 1. tained by using, instead of the Chebyshev polynomials, poly-
CHEBYSHEV FILTERS 271

Table 2. Normalized Chebyshev Filters with Amax ⴝ 1 dB


Polynomials E(s)
n a0 a1 a2 a3 a4 a5 a6 a7 a8 a9 a10
1 1.96523 1.00000
2 1.10251 1.09773 1.00000
3 0.49131 1.23841 0.98834 1.00000
4 0.27563 0.74262 1.45392 0.95281 1.00000
5 0.12283 0.58053 0.97440 1.68882 0.93682 1.00000
6 0.06891 0.30708 0.93935 1.20214 1.93082 0.92825 1.00000
7 0.03071 0.21367 0.54862 1.35754 1.42879 2.17608 0.92312 1.00000
8 0.01723 0.10734 0.44783 0.84682 1.83690 1.65516 2.42303 0.91981 1.00000
9 0.00768 0.07060 0.24419 0.78631 1.20161 2.37812 1.88148 2.67095 0.91755 1.00000
10 0.00431 0.03450 0.18245 0.45539 1.24449 1.61299 2.98151 2.10785 2.91947 0.91593 1.00000
Poles
n re/im 1 웆/Q 1 re/im 2 웆/Q 2 re/im 3 웆/Q 3 re/im 4 웆/Q 4 re/im 5 웆/Q 5
1 ⫺1.96523
2 ⫺0.54887 1.05000
0.89513 0.95652
3 ⫺0.24709 0.99710 ⫺0.49417
0.96600 2.01772
4 ⫺0.13954 0.99323 ⫺0.33687 0.52858
0.98338 3.55904 0.40733 0.78455
5 ⫺0.08946 0.99414 ⫺0.23421 0.65521 ⫺0.28949
0.99011 5.55644 0.61192 1.39879
6 ⫺0.06218 0.99536 ⫺0.16988 0.74681 ⫺0.23206 0.35314
0.99341 8.00369 0.72723 2.19802 0.26618 0.76087
7 ⫺0.04571 0.99633 ⫺0.12807 0.80837 ⫺0.18507 0.48005 ⫺0.20541
0.99528 10.89866 0.79816 3.15586 0.44294 1.29693
8 ⫺0.03501 0.99707 ⫺0.09970 0.85061 ⫺0.14920 0.58383 ⫺0.17600 0.26507
0.99645 14.24045 0.84475 4.26608 0.56444 1.95649 0.19821 0.75304
9 ⫺0.02767 0.99761 ⫺0.07967 0.88056 ⫺0.12205 0.66224 ⫺0.14972 0.37731 ⫺0.15933
0.99723 18.02865 0.87695 5.52663 0.65090 2.71289 0.34633 1.26004
10 ⫺0.02241 0.99803 ⫺0.06505 0.90245 ⫺0.10132 0.72148 ⫺0.12767 0.47606 ⫺0.14152 0.21214
0.99778 22.26303 0.90011 6.93669 0.71433 3.56051 0.45863 1.86449 0.15803 0.74950
Polynomials P(s)
n Multiplier a0
1 1.96523 1.00000
2 0.98261 1.00000
3 0.49131 1.00000
4 0.24565 1.00000
5 0.12283 1.00000
6 0.06141 1.00000
7 0.03071 1.00000
8 0.01535 1.00000
9 0.00768 1.00000
10 0.00384 1.00000
Doubly terminated LC ladder realizations
n Rg/Rl L/C1 L/C2 L/C3 L/C4 L/C5 L/C6 L/C7 L/C8 L/C9 L/C10
1 1.00000
1.00000 1.01769
2 1.63087 1.11716
0.61317 1.11716
3 1.00000 0.99410
1.00000 2.02359 2.02359
4 1.63087 1.73596
0.61317 1.28708 1.73596 1.28708
5 1.00000 1.09111
1.00000 2.13488 3.00092 1.09111 2.13488
6 1.63087 1.80069 1.87840 1.32113
0.61317 1.32113 1.87840 1.80069
7 1.00000 1.11151 1.17352 1.11151
1.00000 2.16656 3.09364 3.09364 2.16656
8 1.63087 1.82022 1.93073 1.90742 1.33325
0.61317 1.33325 1.90742 1.93073 1.82022
9 1.00000 1.11918 1.18967 1.18967 1.11918
1.00000 2.17972 3.12143 3.17463 3.12143 2.17972
10 1.63087 1.82874 1.94609 1.95541 1.91837 1.33890
0.61317 1.33890 1.91837 1.95541 1.94609 1.82874
272 CHEBYSHEV FILTERS

nomials obtained by the application, to the Chebyshev polyno- |T( jω)|dB


mials, of the Moebius transformation (4,6):
0 1
0 ω
x 2
− x2z1 kmaxπ
x →
2
; xz1 = cos (26) 10
1 − x2z1 2n 2
1

where kmax is the greatest odd integer that is less than the
filter order n. This transformation moves the pair of roots
–1
closest to the origin of an even-order Chebyshev polynomial
to the origin. If the resulting polynomials are used to generate
polynomial approximations, starting from Eq. (7), the results (a)
are filters with two attenuation zeros at the origin, which are |T( jω)|dB
realizable as doubly terminated ladder filters with equal ter- 1 100
minations, a convenience in passive realizations. If the same 0 ω
polynomials are used in inverse polynomial approximations, –1
starting from Eq. (19), the results are filters with two trans-
mission zeros at infinity, which now are realizable by doubly
terminated LC structures. The direct and inverse approxima- 1
tions obtained in this way have the same selectivity, slightly
smaller than in the original case.
Table 3 lists polynomials, poles, zeros, frequency and Q of 6
the poles, and LC doubly terminated realizations for some in-
verse Chebyshev filters. The filters were scaled in frequency
to make the passband end at 1 rad/s. The even-order realiza-
tions are obtained from modified approximations and are
listed separately in Table 4. The structures are a mix of the
two forms in Fig. 5(b). Note that some realizations are miss-
ing. These are cases where the zero-shifting technique for the
realization of LC doubly terminated ladder filters fails. For
inverse Chebyshev filters, and other inverse polynomial fil-
ters, there is a minimum value of Amin for each order that –100
makes the realization in this form possible (7). (b)

Figure 5. Passband gain (a) and stopband gain (b) for the first nor-
malized inverse Chebyshev approximations with Amax ⫽ 1 dB and
Amin ⫽ 50 dB. Observe the maximally flat passband and the uniform
Rg L2 Ln stopband ripple.

Rl Rl
C1 C3 Cn
OTHER SIMILAR APPROXIMATIONS
(a)
Different approximations with uniform passband or stopband
L2
ripple, somewhat less selective, can be generated by reducing
Rg the number or the amplitude of the oscillations in a Cheby-
shev-like polynomial and generating the approximations
Rl starting from Eqs. (7) or (19) numerically (8).
C1 C2 C3 Cn A particularly interesting case results if the last oscilla-
tions of the polynomial value end in 0 instead of ⫾1. This
creates double roots close to x ⫽ ⫾1 in the polynomial. In
Rg L1 L3 Ln
a polynomial approximation, the higher-frequency passband
minimum disappears, replaced by a second-order maximum
L2 close to the passband border. In an LC doubly terminated re-
Rl alization, the maximum power transfer at this frequency
causes the nullification of the first two derivatives of the gain
C2
in relation to the reactive elements, substantially reducing
the gain error at the passband border. In an inverse polyno-
(b) mial approximation, this causes the joining of the first two
Figure 4. LC doubly terminated ladder realizations for Chebyshev transmission zeros, as a double transmission zero, which in-
filters, in the direct form (a), and in the inverse form (b). These classi- creases the attenuation and reduces the error at the begin-
cal realizations continue to be the best prototypes for active realiza- ning of the stopband, allowing also symmetrical realizations
tions, due to their low sensitivity to errors in element values. for orders 5 and 7.
CHEBYSHEV FILTERS 273

Table 3. Normalized Inverse Chebyshev Filters with Amax ⴝ 1 dB and Amin ⴝ 50 dB


Polynomials E(s)
n a0 a1 a2 a3 a4 a5 a6 a7 a8 a9 a10
1 1.96523 1.00000
2 1.96838 1.98099 1.00000
3 2.01667 3.14909 2.51015 1.00000
4 2.19786 4.52937 4.90289 3.13118 1.00000
5 2.60322 6.42983 8.61345 7.26320 3.81151 1.00000
6 3.35081 9.35051 14.61162 14.91369 10.30744 4.54023 1.00000
7 4.64002 14.09440 24.72451 29.03373 24.18372 14.09633 5.30979 1.00000
8 6.82650 22.03426 42.29782 55.31092 52.89124 37.20009 18.68307 6.11268 1.00000
9 10.54882 35.60372 73.49954 104.6829 111.4815 90.07839 54.81844 24.10445 6.94337 1.00000
10 16.95789 59.19226 129.8094 198.2422 230.3472 207.4480 145.4877 77.89699 30.39330 7.79647 1.00000
Poles
n re/im 1 웆/Q 1 re/im 2 웆/Q 2 re/im 3 웆/Q 3 re/im 4 웆/Q 4 re/im 5 웆/Q 5
1 ⫺1.96523

2 ⫺0.99049 1.40299
0.99363 0.70823
3 ⫺0.61468 1.25481 ⫺1.28079
1.09395 1.02071
4 ⫺0.42297 1.18385 ⫺1.14262 1.25229
1.10571 1.39945 0.51249 0.54799
5 ⫺0.30648 1.13993 ⫺0.94418 1.23656 ⫺1.31018
1.09795 1.85969 0.79849 0.65483
6 ⫺0.23016 1.10962 ⫺0.75398 1.21506 ⫺1.28598 1.35770
1.08549 2.41056 0.95283 0.80576 0.43545 0.52789
7 ⫺0.17794 1.08768 ⫺0.59638 1.18959 ⫺1.14085 1.36871 ⫺1.47946
1.07303 3.05632 1.02930 0.99735 0.75619 0.59986
8 ⫺0.47425 1.16431 ⫺0.14101 1.07137 ⫺0.95398 1.34983 ⫺1.48710 1.55173
1.06334 1.22752 1.06205 3.79891 0.95496 0.70747 0.44316 0.52173
9 ⫺0.38185 1.14152 ⫺0.77805 1.31643 ⫺0.11413 1.05899 ⫺1.34453 1.56247 ⫺1.70623
1.07575 1.49471 1.06189 0.84597 1.05282 4.63922 0.79596 0.58105
10 ⫺0.63221 1.27960 ⫺0.31203 1.12193 ⫺0.09407 1.04944 ⫺1.13939 1.53032 ⫺1.72054 1.78611
1.11252 1.01201 1.07766 1.79777 1.04521 5.57772 1.02161 0.67155 0.47954 0.51906
Polynomials P(s)
n Multiplier a0 a2 a4 a6 a8 a10
1 1.96523 1.00000
2 0.00316 622.4562 1.00000
3 0.05144 39.20309 1.00000
4 0.00316 695.0228 74.56663 1.00000
5 0.03477 74.86195 19.34709 1.00000
6 0.00316 1059.620 494.9652 57.80151 1.00000
7 0.03463 133.9940 95.81988 19.57753 1.00000
8 0.00316 2158.727 2130.497 657.0734 64.84805 1.00000
9 0.03786 278.6600 354.3952 150.2380 23.58892 1.00000
10 0.00316 5362.556 8380.916 4584.365 1023.530 79.98165 1.00000
Zeros
n 웆1 웆2 웆3 웆4 웆5
1
2 24.94907
3 6.26124
4 7.97788 3.30455
5 3.74162 2.31245
6 6.92368 2.53424 1.85520
7 3.60546 2.00088 1.60458
8 7.29689 2.56233 1.71209 1.45144
9 3.88896 2.06927 1.53587 1.35062
10 8.08496 2.78589 1.78865 1.41948 1.28053
LC doubly terminated realizations
n Rg/Rl L/C 1 L/C 2 L/C 3 L/C 4 L/C 5 L/C 6 L/C 7 L/C 8 L/C 9 L/C 10
1 1.00000
1.00000 1.01769
3 1.00000 1.56153
1.00000 0.78077 0.01634 0.78077
5 1.00000 1.16364 1.30631
1.00000 0.37813 0.16071 1.62010 0.05468 0.47172
7 1.00000 0.72897 1.34370 0.96491
1.00000 0.09574 0.34265 1.32044 0.28905 1.32059 0.07972 0.30081
274 CHEBYSHEV FILTERS

Table 4. Normalized Even-order Modified Inverse Chebyshev Filters with


Two Transmission Zeros at Infinity, with Amax ⴝ 1 dB and Amin ⴝ 50 dB
Polynomials E(s)
n a0 a1 a2 a3 a4 a5 a6 a7 a8 a9 a10
2 1.96523 1.98254 1.00000
4 2.12934 4.47598 4.86847 3.12041 1.00000
6 3.14547 9.02141 14.23655 14.65051 10.18872 4.51414 1.00000
8 6.32795 20.98707 40.68275 53.69811 51.69862 36.58972 18.48009 6.07949 1.00000
10 15.69992 56.17036 124.1801 191.3464 223.6989 202.6490 142.8395 76.84994 30.12162 7.76165 1.00000
Poles
n re/im 1 웆/Q 1 re/im 2 웆/Q 2 re/im 3 웆/Q 3 re/im 4 웆/Q 4 re/im 5 웆/Q 5
2 ⫺0.99127 1.40187
0.99127 0.70711
4 ⫺0.43134 1.18419 ⫺1.12886 1.23225
1.10284 1.37268 0.49409 0.54580
6 ⫺0.23626 1.11107 ⫺0.76275 1.20632 ⫺1.25806 1.32324
1.08566 2.35141 0.93457 0.79077 0.41016 0.52590
8 ⫺0.14421 1.07247 ⫺0.48399 1.16315 ⫺0.96075 1.33672 ⫺1.45079 1.50858
1.06273 3.71848 1.05767 1.20162 0.92940 0.69566 0.41357 0.51992
10 ⫺0.64341 1.27784 ⫺0.31781 1.12245 ⫺0.09573 1.05011 ⫺1.14584 1.51514 ⫺1.67803 1.73625
1.10404 0.99303 1.07652 1.76590 1.04574 5.48452 0.99132 0.66115 0.44586 0.51735
Polynomials P(s)
n Multiplier a0 a2 a4 a6 a8
2 1.96523 1.00000
4 0.16412 12.97454 1.00000
6 0.11931 26.36278 10.89186 1.00000
8 0.13145 48.13911 44.73326 12.54437 1.00000
10 0.16119 97.39855 147.0191 76.50032 15.68797 1.00000
Zeros
n 웆1 웆2 웆3 웆4
2
4 3.60202
6 2.69467 1.90542
8 2.71078 1.74464 1.46706
10 2.94484 1.82001 1.43081 1.28694
LC doubly terminated ladder realizations
n Rg/Rl L/C 1 L/C 2 L/C 3 L/C 4 L/C 5 L/C 6 L/C 7 L/C 8
2 1.00000 1.00881
1.00000 1.00881
4 1.00000 1.51207 0.05275 0.58997
1.00000 0.64094 1.46110
6 1.00000 0.87386 1.67233 0.13065 0.32187
1.00000 0.17880 0.31519 1.63514 1.05413
8 1.00000 0.67581 0.32023 1.34317 0.38303 1.12998 0.18178 0.16760
1.00000 0.32898 1.02594 1.21303 0.74862

Other variations arise from the shifting of roots to the ori- BIBLIOGRAPHY
gin. This is also best done numerically. Odd- (even-) order
polynomial approximations with any odd (even) number of at- 1. A. Antoniou, Digital Filters: Analysis, Design, and Applications,
tenuation zeros at 웆 ⫽ 0, up to the approximation’s order (in New York: McGraw-Hill, 1993.
the last case resulting in a Butterworth approximation), can 2. P. L. Chebyshev, Théorie des mécanismes connus sous le nom de
be generated. The same polynomials generate inverse polyno- parallelogrammes, Oeuvres, Vol. I, St. Petersburg, 1899.
mial approximations with any odd (even) number of transmis- 3. M. E. Van Valkenburg, Analog Filter Design, New York: Holt,
sion zeros at infinity. Rinehart and Winston, 1982.
In all cases, the Q of the poles is reduced and the phase is 4. R. W. Daniels, Approximation Methods for Electronic Filter De-
closer to linear. Similar techniques can also be applied to el- sign, New York: McGraw-Hill, 1974.
liptic approximations. For example, a low-pass elliptic ap- 5. H. J. Orchard, Inductorless filters, Electronics Lett., 2: 224–225,
proximation can be transformed into a Chebyshev approxima- 1996.
tion by the shifting of all the transmission zeros to infinity, 6. G. C. Temes and J. W. LaPatra, Circuit Synthesis and Design,
or into an inverse Chebyshev approximation by shifting all Tokyo: McGraw-Hill Kogakusha, 1977.
the attenuation zeros to the origin. There are many variations 7. L. Weinberg, Network Analysis and Synthesis, New York:
between these extremes. McGraw-Hill, 1962.
CHEMICAL LASERS 275

8. A. C. M. de Queiroz and L. P. Calôba, An approximation algo-


rithm for irregular-ripple filters, IEEE International Telecommu-
nications Symposium, Rio de Janeiro, Brazil, pp. 430–433, Sep-
tember 1990.

ANTÔNIO CARLOS M. DE QUEIROZ


Federal University of Rio de Janeiro

CHEMICAL INDUSTRY. See PETROLEUM INDUSTRY.


398 CIRCUIT STABILITY

CIRCUIT STABILITY

Stability is a property of well-behaved circuits and systems.


Typically, stability is discussed in terms of feedback systems.
Well-established techniques, such as Nyquist plots, Bode dia-
grams, and root locus plots are available for studying the sta-
bility of feedback systems. Electric circuits can be represented
as feedback systems. Nyquist plots, Bode diagrams, and root
locus plots can then be used to study the stability of electric
circuits.

J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
CIRCUIT STABILITY 399

FEEDBACK SYSTEMS AND STABILITY vR(s) = –A(s)B(s) vT(s) = 1

Consider a feedback system such as the one shown in Fig. 1.


This feedback system consists of three parts: a forward block,
Summer Foward block
sometimes called the ‘‘plant,’’ a feedback block, sometimes
+
called the ‘‘controller,’’ and a summer. The signals vi(t) and vi(s) = 0 + A(s)
vo(t) are the input and output of the feedback system. A(s) is –
the transfer function of the forward block and B(s) is the
transfer function of the feedback block. The summer subtracts B(s)
the output of the feedback block from vi(t). The transfer func-
tion of the feedback system can be expressed in terms of A(s) Feedback block
and B(s) as Figure 2. Measuring the return difference: The difference between
the test input signal, VT(s), and the test output signal, VR(s), is the
Vo (s) A(s) return difference.
T (s) = = (1)
Vi (s) 1 + A(s)B(s)

Suppose that the transfer functions A(s) and B(s) can each be
expressed as ratios of polynomials in s. Then of the feedback system. Figure 2 shows how the return differ-
ence can be measured. First, the input, vi(t), is set to zero.
NA (s) NB (s) Next, the forward path of the feedback system is broken.
A(s) = and B(s) = (2) Figure 2 shows how a test signal, VT(s)  1, is applied and
DA (s) DB (s)
the response, VR(s)  A(s)B(s), is measured. The difference
between the test signal and its response is the return dif-
where NA(s), DA(s), NB(s), and DB(s) are polynomials in s. Sub- ference.
stituting these expressions into Eq. (1) gives The calculation
NA (s) return difference = 1 +A(s)B(s) =
DA (s) NA (s)DB (s) N(s) NA (s) NB (s) DA (s)DB (s) +NA (s)NB (s)
T (s) = = = 1+ =
NA (s) NB (s) DA (s)DB (s) + NA (s)NB (s) D(s) DA (s) DB (s) DA (s)DB (s)
1+
DA (s) DB (s)
(3)
shows that

where the numerator and denominator of T(s), N(s) and D(s), 1. The zeros of 1  A(s)B(s) are equal to the poles of T(s).
are both polynomials in s. The values of s for which N(s)  0
2. The poles of 1  A(s)B(s) are equal to the poles of
are called the zeros of T(s) and the values of s that satisfy
A(s)B(s).
D(s)  0 are called the poles of T(s).
Stability is a property of well-behaved systems. For exam-
ple, a stable system will produce bounded outputs whenever Consider a feedback system of the form shown in Fig. 1
its input is bounded. Stability can be determined from the with
poles of a system. The values of the poles of a feedback system
s+5 3s
will, in general, be complex numbers. A feedback system is A(s) = and B(s) = (5)
s2 − 4s + 1 s+3
stable when all of its poles have negative real parts.
The equation
The poles of the forward block are the values of s that satisfy
s2  4s  1  0 (that is, s1  3.73 and s2  0.26). In this case,
1 + A(s)B(s) = 0 (4)
both poles have real, rather than complex, values. The for-
ward block would be stable if both poles were negative. They
is called the characteristic equation of the feedback system. are not, so the forward block is itself an unstable system. To
The values of s that satisfy the characteristic equation are see that this unstable system is not well behaved, consider its
poles of the feedback system. The left-hand side of the charac- step response (1,2). The step response of a system is its zero
teristic equation, 1  A(s)B(s), is called the return difference state response to a step input. In other words, suppose the
input to the forward block was zero for a very long time. At
some particular time, the value of input suddenly becomes
Summer Foward block
equal to 1 and remains equal to 1. The response of the system
+ is called the step response. The step response can be calcu-
vi(t) + A(s) vo(t) lated by taking the inverse Laplace transform of A(s)/s. In
Input – Output this example, the step response of the forward block is
signal signal
B(s) step response = 5 + 0.675e3.73t − 5.675e0.27t

Feedback block
As time increases, the exponential terms of the step response
Figure 1. A feedback system. get very, very large. Theoretically, they increase without
400 CIRCUIT STABILITY

bound. In practice, they increase until the system saturates ber of encirclements of the point 1  j0 by the curve in the
or breaks. This is typical of the undesirable behavior of an A(s)B(s)-plane. Let
unstable system.
According to Eq. (3), the transfer function of the whole N = the number of encirclements, in the clockwise direction,
feedback system is of −1 + j0 by the closed curve in the A(s)B(s)-plane
Z = The number of poles of T (s) in the right half of the s-
s+5 plane
P = The number of poles of A(s)B(s) in the right half of the
T (s) = s2− 4s + 1
s+5 3s s-plane
1+ 2 ×
s − 4s + 1 s + 3
The Nyquist stability criterion states that N, Z, and P are
(s + 5)(s + 3) s2 + 8s + 15 related by
= 2 = 3
(s − 4s + 1)(s + 3) + (s + 5)(3s) s + 2s2 + 4s + 3
Z=P+N
The poles of the feedback system are the values of s that sat-
isfy s3  2s2  4s  3  0—that is, s1  1, s2  0.5  A stable feedback system will not have any poles in the right
j1.66 and s3  0.5  j1.66. The real part of each of these half of the s-plane so Z  0 indicates a stable system.
three poles is negative. Since all of the poles of the feedback For example, suppose the forward and feedback blocks of
system have negative real parts, the feedback system is sta- the feedback system shown in Fig. 1 have the transfer func-
ble. To see that this stable system is well behaved, consider tions described by Eq. (5). Then
its step response. This step response can be calculated by tak-
ing the inverse Laplace transform of T(s)/s. In this example, 3s2 + 15s 3s2 + 15s
A(s)B(s) = =
the step response of the feedback system is s3 − s2 − 11s + 3 (s − 3.73)(s − 0.26)(s + 3)
(7)

step response = 5 − 11.09e−t cos( 2t + 63◦ ) Figure 3 shows the Nyquist plot for this feedback system.
This plot was obtained using the MATLAB commands
In contrast to the previous case, as time increases et becomes
num=[0 3
15 0]; %Coefficients of the
zero so the second term of the step response dies out. This
numerator of A(s)B(s)
stable system does not exhibit the undesirable behavior typi- den=[1 -1 -11 3]; %Coefficients of the
cal of unstable systems. denominator of A(s)B(s)
nyquist (num,den)

STABILITY CRITERIA Since A(s)B(s) has two poles in the right half of the s-plane,
P  2. The Nyquist plot shows two counterclockwise encircle-
Frequently, the information about a feedback system that is ments of 1  j0 so N  2. Then Z  P  N  0, indicating
most readily available is the transfer functions of the forward that the feedback system is stable.
and feedback blocks, A(s) and B(s). Stability criteria are tools Feedback systems need to be stable in spite of variations
for determining if a feedback system is stable by examining in the transfer functions of the forward and feedback blocks.
The gain and phase margins of a feedback system give an
A(s) and B(s) directly, without first calculating T(s) and then
indication of how much A(s) and B(s) can change without
calculating its poles—that is, the roots of the denominator of
causing the system to become unstable. The gain and phase
T(s). Two stability criteria will be discussed here: the Nyquist
stability criteria and the use of Bode diagrams to determine
the gain and phase margin.
0.8
The Nyquist stability criterion is based on a theorem in the
theory of functions of a complex variable (1,3,4). This stability 0.6
criterion requires a contour mapping of a closed curve in the
s-plane using the function A(s)B(s). The closed contour in the 0.4
s-plane must enclose the right half of the s-plane and must
not pass through any poles or zeros of A(s)B(s). The result of 0.2
Image axis

this mapping is a closed contour in the A(s)B(s)-plane. Fortu-


0
nately, the computer program MATLAB (5,6) can be used to
generate an appropriate curve in the s-plane and do this –0.2
mapping.
Rewriting the characteristic equation, Eq. (4), as –0.4

–0.6
A(s)B(s) = −1 (6)
–0.8
suggests that the relationship of the closed contour in the –1.4 –1.2 –1 –0.8 –0.6 –0.4 –0.2 0
Real axis
A(s)B(s)-plane to the point 1  j0 is important. Indeed, this
is the case. The Nyquist stability criterion involves the num- Figure 3. A Nyquist plot produced using MATLAB.
CIRCUIT STABILITY 401

margins can be determined using Bode diagrams. To obtain


the Bode diagrams, first let s  j웆 so that Eq. (6) becomes
A circuit consisting of
resistors, capacitors, and +
A( jω)B( jω) = −1 +
vi(t) op amps RL vo(t)

The value of A( j웆)B( j웆) will, in general, be complex. –
Two Bode diagrams are used to determine the gain and
phase margins. The magnitude Bode diagram is a plot
of 20 log[兩A( j웆)B( j웆)兩] versus 웆. The units of Figure 5. A circuit that is to be represented as a feedback system.
20 log[兩A( j웆)B( j웆)兩] are decibels. The abbreviation for decibel
is dB. The magnitude Bode diagram is sometimes referred to
as a plot of the magnitude of A( j웆)B( j웆), in dB, versus 웆. The
The gain margin of the feedback system is
phase Bode diagram is a plot of the angle of A( j웆)B( j웆) ver-
sus 웆. 1
It is necessary to identify two frequencies: 웆g, the gain gain margin = (12)
A( jωp ) B( jωp )
crossover frequency, and 웆p, the phase crossover frequency.
To do so, first take the magnitude of both sides of Eq. (7) to
obtain The phase margin is

phase margin = 180◦ − (\ A( jωg ) + \ B( jωg )) (13)


A( jω)B( jω) = 1 (8)

Converting to decibels gives The gain and phase margins can be easily calculated using
MATLAB. For example, suppose the forward and feedback
20 log[ A( jω)B( jω) ] = 0 (9) blocks of the feedback system shown in Fig. 1 have the trans-
fer functions described by Eq. (3). Figure 4 shows the Bode
diagrams for this feedback system. These plots were obtained
Equation (8) or (9) is used to identify a frequency, 웆g, the gain
using the MATLAB commands
crossover frequency. That is, 웆g is the frequency at which
num=[0 3
15 0]; %Coefficients of the
A( jωg ) B( jωg ) = 1 numerator of A(s)B(s)
den=[1 -1 -11 3]; %Coefficients of the
Next, take the angle of both sides of Eq. (4) to denominator of A(s)B(s)
margin (num,den)
\(A( jω)B( jω)) = 180◦ (10)
MATLAB has labeled the Bode diagrams in Fig. 4 to show the
gain and phase margins. The gain margin of 1.331 dB indi-
Equation (10) is used to identify a frequency, 웆p, the gain
cates that a decrease in 兩A(s)B(s)兩 of 1.331 dB or, equivalently,
crossover frequency. That is, 웆p is the frequency at which
a decrease in gain by a factor of 0.858, at the frequency 웆p 
\ A( jωp ) + \ B( jωp ) = 180◦ (11) 1.378 rad/s, would bring the system the boundary of instabil-
ity. Similarly, the phase margin of 11.6 indicates that an in-
crease in the angle of A(s)B(s) of 11.6, at the frequency 웆g 
2.247 rad/s, would bring the system the boundary of insta-
Gm = –1.311 dB, ( = 1.378) Pm = 11.62 ( = 2.247) bility.
When the transfer functions A(s) and B(s) have no poles or
20
zeros in the right half of the s-plane, then the gain and phase
margins must both be positive in order for the system to be
Gain (dB)

–20

–40
10–2 10–1 100 101 102
+
Frequency (rad/s) NB
vi(t) + RL vo(t)

0

Phase (deg)

–90
–180
The rest of –
–270
the circuit +
–360
10–2 10–1 100 101 102
Frequency (rad/s) an op amp

Figure 4. Bode plot used to determine the phase and gain margins. Figure 6. Identifying the subcircuit NB by separating an op amp
The plots were produced using MATLAB. from the rest of the circuit.
402 CIRCUIT STABILITY

model of the op amp indicates that the op amp input and out-
put voltages are related by
+
NB
+ VB (s) = K(s)VA (s) (14)
vi(s) – RL vo(s)

The network NB can be represented by the equation
    
Vo (s) T11 (s) T12 (s) Vi (s)
= (15)
VA (s) T21 (s) T22 (s) VB (s)
+

vA(s) + v (s) = K(s) v (s)


– B A Combining Eqs. (14) and (15) yields the transfer function of
– the circuit

Vo (s) T (s)K(s)T21 (s)


T (s) = = T11 (s) + 12 (16)
Vi (s) 1 − K(s)T22 (s)
Figure 7. Replacing the op amp with a model of the op amp.
or

stable. As a rule of thumb (7), the gain margin should be Vo (s) T (s)(1 + K(s)T22 (s)) + T12 (s)K(s)T21 (s)
greater than 6 dB and the phase margin should be between T (s) = = 11
Vi (s) 1 + K(s)T22 (s)
30 and 60. These gain and phase margins provide some pro-
tection against changes in A(s) or B(s).
Equation (15) suggests a procedure that can be used to
measure or calculate the transfer functions T11(s), T12(s),
STABILITY OF LINEAR CIRCUITS T21(s, and T22(s). For example, Eq. (15) says that when Vi(s) 
1 and VB(s)  0, then Vo(s)  T11(s) and VA(s)  T21(s). Figure
The Nyquist criterion and the gain and phase margin can be 8 illustrates this procedure for determining T11(s) and T21(s).
used to investigate the stability of linear circuits. To do so A short circuit is used to make VB(s)  0 and the voltage
requires that the parts of the circuit corresponding to the for- source voltage is set to 1 so that Vi(s)  1. Under these condi-
ward block and to the feedback block be identified. After this tions the voltages Vo(s) and VA(s) will be equal to the transfer
identification is made, the transfer functions A(s) and B(s) can functions T11(s) and T21(s). Similarly, when Vi(s)  0 and
be calculated. VB(s)  1, then Vo(s)  T12(s) and VA(s)  T22(s). Figure 9 illus-
Figures 5–8 illustrate a procedure for finding A(s) and trates the procedure for determining T12(s) and T22(s). A short
B(s) (8). For concreteness, consider a circuit consisting of re- circuit is used to make Vi(s)  0 and the voltage source volt-
sistors, capacitors, and op amps. Suppose further that the in- age is set to 1 so that VB1(s)  1. Under these conditions the
put and outputs of this circuit are voltages. Such a circuit is voltages Vo(s) and VA(s) will be equal to the transfer functions
shown in Fig. 5. In Fig. 6 one of the op amps has been sepa- T11(s) and T21(s).
rated from the rest of the circuit. This is done to identify the Next, consider the feedback system shown in Fig. 10. (The
subcircuit NB. The op amp will correspond to the forward feedback system shown in Fig. 1 is part, but not all, of the
block of the feedback system while NB will contain the feed- feedback system shown in Fig. 10. When D(s)  0, C1(s)  1
back block. NB will be used to calculate B(s). In Fig. 7, the op and C2(s)  1; then Fig. 10 reduces to Fig. 1. Considering the
amp has been replaced by a model of the op amp (2). This system shown in Fig. 10, rather than the system shown in

+ +
NB NB
+ Vo(s) = Vo(s) =
Vi(s) = 1 – RL Vi(s) = 0 RL T12(s)
T11(s)
– –

+ +

VA(s) = T21(s) VB(s) = 0 VA(s) = T22(s) + VB(s) = 1



– –

Figure 8. The subcircuit NB is used to calculate T12(s) and T22(s). Figure 9. The subcircuit NB is used to calculate T11(s) and T21(s).
CIRCUIT STABILITY 403

D(s)

+
+ +
vi(t) C1(s) + A(s) C2(s) + vo(t)

B(s) Figure 10. A feedback system that corresponds to


a linear system.

Fig. 1, avoids excluding circuits for which D(s) ⬆ 0, C1(s) ⬆ 1, As an example, consider the Sallen–Key bandpass filter (9)
or C2(s) ⬆ 1.) The transfer function of this feedback system is shown in Fig. 11. The transfer function of this filter is

Vo (s) C (s)A(s)C2 (s) Vo (s) 5460s


T (s) = = D(s) + 1 (17) T (s) = = 2 (19)
Vi (s) 1 + A(s)B(s) Vi (s) s + 199s + 4 × 106

or The first step toward identifying A(s) and B(s) is to separate


the op amp from the rest of the circuit, as shown in Fig. 12.
Vo (s) D(s)(1 + A(s)B(s)) + C1 (s)A(s)C2 (s) Separating the op amp from the rest of the circuit identifies
T (s) = = the subcircuit NB. Next, NB is used to calculate the transfer
Vi (s) 1 + A(s)B(s)
functions T11(s), T12(s), T21(s), and T22(s). Figure 13 corresponds
to Fig. 8 and shows how T12(s) and T22(s) are calculated. Anal-
Comparing Eqs. (16) and (17) shows that
ysis of the circuit shown in Fig. 13 gives

A(s) = −K(s) (18a)


0.259s2 + 51.6s + 1.04 × 106
B(s) = T22 (s) (18b) T12 (s) = 1 and T22 (s) = (20)
s2 + 5660s + 4 × 106
C1 (s) = T12 (s)
C2 (s) = T21 (s) (The computer program ELab, Ref. 10, provides an alterna-
D(s) = T11 (s) tive to doing this analysis by hand. ELab will calculate the
transfer function of a network in the form shown in Eq. (16)—
that is, as a symbolic function of s. ELab is free and can be
Finally, with Eqs. (18a) and (18b), the identification of A(s) downloaded from http://sunspot.ece.clarkson.edu:1050/
and B(s) is complete. In summary, 앑svoboda/software.html on the World Wide Web.)
Figure 14 corresponds to Fig. 9 and shows how T11(s) and
1. The circuit is separated into two parts: an op amp and T21(s) are calculated. Analysis of the circuit shown in Fig. 14
NB, the rest of the circuit. gives
2. A(s) is open-loop gain of the op amp, as shown in Fig. 7.
−1410s
3. B(s) is determined from the subcircuit NB, as shown in T11 (s) = 0 and T21 (s) = (21)
Fig. 9. s2 + 5660s + 4 × 106

R3 R1 R3 +
C2 vi(t) + R4
– vo(t)
+ C2

R1 – +
vi(t) +
– vo(t) R2 R5
R4 C1
––

C1 R2

R5

+
Rb

An op amp
Figure 11. A Sallen-Key bandpass filter. R1  R2  R3  R5  7.07
k, R4  20.22 k, and C1  C2  0.1 애F. Figure 12. Identifying the subcircuit NB by separating an op amp
from the rest of the circuit.
404 CIRCUIT STABILITY

example, when the op amp is a 애A741 op amp, then Ao 


200,000 and B  2앟 ⴱ 106 rad/s, so
R1 R3 +
Vi(s) = 1 + R4
– C2 Vo(s) = T11(s) 200, 000
– K(s) = −
s + 31.4
C1 R2 R5
Equation (18) indicates that A(s)  K(s) and B(s) 
T22(s), so in this example

200, 000
 s2 + 51.6s + 1.04 × 106 
A(s) = and B(s) = 0.259
s + 31.4 s2 + 5600s + 4 × 106
+
NB
To calculate the phase and gain margins of this filter, first
VA(s) = T21(s) VB(s) = 0 calculate
_
51, 800(s2 + 51.6s + 1.04 × 106 )
A(s)B(s) =
s3 + 5974s2 + 5777240s + 1246 × 106
Figure 13. The subcircuit NB1 is used to calculate T11(s) and T21(s).
Next, the MATLAB commands
num=20000*[0 0.259 51.6 1040000];
Substituting Eqs. (20) and (21) into Eq. (16) gives %Numerator Coefficients
 −1410s
 den=[1 5974 5777240 1256*10^6];
%Denominator Coefficients
K(s)
s2 + 5660s + 4 × 106 margin(num,den)
T (s) =  0.259s2 + 51.6s + 1.04 × 106  (22)
1 − K(s) are used to produce the Bode diagram shown in Fig. 15. Fig-
s2 + 5660s + 4 × 106 ure 15 shows that the Sallen–Key filter will have an infinite
gain margin and a phase margin of 76.5 when a 애A741 op
When the op amp is modeled as an ideal op amp, K(s) 씮 앝 amp is used.
and Eq. (22) reduces to Eq. (19). This is reassuring but only
confirms what was already known. Suppose that a more accu-
OSCILLATORS
rate model of the op amp is used. A frequently used op amp
model (2) represents the gain of the op amp as
Oscillators are circuits that are used to generate a sinusoidal
Ao output voltage or current. Typically, oscillators have no input.
K(s) = − (23) The sinusoidal output is generated by the circuit itself. This
B
s+ section presents the requirements that a circuit must satisfy
Ao
if it is to function as an oscillator and shows how these re-
quirements can be used to design the oscillator.
where Ao is the dc gain of the op amp and B is the gain-band-
To begin, recall that the characteristic equation of a circuit
width product of the op amp (2). Both Ao and B are readily
is
available from manufacturers specifications of op amps. For
1 + A(s)B(s) = 0

Suppose this equation is satisfied by a value of s of the form


s  0  j웆o. Then
R1 R3 +

Vi(s) = 0 R4 A( jωo )B( jωo ) = −1 = 1e j180 (24)
Vo(s) = T12(s)
C2

In this case, the steady-state response of the circuit will con-
R5 tain a sustained sinusoid at the frequency 웆o (11). In other
C1 R2 words, Eq. (24) indicates that the circuit will function as an
oscillator with frequency 웆o when A( j웆o)B( j웆o) has a magni-
tude equal to 1 and a phase angle of 180.
As an example, consider using Eq. (24) to design the Wien-
NB bridge oscillator, shown in Fig. 16, to oscillate at 웆o  1000
+ rad/s. The first step is to identify A(s) and B(s) using the pro-
VA (s) = T22(s) + V (s) = 1
B
– cedure described in the previous section. In Fig. 17 the ampli-
– fier is separated from the rest of the network to identify the
subcircuit NB. Also, from Eqs. (14) and (18),

Figure 14. The subcircuit NB is used to calculate T12(s) and T22(s). A(s) = −K
CIRCUIT STABILITY 405

Gm = Inf dB, () = (NaN) Pm = 76.51 () = (1961)


50
Gain (dB)

–50
101 102 103 104 105

Frequency (rad/s)
0

–90
Phase (deg)

–180

–270

–360 Figure 15. The Bode diagrams used to determine the


101 102 103 104 105 phase and gain margins of the Sallen–Key bandpass
Frequency (rad/s) filter.

Next, the subcircuit NB is used to determine B(s)  T22(s), as


R C shown in Fig. 18. From Fig. 18 it is seen that
1
∗R
Cs
1
K +R
+ T22 (s) = Cs =
1
 
∗R  
1
RL Cs 1   1
R+
R C vo(t)
1
+ R+
Cs 1+ R+
1
 Cs1

+R Cs

Cs R∗
Cs

= 1
1
 1
= 1
1
Figure 16. A Wien-bridge oscillator. 1+ R+ Cs + 3 + RCs +
Cs R RCs

R C
R C

+
+
R C R C
Vo(s) Vo(s) = T12(s)
– –

NB NB
+
K
+ + VA(s) = T22(s)
VA(s) VB(s) – +
– VB(s) = 1
– –

Figure 17. The amplifier is separated from the rest of the Wien- Figure 18. The subcircuit NB is used to calculate B(s)  T22(s) for
bridge oscillator to identify the subcircuit NB. the Wien-bridge oscillator.
406 CIRCUIT STABILITY

so 6

−K
A(s)B(s) = 4
1
3 + RCs +
RCs
2
Now let s  0  j웆o to get

Imag axis
−K 0
A( jωo )B( jωo ) = (25)
1
3 + jωo RC − j
ωo RC –2

The phase angle of A( j웆o)B( j웆o) must be 180 if the circuit is


to function as an oscillator. That requires –4

1 1
jωo RC − j = 0 ⇒ ωo = (26) –6
ωo RC RC –6 –4 –2 0 2 4 6
Real axis
Oscillation also requires that the magnitude of A( j웆o)B( j웆o)
Figure 19. A root locus plot produced using MATLAB. The poles of
be equal to 1. After substituting Eq. (26) into Eq. (25), this
A(s) are marked by x’s and the zeros of A(s) are marked by o’s. As K
requirement reduces to increases from zero to infinity, the poles of T(s) migrate from the poles
of A(s) to the zeros of A(s) along the paths indicated by solid lines.
K=3

That is, the amplifier gain must be set to 3. Design of the


oscillator is completed by picking values of R and C to make
pose that the forward and feedback blocks in Fig. 1 are de-
웆o  1000 rad/s (e.g., R  10 k and C  0.1 애F).
scribed by

THE ROOT LOCUS s(s − 2) s2 − 2s


A(s) = = 3 and B(s) = K
(s + 1)(s + 2)(s + 3) s + 6s2 + 11s + 6
Frequently the performance of a feedback system is adjusted
by changing the value of a gain. For example, consider the The root locus plot for this system is obtained using the
feedback system shown in Fig. 1 when MATLAB (5,6) commands

NA (s) num=([0 1 -2 0]);


A(s) = and B(s) = K (27) den=([1 6 11 6]);
DA (s)
rlocus(num, den)
In this case, A(s) is the ratio of two polynomials in s and This root locus plot is shown in Fig. 19. After the root locus
B(s) is the gain that is used to adjust the system. The transfer has been plotted, the MATLAB command
function of the feedback system is
rlocfind(num, den)
NA (s) N(s) can be used to find the value of the gain K corresponding to
T (s) = = (28)
DA (s) + KNA (s) D(s) any point on the root locus. For example, when this command
is given and the cursor is placed on the point where the locus
The poles of feedback system are the roots of the polynomial crosses the positive imaginary axis, MATLAB indicates that

D(s) = DA (s) + KNA (s) (29)

Suppose that the gain K can be adjusted to any value between x


0 and 앝. Consider the extreme values of K. When K 0,
D(s)  DA(s) so the roots of D(s) are the same as the roots of
DA(s). When K  앝, DA(s) is negligible compared to KNA(s).
Therefore D(s)  KNA(s) and the roots of D(s) are the same as +
the roots of NA(s). Notice that the roots of DA(s) are the poles
of A(s) and the roots of NA(s) are the zeros of A(s). As K varies +
vi(t) vo(t)
from 0 and 앝, the poles of T(s) start at the poles of A(s) and –
migrate to the zeros of A(s). The root locus is a plot of the –
paths that the poles of T(s) take as they move across the s-
plane from the poles of A(s) to the zeros of A(s).
A set of rules for constructing root locus plots by hand are Figure 20. A single device is separated from the rest of the network.
available (1,4,7,13). Fortunately, computer software for con- The parameter associated with this device is called x. The transfer
structing root locus plots is also available. For example, sup- function of the network will be a bilinear function of x.
CIRCUIT STABILITY 407

× 104 then the transfer function of this Sallen–Key filter is


1

0.8 K(1414s)
T (s) =
s2 + (4 − K)(1414s) + 4 × 106
0.6 (32)
K(1414s)
= 2
0.4 (s + 5656s + 4 × 106 ) + K(−1414s)

0.2
As expected, this transfer function is a bilinear function the
Imag axis

0 gain K. Comparing Eqs. (30) and (32) shows that E(s)  0,


F(s)  1414s, G(s)  s2  5656s  4  105, and H(s) 
–0.2 1414s. The root locus describing the poles of the filter is
–0.4 obtained using the MATLAB commands

–0.6 G=([1 5656 4*10^6]);


H=([0 -1414 0]);
–0.8 rlocus(H,G)
–1 Figure 21 shows the resulting root locus plot. The poles move
–1 –0.5 0 0.5 1
Real axis × 104
into the right half of the s-plane, and the filter becomes unsta-
ble when K  4.
Figure 21. This root locus plot shows that the poles of the Sallen–
Key bandpass filter move into the right of the s-plane as the gain in-
creases. BIBLIOGRAPHY

1. R. C. Dorf and R. H. Bishop, Modern Control Systems, 7th ed.,


Reading, MA: Addison-Wesley, 1995.
gain corresponding to the point 0.0046  j0.7214 is K 
5.2678. For gains larger than 5.2678, two poles of T(s) are in 2. R. C. Dorf and J. A. Svoboda, Introduction to Electric Circuits,
the right half of the s-plane so the feedback system is un- New York: Wiley, 1996.
stable. 3. R. V. Churchill, J. W. Brown, and R. F. Verhey, Complex Vari-
The bilinear theorem (12) can be used to make a connec- ables and Applications, New York: McGraw-Hill, 1974.
tion between electric circuits and root locus plots. Consider 4. S. M. Shinners, Modern Control System Theory and Design, New
Fig. 20, where one device has been separated from the rest of York: Wiley, 1992.
a linear circuit. The separated device could be a resistor, a 5. R. D. Strum and D. E. Kirk, Contemporary Linear Systems using
capacitor, an amplifier, or any two-terminal device (12). The MATLAB, Boston: PWS, 1994.
separated device has been labeled as x. For example, x could 6. N. E. Leonard and W. S. Levine, Using MATLAB to Analyze and
be the resistance of a resistor, the capacitance of a capacitor, Design Control Systems, Redwood City, CA: Benjamin Cum-
or the gain of an amplifier. The bilinear theorem states that mings, 1995.
the transfer function of the circuit will be of the form 7. K. Ogata, Modern Control Engineering, Englewood Cliffs, NJ:
Prentice Hall, 1970.
Vo (s) E(s) + xF (s) N(s) 8. J. A. Svoboda and G. M. Wierzba, Using PSpice to determine the
T (s) = = = (30) relative stability of RC active filters, International Journal on
Vi (s) G(s) + xH(s) D(s)
Electronics, 74 (4): 593–604, 1993.

where E(s), F(s), G(s), and H(s) are all polynomials in s. A 9. F. W. Stephenson, RC Active Filter Design Handbook, New York:
Wiley, 1985.
transfer function of this form is said to be a bilinear function
of the parameter x since both the numerator and denominator 10. J. A. Svoboda, ELab, A circuit analysis program for engineering
education, Comput. Applications in Eng. Education, 5: 135–149,
polynomials are linear functions of the parameter x. The poles
1997.
of T(s) are the roots of the denominator polynomial
11. W.-K. Chen, Active Network and Feedback Amplifier Theory, New
York: McGraw-Hill, 1980.
D(s) = G(s) + xH(s) (31)
12. K. Gehler, Theory of Tolerances, Budapest, Hungary: Akademiai
Kiado, 1971.
As x varies from 0 to 앝, the poles of T(s) begin at the roots of
G(s) and migrate to the roots of H(s). The root locus can be 13. A. Budak, Passive and Active Network Synthesis, Prospect
Heights, IL: Waveland Press, 1991, Chap. 6.
used to display the paths that the poles take as they move
from the roots of G(s) to the roots of H(s). Similarly, the root
locus can be used to display the paths that the zeros of T(s) Reading List
take as they migrate from the roots of E(s) to the roots of
P. Gray and R. Meyer, Analysis and Design of Analog Integrated Cir-
F(s). cuits, 3rd ed., New York: Wiley, 1993, Chaps. 8 and 9.
For example, consider the Sallen–Key bandpass filter
A. Sedra and K. Smith, Microelectronic Circuits, 4th Ed., Oxford: Ox-
shown in Fig. 11. When ford University Press, 1998, Chap. 8.

R4
R1 = R2 = R3 = 7.07 k , C1 = C2 = 0.1 µF, and K = 1 + JAMES A. SVOBODA
R5 Clarkson University
420 CIRCUIT TUNING

CIRCUIT TUNING

Circuit tuning refers to the process of adjusting the values of


electronic components in a circuit to ensure that the fabri-
cated or manufactured circuit performs to specifications. In
digital circuits, where signals are switched functions in the
time domain and correct operation depends largely on the ac-
tive devices switching all the way between their ON and OFF
states, tuning in the sense discussed in this chapter is rarely
necessary. In analog continuous-time circuits, however, sig-
nals are continuous functions of time and frequency so that
circuit performance depends critically on the component val-
ues. Consequently, in all but the most undemanding applica-
tions with wide tolerances, correct circuit operation almost
always requires some form of tuning. Naturally, components
could be manufactured with very tight tolerances, but the re-
sulting fabrication costs would become prohibitive. In prac-
tice, therefore, electronic components used in circuit design
are never or only rarely available as accurately as the nomi-
nal design requires, so we must assume that they are affected
by fabrication and manufacturing tolerances. Furthermore,
regardless of whether a circuit is assembled in discrete form
with discrete components on a printed circuit board (as a hy-
brid circuit), or in integrated form on an integrated circuit
chip, the circuit will be affected by parasitic components and
changing operating conditions, all of which contribute to inac-
curate circuit performance. Consider, for example, the re-
quirement of implementing as a hybrid circuit a time of 1 s
for a timer circuit via an RC time constant ␶ ⫽ RC with an
accuracy of 0.1%. Assume that R and C are selected to have
the nominal values R ⫽ 100 k⍀ and C ⫽ 10 애F, that inexpen-
sive chip capacitors with ⫾20% tolerances are used, and that
the desired fabrication process of thin-film resistors results in
components with ⫾10% tolerances. The fabricated time con-
stant can therefore be expected to lie in the range

0.68 s ≤ τ = 100 k(1 ± 0.1)10 µF(1 ± 0.2) ≤ 1.32 s

In other words, the ␶-error must be expected to be ⫾32%,


which is far above the specified 0.1%. Tuning is clearly neces-
sary. Because capacitors are difficult to adjust and accurate
capacitors are expensive, let us assume in this simple case
that the capacitor was measured with 0.05% accuracy as C ⫽
11.125 애F (i.e., the measured error was ⫹11.25%). We can
readily compute that the resistor should be adjusted
(trimmed) to the nominal value R ⫽ ␶ /C ⫽ 1 s/11.125 애F ⫽
89.888 k⍀ within a tolerance of ⫾45 ⍀ to yield the correctly

J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
CIRCUIT TUNING 421

implemented time constant of 1 s with ⫾0.1% tolerances. Ob- n1


serve that tuning generally allows the designer to construct a
circuit with less expensive wide-tolerance parts because sub- C1 R2
sequent tuning of these or other components permits the er- R1
rors to be corrected. Thus, C was fabricated with 20% toler- –
ances but measured with a 0.05% error to permit the resistor V1 C2 n2 A
with fabrication tolerances of 10% to be trimmed to a 0.05% + V2
accuracy. Note that implied in this process is the availability
of measuring instruments with the necessary accuracy.
Tuning has two main purposes. Its most important func- Figure 2. Active RC bandpass filter.
tion is to correct errors in circuit performance caused by such
factors as fabrication tolerances such as in the preceding ex-
ample. Second, it permits a circuit’s function or parameters,
ner (manually or electronically) by an amount sufficient to
such as the cut-off frequency of a given low-pass filter, to be
overcome the consequences of fabrication tolerances, parasitic
changed to different values to make the circuit more useful or
effects, or other such factors.
to be able to accommodate changing operating requirements.
An example will help to illustrate the discussion and ter-
But even the best fabrication technology together with tuning
minology. Consider the simple second-order active band-pass
will not normally result in a circuit operating with zero errors;
circuit in Fig. 2. Its voltage transfer function, under the as-
rather, the aim of tuning is to trim the values of one or more,
sumption of ideal operational amplifiers, can be derived to be
or in rare cases of all, components until the circuit’s response
is guaranteed to remain within a specified tolerance range V2 b1 s
when the circuit is put into operation. Figure 1 illustrates the T (s) = =− 2
V1 s + a1 s + a0
idea for a low-pass filter. Examples are a gain error that is
1
specified to remain within ⫾0.05 dB, the cut-off frequency f c s (1)
of a filter that must not deviate from the design value of, say, R1C1
=−

f c ⫽ 10 kHz by more than 85 Hz, or the gain of an amplifier 1 1 1 1
s2 + + s+
that must settle to, say, 1% of its final value within less than R2 C1 C2 R1 R2C1C2
1 애s. As these examples indicate, in general, a circuit’s opera-
tion can be specified in the time domain, such as a transient We see that T(s) is a continuous function of the circuit compo-
response with a certain highest permissible overshoot or a nents, as are all its coefficients that determine the circuit’s
maximal settling time, or in the frequency (s) domain through behavior:
an input-output transfer function with magnitude, phase, or
delay specifications and certain tolerances (see Fig. 1). This 1 C + C2 1
b1 = ,a = 1 ,a = (2)
article focuses on the tuning of filters, that is, of frequency- R1C1 1 R2C1C2 0 R1 R2C1C2
selective networks. Such circuits are continuous functions of
components, described by transfer functions in the s domain, Just as in the earlier example of the RC time constant, the

;;;;
where tuning of design parameters (e.g., cut-off frequency, coefficients will not be implemented precisely if the compo-
bandwidth, quality factor, and gain), is particularly important nent values have fabrication tolerances. If these component
in practice. The concepts discussed in connection with filters tolerances are ‘‘too large,’’ generally the coefficient errors will
apply equally to other analog circuits. Obviously, in order to become ‘‘too large’’ as well, and the circuit will not function
tune (adjust) a circuit, that circuit must be tunable. That is, correctly. In that case, the circuit must be tuned. Further-
its components must be capable of being varied in some man- more, circuits are generally affected by parasitic components.
Parasitic components, or parasitics, are physical effects that

;;;;
;;
QQ

often can be modeled as ‘‘real components’’ affecting the cir-
cuit’s performance but that frequently are not specified with
sufficient accuracy and are not included in the nominal de-
sign. For instance, in the filter of Fig. 2, a parasitic capacitor
can be assumed to exist between any two nodes or between
Gain

any individual node and ground; also, real ‘‘wires’’ are not

;;;;
;;
QQ

ideal short circuit connections with zero resistance but are
resistive and, at high frequencies, even inductive. In the filter
of Fig. 2, a parasitic capacitor Cp between nodes n1 and n2
would let the resistor R2 look like the frequency-dependent
impedance Z2(s) ⫽ R2 /(1 ⫹ sCpR2). Similarly, real resistive
wires would place small resistors rw in series with C1 and C2
and would make these capacitors appear lossy. That is, the
Frequency capacitors Ci, i ⫽ 1, 2, would present admittances of the form
Figure 1. The shaded area in the gain vs. frequency plot shows the Yi(s) ⫽ sCi /(1 ⫹ sCirw). Substituting Z2(s) and Yi(s) for R2 and
operating region for a low-pass filter that must be expected based on Ci, respectively, into Eq. (1) shows that, depending on the fre-
raw (untuned) fabrication tolerances; the dotted region is the accept- quency range of interest and the element values, the presence
able tolerance range that must be maintained in operation after the of these parasitics changes the coefficients of the transfer
filter is tuned. function, maybe even its type, and consequently the circuit’s
422 CIRCUIT TUNING

performance. Similarly, when changes occur in environmental with C2 a free parameter. That there are more circuit compo-
operating conditions, such as bias voltages or temperature, nents than parameters is normal, so the additional ‘‘free’’ ele-
the performance of electronic devices is altered, and as a re- ments may be used at will, for example, to achieve practical
sult the fabricated circuit may not perform as specified. element values or element-value spreads (i.e., the difference
As discussed by Moschytz (1, Sec. 4.4, pp. 394–425), and between the maximum and minimum of a component type,
Bowron and Stevenson (2, Sec. 9.5, pp. 247–251), the opera- such as Rmax ⫺ Rmin). Technology or cost considerations may
tion of tuning can be classified into functional and determinis- place further constraints on tuning by removing some compo-
tic tuning. In functional tuning, the designed circuit is assem- nents from the list of tunable ones. Thus, in hybrid circuits
bled, and its performance is measured. By analyzing the with thin- or thick-film technology as in the preceding exam-
circuit, we can identify which component affects the perfor- ple, the capacitors will likely be fixed; only the two resistors
mance parameter to be tuned. These predetermined compo- will be determined as in Eq. (5) from the prescribed circuit
nents are then adjusted in situ (i.e., with the circuit in opera- parameters 웆0 and Q and the selected and measured capacitor
tion), until errors in performance parameters are reduced to values. This choice leaves the midband gain fixed at the
acceptable tolerances. The process is complicated by the fact value K ⫽ Q/(웆0C1R1). Precise deterministic tuning requires
that tuning is most often interactive, meaning that adjusting careful measurements and accurate models and design equa-
a given component will vary several circuit parameters; thus tions that, in contrast to the idealized expressions in Eq. (5),
iterative routines are normally called for. As an example, con- describe circuit behavior along with loss, parasitic, and envi-
sider again the active RC filter in Fig. 2. If its bandpass trans- ronmental effects. As we saw in Eq. (5), the equations that
fer function, Eq. (1), is expressed in the measurable terms of must be solved are highly nonlinear and tend to be very com-
center frequency 웆0, the pole quality factor Q ⫽ 웆0 /⌬웆, the pa- plex, particularly if parasitic components also are involved.
rameter that determines the filter’s bandwidth ⌬웆, and mid- Computer tools are almost always used to find the solution.
band (at s ⫽ j웆0) gain K as Typically, automatic laser trimming is employed to tune the
resistors to the desired tolerances (e.g., 0.1%). A second tun-
1 ing iteration using functional tuning may be required because
s
R1C1 the assembled circuit under power may still not meet the
T (s) = −
specifications as a result of further parasitic or loading effects
1 1 1 1
s2 + + s+ that could not be accounted for in the initial deterministic
R2 C1 C2 R1 R2C1C2
tuning step.
ω0 (3)
K s
Q
=− ω0
s +s
2 + ω02 SENSITIVITY
Q
We mentioned earlier that a filter parameter P depends on
These parameters are expressed in terms of the circuit compo- the values ki of the components used to manufacture a cir-
nents and we arrive at the more meaningful and useful de- cuit, P ⫽ P(ki), and that real circuit components or parts can
sign equations be realized only to within some tolerances ⫾⌬ki. That is, the
√ values of the parts used to assemble circuits are ki ⫾ ⌬ki.
1 R2 C1C2 R C2 Clearly, the designer needs to know how much these toler-
ω0 = √ ,Q = ,K = 2 (4)
R1 R2C1C2 R1 C1 + C2 R1 C1 + C2 ances will affect the circuit and whether the resulting errors
can be corrected by adjusting (tuning) the circuit after fabri-
cation. Obviously, the parameter to be tuned and must de-
instead of Eq. (2). It is clear that varying any of the passive
pend on the component to be varied. For example, Q in Eq.
components will change all three filter parameters, so that
(4) is a function of the components R1, R2, C1, and C2, any one
expensive and time-consuming iterative tuning is required.
of which can be adjusted to correct fabrication errors in Q. In
However, functional tuning has the advantage that the ef-
general, the questions of how large the adjustment of a
fects of all component and layout parasitics, losses, loading,
component has to be, whether it should be increased or
and other hard-to-model or hard-to-predict factors are ac-
decreased, and what the best tuning sequence is are an-
counted for because the performance of the complete circuit
swered by considering the parameter’s sensitivity to compo-
is measured under actual operating conditions. In general,
nent tolerances. How sensitive P is to the component-value
more accurate results are obtained by basing functional
tolerances, that is how large the deviation ⌬P of the param-
tuning on measurements of phase rather than of magnitude
eter in question is, is computed for small changes via the
because phase tends to be more sensitive to component
derivative of P(ki) with respect to ki, ⭸P/⭸ki, at the nominal
errors.
value ki:
Deterministic tuning refers to calculating the needed value
of a component from circuit equations and then adjusting the
∂P(ki )
component to that value. We determined the resistor R ⫽ P = ki (6)
␶ /C ⫽ 89.888 k⍀ to set a time constant of 1 s at the beginning ∂ki
of this article in this manner. Similarly, from Eq. (4) we can
derive the three equations in the four unknowns R1, R2, C1, Typically, designers are less interested in the absolute toler-
and C2 ances than in the relative ones, that is,


Q 1 1 1 1 Q P k ∂P ki ki
R2 = + , R1 = ,C = (5) = i = SPk (7)
ω0 C1 C2 R2 ω02C1C2 1 KR1 ω0 P P ∂ki ki i k
i
CIRCUIT TUNING 423

SPki is the sensitivity, defined as ‘‘the relative change of the error. To illustrate the calculations, let us apply Eq. (11) to
parameter divided by the relative change of the component,’’ 웆0 in Eq. (4). Using Eqs. (9) and (10), the result is

P/P ω0 R ∂ω0 R1 R ∂ω0 R2 C ∂ω0 C1 C2 ∂ω0 C2
SPk = (8) = 1 + 2 + 1 +
i ki /ki ω0 ω0 ∂R1 R1 ω0 ∂R2 R2 ω0 ∂C1 C1 ω0 ∂C2 C2
ω R ω R C ω C
= SR0 1
+ SR0 2
+ SCω p 1
+ SC0 2
A detailed discussion of sensitivity issues can be found in 1 R1 2 R2 1 C1 2 C2

many text books [see Schaumann, Ghausi, and Laker (3), 1 R1 1 R2 1 C1 1 C2
=− − − −
Chap. 3, pp. 124–196]. For example, the sensitivity of 웆0 in 2 R1 2 R2 2 C1 2 C2
Eq. (4) to changes in R1 is readily computed to be

1 R1 R2 C1 C2
=− + + +
2 R1 R2 C1 C2
ω R1 ∂ω0
SR0 = (12)
1 ω0 ∂R1

(9)
R1 1 R2C1C2 1 The last expression gives insight into whether and how 웆0 can
= − =−
1/(R1 R2C1C2 )1/2 2 (R1 R2C1C2 )3/2 2 be tuned. Because the effects of the errors are additive, tun-
ing just one component, say R1, will suffice for given toler-
SR웆01 ⫽ ⫺0.5 means that the percentage error in the parameter ances of R2, C1, and C2 if ⌬R1 can be large enough. If we have
웆0 is one-half the size of the percentage error of R1 and oppo- measured the R2 errors at ⫺12%, and those of C1 and C2 at ⫹
site in sign (i.e., if R1 increases, 웆0 decreases). A large number 15% and ⫹10%, respectively, Eq. (12) results in
of useful sensitivity relations that make sensitivity calcula-

ω0 1 R1
tions easy can be derived [see, for example, Moschytz (1), Sec. =− − 0.12 + 0.15 + 0.10
ω0 2 R1
1.6, pp. 103–105, 1.5, pp. 71–102, and 4.3, pp. 371–393, or
(13)
Schaumann, Ghausi, and Laker (3), Chap. 3, pp. 124–196]. R1
= −0.5 + 0.13
Of particular use for our discussion of tuning are R1

n)
SkP(k = nSP(k) , SP(αk) = SP(k) , indicating that R1 must be decreased by 13% to yield, within
k k k
√ (10) the linearized approximations made, ⌬웆0 앒 0. Inserting com-
1 P(k)
SP(1/k) = −SP(k) , and SkP( k)
= S ponents with these tolerances into Eq. (4) for 웆0 confirms the
k k 2 k result obtained.
To expand these results and gain further insight into the
where 움 is a constant, independent of k. The last two of these effects of tolerances, as well as beneficial tuning strategies
equations are special cases of the first one for n ⫽ ⫺1 and and their constraints, we remember that a transfer function
n ⫽ 1/2, respectively. The last equation generalizes the result generally depends on more than one parameter. Returning to
obtained in Eq. (9). Equations (7) and (8) indicate that, for the example of Fig. 2 described by the function T(s) in Eq. (3)
small differential changes, the parameter deviation caused by with the three parameters 웆0, Q, and K given in Eq. (4) and
a component error and, conversely from the point of view of applying Eq. (11) leads to
tuning, the change in component value necessary to achieve
a desired change in parameter can be computed if the sensi- ω0 ω R1 ω R2 ω C1 ω C2
tivity is known. = SR0 + SR0 + SC0 + SC0 (14a)
ω0 1 R1 2 R2 1 C1 2 C2
In Eqs. (6) and (7) we purposely used partial derivatives,
⭸P/⭸ki, to indicate that circuit parameters normally depend Q R1 R2 C1 C2
= SQ
R1 R
+ SQ
R2 R
+ SCQ + SCQ (14b)
on more than one component [see Eq. (4)], all of which affect Q 1 2 1 C1 2 C2
the accuracy of the parameter. To get a more complete picture K R1 R2 C1 C2
of the combined effect of the tolerances and to gain insight = SK
R1 + SK
R2 + SCK + SCK (14c)
K R1 R2 1 C
1
2 C
2
into the operation of tuning involving several parameters, to-
tal derivatives need to be computed. Assuming P depends on These equations can be expressed in matrix form as follows:
n components, we find [see Schaumann, Ghausi, and Laker
 
(3), Chap. 3, pp. 124–196] R1
   R 
ω0  1 
∂P ∂P ∂P  
P = k1 + k2 + · · · + kn  ω0  Sω 0 ω
SR0
ω
SC0
ω0  
SC  R2 
∂k1 ∂k2 ∂kn   R1 
   
 Q  
2 1 2
 Q 
 R2 
  SQ SQ SCQ 
 Q = SC    (15)
  1 2
R R
that is  2 1
 C1 
   
 K  SK SK SCK SC  C1 
K

P k ∂P k1 kn ∂P kn
R1 R 2 1 2  
 
= 1 + ··· + K  C2 
P P ∂k1 k1 P ∂kn kn
n (11) C2
k1 kn ki
= SPk + · · · + SPkn = SPk
1 k k n i k
1 i=1 i The sensitivity matrix in Eq. (15) [see Moschytz (1), Sec. 4.3,
pp. 376–393, or Schaumann, Ghausi, and Laker (3), Sec. 3.3,
indicating that the sum of all relative component tolerances, pp. 161–188], a 3 ⫻ 4 matrix in this case, shows how the
weighted by their sensitivities, contributes to the parameter tolerances of all the filter parameters depend on the compo-
424 CIRCUIT TUNING

nent tolerances. We see that adjusting any one of the circuit As can be verified readily, each component affects only one
components will vary all filter parameters as long as all the circuit parameter. Again, sensitivities to C2 are irrelevant be-
sensitivities are nonzero, which is indeed the case for the cir- cause C2 is fixed, and the effects of its tolerances can be cor-
cuit in Fig. 2. Thus, noninteractive tuning is not possible. To rected by the remaining components.
illustrate the form of the sensitivity matrix, we calculate for An important observation on the effects of tolerances on
the circuit in Fig. 2 circuit parameters and the resultant need for tuning can
 R  be made from Eq. (16). We see that the sensitivities of the
1 dimensionless parameters (parameters with no physical
 ω   R 
0   1  unit) Q and K to the two resistors and similarly to the two
−0.5 −0.5 −0.5 −0.5  
 ω0   R2  capacitors are equal in magnitude but opposite in sign.
   1 C1 − C2 1 C1 − C2   
  −0.5 −  R  Because dimensionless parameters are determined by ratios
 Q   0.5
2 C1 + C2 2 C1 + C2   2 
 =   of like components [see Eq. (4)], we obtain from Eq. (4)
 Q     C1 
   C1 C1   with Eq. (10)
  −1 −  C 
K 1  1 
C1 + C2 C1 + C2  
K  C  SQ
R
= −SQ
R
= SQ
R
= −0.5 and
2 1 2
C2 1 C1 − C2 (18)
(16) SCQ = −SCQ = SCQ = −
1 2 2 C1 + C2
Note that the first line of Eq. (16) is equal to the last part of
Thus, the tolerances of Q are
Eq. (12).
The tuning situation is simpler if the matrix elements


Q Q R1 R2 Q C1 C2
above the main diagonal are zero as was assumed for an arbi- = SR − + SC − (19)
Q R1 R2 C1 C2
trary different circuit in Eq. (17a):
 
R1 with analogous expressions obtained for the gain K [see the
    last line of Eq. (16)]. Thus, if the technology chosen to imple-
ω0  R1 
  ment the filter permits ratios of resistors and capacitors to be
 ω 0  S ω 0 ω 0   R 
  0 0 S  2 realized accurately (i.e., if all resistors have equal tolerances,
  R1 C2
 
 Q    R2  as do all capacitors), tuning of dimensionless parameters will
 = Q Q Q  
 Q   S S 0 S C2  
  C1  generally not be necessary. A prime example is integrated cir-
  1
R R2
 
  SK SK SCK SCK   cuit technology, where absolute value tolerances of resistors
 K  R1 R2  C1 
1 2   and capacitors may reach 20 to 50%, but ratios, depending
 
K  C2  mainly on processing mask dimensions, are readily imple-
C mented with tolerances of a fraction of 1%. As an example,
 R  2 assume that the circuit in Fig. 2 was designed, as is often the
1 (17a)
 ω    ω0  case, with two identical capacitors C1 ⫽ C2 ⫽ C with toler-
SR0 0 0  R1  SC
 1    2 ances of 20% and that R1 and R2 have tolerances of 10% each,
  
 R2  +  Q  C2 that is,
= S Q S Q
0  SC 
 R1 R2
  R2 

  2  C2
SKR1 SKR2 SCK   C 
 SCK C1 = C2 = Cn + C = Cn (1 + 0.2),
1 1 2
R1 = R1n + R1 = R1n (1 + 0.1), and (20)
C1
R2 = R2n (1 + 0.1)
Here the sensitivities to C2 are irrelevant because C2 is a free
parameter and is assumed fixed so that the effects of C2 toler- where the subscript n stands for the nominal values. From
ances can be corrected by varying the remaining elements. Eq. (19), we find
We see then that first 웆0 can be tuned by R1, next Q is tuned
by R2 without disturbing 웆0 because SR웆02 is zero, and finally K Q = [SQ
R
(0.1 − 0.1) + SCQ (0.2 − 0.2)]Q = 0
is tuned by C1 without disturbing the previous two adjust-
ments. Thus a sensitivity matrix of the structure indicated in That is, the quality factor Q, depending only on ratios of like
Eq. (17a) with elements above the main diagonal equal to zero components, is basically unaffected because all like compo-
permits sequential ‘‘noninteractive’’ tuning if the tuning order nents have equal fabrication tolerances. This result can be
is chosen correctly. Completely noninteractive tuning without confirmed directly from Eq. (4) where, for equal capacitors,
regard to the tuning order requires all elements in the sensi-
tivity matrix off the main diagnonal to be zero as indicated 1 R2 1 R2n (1 + 0.1)
for another circuit in Eq. (17b): Q= = ≈ Qn (21)
2 R1 2 R1n (1 + 0.1)
   R 
ω0 1
 ω0   ω0   ω0   R1  Naturally, if R1 and R2 are selected from different manufac-
  SC SR 0 0   turing lots, or if R1 and R2 are from physically different fabri-
   
 Q  
2  1
  C    R  cation processes (such as a carbon and a metal-film resistor),
  − S Q  Q  2
 Q   C2  C = 
2 0 S 0   (17b)
  2  R2
 R
 2  tolerances cannot be assumed to be equal, Q errors are not
  K
SC 0 0 K
SC    zero, and tuning will be required.
 K  2 1 C  1
The situation is quite different for any dimensioned circuit
K C1 parameter, that is, a parameter with a physical unit (e.g., a
CIRCUIT TUNING 425

frequency or time constant, or a voltage or a current). Such TUNING DISCRETE CIRCUITS


parameters are determined by absolute values of components,
as seen for 웆0 in Eq. (4). Absolute values, depending on physi- Whether implemented on a printed circuit board, with chip
cal process parameters e.g., resistivity, permittivity, or diffu- and thin- or thick-film components in hybrid form, by use of
sion depth, are very difficult to control and will usually suffer wire-wrapping, or in any other technology, an advantage of
from large process variations. Thus, for the component toler- discrete circuits for the purpose of tuning is that circuit ele-
ances in Eq. (20), sensitivity calculations predict from Eqs. ments are accessible individually before or after assembly for
(10) and (12) the realized center frequency error deterministic or functional adjusting. Thus, after a circuit is
assembled and found not to meet the design specifications,


1 R1 R2 C1 C2 the circuit components (most commonly the resistors or induc-
ω0 ≈ − + + + ω0 tors), can be varied until the performance is as required. All
2 R1 R2 C1 C2
(22a) the previous general discussion applies to the rest of the arti-
1
= − (0.1 + 0.1 + 0.2 + 0.2) = −0.3ω0 cle so we shall present only those special techniques and con-
2 siderations that have been found particularly useful or impor-
tant for passive and active filters.
that is, all individual component tolerances add to a ⫺30%
frequency error. Again, the validity of this sensitivity result
Passive Filters
can be confirmed directly from Eq. (4):
Discrete passive filters are almost always implemented as
1 1 lossless ladder circuits, that is, the components are inductors
ω0 = √ = √ L and capacitors C as is illustrated in the typical circuit in
R1 R2C1C2 C R1 R2
Fig. 3. These LC filters are designed such that the maximum
1
= √ signal power is transmitted from a resistive source to a re-
Cn (1 + 0.2) R1n R2n (1 + 0.1 + 0.1 + 0.01) sistive load in the frequency range of interest; a brief treat-
ω0n ω0n (22b)
ment can be found in Schaumann, Ghausi, and Laker (3),
≈ √ ≈
(1 + .02) 1 + 0.2 (1 + 0.2)(1 + 0.1) Chap. 2, pp. 71–123. As pointed out in our earlier discussion,
ω0n accurate filter behavior depends on precise element values so
= ≈ ω0n (1 − 0.24)
(1 + 0.32) that it is normally necessary to trim components. This tuning
is almost always accomplished via variable inductors whose
The difference between the exact result in Eq. (22b) and values are changed by screwing a ferrite slug (the ‘‘trimmer’’)
the one obtained via the sensitivity approach in Eq. (22a) into or out of the magnetic core of the inductive windings.
arises because the latter assumes incremental component Variable discrete capacitors are hard to construct, expensive,
changes whereas the former assumed the relatively large and rarely used.
changes of 10 and 20%. The center frequency 웆0 is approxi- LC filters have the advantage of very low sensitivities to
mately 25–30% smaller than specified and must be corrected all their elements [see Schaumann, Ghausi, and Laker (3),
by tuning. This can be accomplished, for example, by trim- Chaps. 2 and 3, pp. 71–196], which makes it possible to as-
ming the two resistors to be 27% smaller than their fabricated semble the filter using less expensive wide-tolerance compo-
values, that is, nents. This property is further enhanced by the fact that loss-
less ladders are very easy to tune so that large tolerances
of one component can be compensated by accurately tuning
R1 = R1n (1 + 0.1)(1 − 0.27) ≈ R1n (1 − 0.2),
another. For example, the resonant frequency f 0 ⫽ 1/ 兹LC of
R2 ≈ R2n (1 − 0.2) an LC resonance circuit has ⫾15% tolerances if both L and C
have ⫾15% tolerances; if then L is trimmed to ⫾0.5% of its
so that sensitivity calculations yield correct value for the existing capacitor (with ⫾15% toler-
ances), f 0 is accurate to within 0.25% without requiring any
narrower manufacturing tolerances. Without tuning, a 0.25%
ω0 ≈ −0.5(−0.2 − 0.2 + 0.2 + 0.2) = 0
f 0 error would require the same narrow 0.25% tolerance in

More exact deterministic tuning requires the resistors to be


trimmed to 24.2% smaller than the fabricated value as shown
in Eq. (23):
C1 C2

1 ω0n
ω0 ≈ √ = ⇒ ω0n R1 L1 L2 L3
Cn (1 + 0.2) R1n R2n (1 + 0.1)(1 − δ) 1.32(1 − δ)
(23) Vi Vo
+ n2 A +
C3 C4 C5 R2
where 웃 is the trimming change to be applied to the resistors
as fabricated. Equation (23) results in 웃 ⫽ 0.242. Of course,
웆0 tuning could have been accomplished by adjusting only one
Figure 3. Sixth-order LC low-pass filter. The filter is to realize a
of the resistors by a larger amount; we trimmed both resistors maximally flat passband with a 2 dB bandwidth of f c ⫽ 6 kHz, mini-
by equal amounts to maintain the value of their ratio that mum stopband attenuation 움s ⫽ 57.5 dB with transmission zeros at
determines Q according to Eq. (21), thereby avoiding the need 12 and 24 kHz. The nominal components are listed in Table 1. Note
to retune Q. that at dc the filter has ⫺20 log[R2 /(R1 ⫹ R2)] ⫽ 6.02 dB attenuation.
426 CIRCUIT TUNING

Table 1. LC Low-pass Filter (elements in mH, nF, and k⍀)


Components L1 C1 L2 C2 L3 C3 C4 C5 R1 R2
Nominal values 27.00 6.490 46.65 0.943 12.67 6.977 45.55 33.90 1.00 1.00
Performance fc ⫽ 6.0 kHz @ 움p ⫽ 8.03 dB; fz1 ⫽ 12.0 kHz, fz2 ⫽ 24.0 kHz, 움s ⫽ 57.5 dB

15% tolerance 31 7.5 52 1.1 14 8 51 38 1.05 1.05


values
Performance fc ⫽ 5.36 kHz @ 움p ⫽ 8.01 dB; fz1 ⫽ 10.3 kHz, fz2 ⫽ 20.7 kHz, 움s ⫽ 56.7 dB
untuned
Tuned values 23.5 7.5 40 1.1 14 8 51 38 1.05 1.05
Performance fc ⫽ 6.07 kHz @ 움p ⫽ 8.03 dB; fz1 ⫽ 12.0 kHz, fz2 ⫽ 24.0 kHz, 움s ⫽ 57.8 dB
tuned

both components, which is likely more expensive than a sim- tant, the tolerances of the untuned capacitors must not be
ple tuning step. too large. Finally, we observe that the tuning properties of
It is well known that lossless ladders can be tuned quite passive LC ladders translate directly to active simulations of
accurately simply by adjusting the components to realize the these filters via transconductance-C and gyrator-C circuits,
prescribed transmission zeros [see Heinlein and Holmes (4), which are widely used in high-frequency integrated circuits
Sec. 12.3, pp. 591–604, and Christian (5), Chap. 8, pp. 167– for communications (see the following discussion).
176]. Transmission zeros, frequencies where the attenuation
is infinite, usually depend on only two elements, a capacitor Active Filters
and an inductor in a parallel resonant ciruit (see Fig. 3) with
Several differences must be kept in mind when tuning active
the parallel tank circuits L1, C1 and L2, C2 in the series
filters as compared to passive lossless filters, particularly to
branches of the filter, or alternatively with series LC reso-
ladders:
nance circuits in the shunt branches. The resonant frequen-
cies f zi ⫽ 1/ 兹LiCi, i ⫽ 1, 2, of the LC tank circuits are not
1. Active filters are almost always more sensitive to com-
affected by other elements in the filter, so that tuning is
ponent tolerances than LC ladders. Consequently, tun-
largely noninteractive. As mentioned, the effect of the toler-
ing is always required in practice.
ances of one component, say C, are corrected by tuning L. It
is performed by adjusting the inductors for maximum attenu- 2. Tuning in active filters is almost always interactive;
ation at the readily identified frequencies of zero transmission that is, a filter parameter depends on many or all circuit
while observing the response of the complete manufactured components as discussed in connection with the circuit
filter on a network analyzer. Tuning accurancies of the trans- in Fig. 2 and the sensitivity discussion related to Eqs.
mission zeros of 0.05% or less should be aimed at. Such tun- (15) and (16). Consequently, tuning active filters usu-
ing of the transmission zeros is almost always sufficient even ally requires computer aids to solve the complicated
if the circuit elements have fairly large tolerances [see Hein- nonlinear tuning equations [see, for example, the rela-
lein and Holmes (4), Sec. 12.3, pp. 594–604]. If even better tively simple case in Eq. (4)].
accuracy is needed, adjustments of those inductors that do 3. The performance of the active devices, such as opera-
not cause finite transmission zeros, such as L3 in Fig. 3, may tional amplifiers (op amps), and their often large toler-
need to be performed [see Christian (5), Chap. 8, pp. 167– ances almost always strongly affects the filter perfor-
176]. For instance, consider the filter in Fig. 3 realized with mance and must be accounted for in design and in
unreasonably large tolerances of ⫾15%, using the components tuning. Because active-device behavior is often hard to
shown in Table 1. This places the two resonant frequencies at model or account for, functional tuning of the fabricated
10.3 and 20.7 kHz, with the minimum stopband attenuation circuit is normally the only method to ensure accurate
equal to only 56.7 dB; the 2 dB passband corner is reduced to circuit performance.
5.36 kHz. If we next tune the transmission zero frequencies
to 12 and 24 kHz by adjusting only the inductors L1 and L2 to In discrete active filters constructed with resistors, capaci-
23.5 and 40 mH, respectively, the minimum stopband attenu- tors, and operational amplifiers on a circuit board or in thin-
ation is increased to 57.8 dB, and the 2 dB bandwidth of the or thick-film form, tuning is almost always performed by var-
passband is measured as f c ⫽ 6.07 kHz (refer to Table 1). ying the resistors. Variable resistors, potentiometers, are
We still note that when functional tuning is performed, the available in many forms, technologies, and sizes required to
filter must be operated with the correct terminations for make the necessary adjustments.
which it was designed [see Christian (5), Sec. 8.2, pp. 168–
173]. Large performance errors, not just at dc or low frequen- Second-Order Filters. The main building blocks of active
cies, will result if the nominal terminations are severely al- filters are second-order sections, such as the bandpass circuit
tered. For example, an LC filter designed for 600 ⍀ in Fig. 2. Many of the tuning strategies and concepts were
terminations cannot be correctly tuned by connecting it di- presented earlier in connection with that circuit and the dis-
rectly without terminations to a high-frequency network ana- cussion of sensitivity. An important consideration when tun-
lyzer whose input and source impedances are 50 ⍀. Also, if ing an active filter is its dependence on the active devices as
maintaining an accurate narrow passband ripple is impor- mentioned previously in point 3. To illustrate the problem,
CIRCUIT TUNING 427

consider again the bandpass filter in Fig. 2. The transfer func- V1 T1 T2 Tn


tion T(s) in Eq. (1) is independent of the frequency-dependent ...
gain A(s) of the op amp only because the analysis assumed ω 1, Q1, K1 ω 2, Q2, K2 ω n, Qn, Kn
that the amplifier is ideal, that is, it has constant and very
large (ideally infinite) gain, A ⫽ 앝. In practice, T(s) is also a
function of A(s) as a more careful analysis shows:
Figure 4. Cascade realization of 2nth-order filter. The n second-or-
V der sections do not interact with each other and can be tuned inde-
T (s) = 2 pendently, that is, each section Ti can be tuned to its nominal values
V1 웆i, Qi, and Hi, i ⫽ 1, 2, . . ., n, without being affected by the other sec-
1 A(s) tions.
s
R1C1 1 + A(s)
=−  
1 1 1 1 1
s2 + + + s+ tuning is a main advantage of cascade implementations be-
R2 C1 C2 R1C1 [1 +A(s)] R1 R2C1C2 cause each section performs in isolation from the others so
(24) that it can be tuned without interactions from the rest of the
circuit. Remember, though, that each section by itself may
Evidently, for A ⫽ 앝, Eq. (24) reduces to Eq. (1), but finite require interactive tuning. Figure 4 shows the circuit struc-
and frequency-dependent gain can cause severe changes in ture where each of the blocks is a second-order section such
T(s) in all but the lowest-frequency applications. Consider the as the ones in Figs. 2 and 5. If the total filter order is odd,
often-used integrator model for the operational amplifier, one of the sections is, of course, of first order.
A(s) 앒 웆t /s, where 웆t is the unity gain frequency (or the gain- To illustrate this point, assume a fourth-order Chebyshev
bandwidth product) of the op amp with the typical value low-pass filter is to be realized with a 1 dB ripple passband
웆t ⫽ 2앟 ⫻ f t ⫽ 2앟 ⫻ 1.5 MHz. Using this simple model, which in 0 ⱕ f ⱕ 28 kHz with passband gain equal to H ⫽ 20 dB. The
is valid for frequencies up to about 10 to 20% of f t, and assum- transfer function is found to be
ing 웆t Ⰷ 웆, the transfer function becomes
T (s) = T1 (s) × T2 (s)
V
T (s) = 2 1.66ω02 1.66ω02
V1 = (26)
s2 + 0.279ω0s + 0.987ω0 s + 0.674ω0s + 0.279ω02
2 2
1
s
C1 R1 with 웆0 ⫽ 2앟 ⫻ 28,000 s⫺1 ⫽ 175.93 ⫻ 103 s⫺1 [see Schaumann,
≈−


1 1 1 1 1 Ghausi, and Laker (3), Sec. 1.6, pp. 36–64]. Let the function
s2 1 + + + s+
ωt C1 R1 R2 C1 C2 R1 R2C1C2 be realized by two sections of the form shown in Figure 5.
Assuming that the op amps are ideal, the transfer function of
(25)
the low-pass section is readily derived as
To get an estimate of the resulting error, let the circuit be V2 Kω02
designed with C1 ⫽ C2 ⫽ C ⫽ 10 nF, R1 ⫽ 66.32 ⍀ and R2 ⫽ = ω
V1 s2 + s 0 + ω02
9.55 k⍀ to realize the nominal parameters f 0 ⫽ 20 kHz, Q ⫽
Q
6, and K ⫽ 72. Simulation (or measurement with a very fast
1 (27)
op amp) shows that the resulting circuit performance is as α1 α2
C1 R1C2 R2
desired. However, if the filter is implemented with a 741-type =

op amp with f t ⫽ 1.5 MHz, the measured performance indi- 1 1 − α1 α2 1
s2 + s + +
cates f 0 ⫽ 18.5 kHz, Q ⫽ 6.85, and K ⫽ 76.75. Because of the C1 R1 C2 R2 C1 R1C2 R2
complicated expressions involving a real op amp, it is appro-
priate to use functional tuning with the help of a network If the op amp gain is modeled as A(s) ⫽ 웆t /s, 움i is to be re-
analyzer. Keeping C constant, the resulting resistor values, placed by
R1 ⫽ 68.5 ⍀ and R2 ⫽ 8.00 k⍀, lead to f 0 ⫽ 20 kHz and Q ⫽ αi αi
6.06. The midband gain for these element values equals K ⫽ αi ⇒ ≈ (28)
1 + αi /A(s) 1 + sαi /ωt
62.4 (remember from the earlier discussion that K for the
circuit in Fig. 2 cannot be separately adjusted if the capaci-
tors are predetermined).
V1 R1 C1
High-Order Filters. The two main methods for realizing ac- – R2
tive filters of order greater than two are active simulations A – V2
of lossless ladders and cascading second-order sections. We + A
mentioned in connection with the earlier discussion of LC lad- +
R0(α 1–1)
ders that tuning of active ladder simulations is completely
C2 R0(α 2–1)
analogous to that of the passive LC ladder: the electronic cir-
cuits that simulate the inductors are adjusted until the trans- R0
mission zeros are implemented correctly. It remains to dis- R0
cuss tuning for the most frequently used method of realizing
high-order filters, the cascading of first- and second-order sec-
tions. Apart from good sensitivity properties, relatively easy Figure 5. Two-amplifier active low-pass filter.
428 CIRCUIT TUNING

We observe again that the circuit parameters 웆0, Q, and gain plementation method because fabrication tolerances and par-
K are functions of all the circuit elements so that design and asitic effects are generally too large for filters to work cor-
tuning of each section will require iterative procedures, al- rectly without adjustment. Understandably, tuning in the
though section 1 is independent of section 2 as just discussed. traditional sense is impossible when the complete circuit is
Because there are six ‘‘components’’ (R1, R2, C1, C2, 움1, and integrated on an IC because individual components are not
움2) and only three parameters, some simplifying design accessible and cannot be varied. To handle this problem, sev-
choices can be made. Choosing C1 ⫽ C2 ⫽ C, R1 ⫽ R, and eral techniques have been developed. They permit tuning the
R2 ⫽ k2R (and assuming ideal op amps), Eq. (27) leads to in circuits electronically by varying the bias voltages VB or bias
the expressions currents IB of the active electronic components (transconduc-
tors or amplifiers). In the usual approach, the performance of
1 1 the fabricated circuit is compared to a suitably chosen accu-
ω0 = ,Q = , and K = α1 α2 (29)
kRC 1 rate reference, such as an external precision resistor Re to set
k + (1 − K)
k the value of an electronic on-chip transconductance to gm ⫽
1/Re, or to a reference frequency 웆r to set the time constant
The circuit is designed by first computing k from the given to C/gm ⫽ 1/웆r. This approach is indeed used in practice,
values Q and K; next we choose a suitable capacitor value C where often the external parameters, Re or 웆e, are adjusted
and calculate R ⫽ 1/(k웆0C). Finally, we determine the feed- manually to the required tolerances. Tuning can be handled
back resistors on the two op amps. Because only the pro- by connecting the circuit to be tuned into an on-chip control
duct 움1움2 is relevant, we choose 움1움2 ⫽ 움2 ⫽ K (i.e., 움 ⫽ loop, which automatically adjusts bias voltages or currents
兹K ⫽ 兹1.66 ⫽ 1.288). Working through the design equations until the errors are reduced to zero or an acceptable level [see
and choosing all capacitors equal to C ⫽ 150 pF (standard 5% Schaumann, Ghausi, and Laker (3), Sec. 7.3, pp. 418–446,
values) and R0 ⫽ 10 k⍀, results in (움 ⫺ 1)R0 ⫽ 2.87 k⍀ for and Johns and Martin (6), Sec. 15.7, pp. 626–635]. [A particu-
both sections: k ⫽ 0.965, R1 ⫽ 40.2 k⍀, R2 ⫽ 36.5 k⍀ for sec- larly useful reference is Tsividis and Voorman (7); it contains
tion 1 and k ⫽ 1.671, R1 ⫽ 42.2 k⍀, R2 ⫽ 120.1 k⍀ for section papers on all aspects of integrated filters, including tuning.]
2. All resistors have standard 1% tolerance values. Building Naturally, this process requires that the circuit is designed to
the circuit with 741-type op amps with f t ⫽ 1.5 MHz results be tunable, that is, that the components are variable over a
in a ripple width of almost 3 dB, the reduced cut-off frequency range sufficiently wide to permit errors caused by fabrication
of 27.2 kHz, and noticeable peaking at the band-edge. Thus, tolerances or temperature drifts to be recovered. We also
tuning is required. The errors can be attributed largely to the must pay attention to keeping the tuning circuitry relatively
5% capacitor errors and the transfer function changes as a simple because chip area and power consumption are at a pre-
result of the finite f t in Eq. (28). mium. Although digital tuning schemes are conceptually at-
To accomplish tuning in this case, deterministic tuning tractive, analog methods are often preferred. The reason is
may be employed if careful modeling of the op amp behavior, the need to minimize or eliminate generating digital (switch-
using Eq. (28), and of parasitic effects is used and if the un- ing) noise, which can enter the sensitive analog signal path
tuned components (the capacitors) are measured carefully through parasitic capacitive coupling or through the sub-
and accurately. Because of the many interacting effects in the strate, causing the dynamic range or the signal-to-noise ratio
second-order sections, using a computer program to solve the to deteriorate.
coupled nonlinear equations is unavoidable, and the resistors
are trimmed to their computed values. Functional tuning in Automatic Tuning
this case may be more convenient, as well as more reliable in
practice. For this purpose, the circuit is analyzed, and sensi- Let us illustrate the concepts and techniques with a simple
tivities are computed to help understand which components second-order example. Higher-order filters are treated in an
affect the circuit parameters most strongly. Because the sec- entirely analogous fashion; the principles do not change. Con-
tions do not interact, the high-order circuit is separated into sider the gm –C filter in Fig. 6, which realizes the transfer
its sections, and each section’s functional performance is mea- function
sured and adjusted on a network analyzer. After the perfor-

mance of all second-order blocks is found to lie within the g g g g
αs2 + s α m1 − β m2 + m0 m2
specified tolerances, the sections are reconnected in cascade. V0 C1 C2 C1C2
T (s) = = gm1 gm1 gm2 (30)
V1 s +s
2 +
C1 C1C2
TUNING INTEGRATED CIRCUITS
with pole frequency and pole Q equal to
With the increasing demand for fully integrated microelec-
tronic systems, naturally, analog circuits will have to be 
placed on an integrated circuit (IC) along with digital ones. gm1 gm2 ωC C1 /C2
ω0 = ,Q = 0 1 = (31)
Of considerable interest are communication circuits where C1C2 gm1 gm1 /gm2
bandwidths may reach many megahertz. Numerous applica-
tions call for on-chip high-frequency analog filters. Their fre- Comparing Eq. (31) to Eq. (2) indicates that the filter parame-
quency parameters, which in discrete active filters are set by ters for this technology are determined in fundamentally the
RC time constants, are in integrated filters most often de- same way as for discrete active circuits: the frequency is de-
signed with voltage-to-current converters (transconductors), termined by time constants (Ci /gmi) and the quality factor, by
Io ⫽ gmVi, and capacitors (i.e., as 웆 ⫽ 1/ ␶ ⫽ gm /C). As discussed ratios of like components. Analogous statements are true for
earlier, filter performance must be tuned regardless of the im- the numerator coefficients of T(s). We can conclude then that,
CIRCUIT TUNING 429

in principle, tuning can proceed in a manner quite similar to


the one discussed in the beginning of this article if we can PD
VR +
just develop a procedure for varying the on-chip components. + gmc
VC
To gain an understanding of what needs to be tuned in an gm PD –
integrated filter, let us introduce a more convenient notation –
that uses the ratios of the components to some suitably cho- C
sen unit values gm and C,
VB
To the main filter’s
gm transconductors Ic
gmi = gi gm , Ci = ciC, i = 1, 2, and ωu = (32)
C
Cc

where 웆u is a unit frequency parameter and gi and ci are the


dimensionless component ratios. With this notation, Eq. (30) Figure 7. Automatic control loop to set 웆u ⫽ gm /C via an applied ref-
becomes erence signal VR with frequency 웆R. The capacitor voltage equals
VC ⫽ VR(gm /j웆RC), which makes the control current Ic ⫽ gmcVR(1 ⫺

gm /j웆RC). The operation is explained in the text.
g g g g
αs2 + s α 1 − β 2 ωu + 0 2 ωu2
V c1 c2 c1 c2
T (s) = 0 = g1 g1 g2 2 (33)
V1 s + s ωu +
2 ω ceptually, the block diagram in Fig. 7 shows the method (8).
c1 c1 c2 u The control loop equates the inaccurate unit frequency 웆u ⫽
gm /C to the accurate reference frequency 웆R in the following
Casting the transfer function in the form shown in Eq. (33) way: 웆R is chosen in the vicinity of the most critical frequency
makes clear that the coefficient of si is proportional to 웆un⫺i, parameters of the filter (the band-edge for a low-pass, mid-
where n is the order of the filter, n ⫽ 2 in Eq. (33); the con- band for a bandpass filter), where sensitivities are highest.
stants of proportionality are determined by ratios of like com- The transconductance gm to be tuned is assumed to be propor-
ponents, which are very accurately designable with IC tech- tional to the bias voltage VB, such that gm ⫽ kVB where k is a
nology. The same is true for filters of arbitrary order. For constant of proportionality with units of A/V2. gm generates
example, the pole frequency for the circuit in Fig. 6 is deter- an output current I ⫽ gmVR, which results in the capacitor
mined as 웆u times a designable quantity, 웆0 ⫽ 웆u兹g1 g2 /(c1c2). voltage VC ⫽ gmVR /( j웆RC). The two matched peak detectors PD
We may conclude therefore that it is only necessary to tune convert the two signals VR and VC to their dc peak values, so
웆u ⫽ gm /C, which, as stated earlier, as a ratio of two electri- that any phase differences do not matter when comparing the
signals at the input of gmc. The dc output current Ic ⫽
cally dissimilar components will have large fabrication toler-
gmcVR兵1 ⫺ [gm /( j웆RC)]其 of the control-transconductance gmc
ances. In addition, the electronic circuit that implements the
charges the storage capacitor Cc to the required bias voltage
transconductance gm depends on temperature, bias, and other
VB for the transconductance gm. The values gmc and Cc deter-
conditions, so that 웆u can be expected to drift during opera-
mine the loop gain; they influence the speed of conversion but
tion. It can be seen from Eq. (33) that 웆u simply scales the
are otherwise not critical. If the value of gm gets too large
frequency, that is, the only effect of varying 웆u is a shift of
because of fabrication tolerances, temperature, or other ef-
the filter’s transfer function along the frequency axis.
fects, Ic becomes negative, Cc discharges, and VB, that is gm ⫽
We stated earlier that tuning a time constant, or, in the
kVB, is reduced. Conversely, if gm is too small, Ic becomes posi-
present case, the frequency parameter 웆u, is accomplished by
tive and charges Cc, and the feedback loop acts to increase
equating it via a control loop to an external reference, in this
VB and gm. The loop stabilizes when VC and VR are equal, that
case a reference frequency 웆R such as a clock frequency. Con-
is, when gm(VB)/C is equal to the accurate reference frequency
웆R. The gmc –Cc combination is, of course, an integrator with
ideally infinite dc gain to amplify the shrinking error signal
at the input of gmc. In practice, the open loop dc gain of a
transconductance of 35 to 50 dB is more than adequate. Note
V2
that the loop sets the value of 웆u to 웆R regardless of the causes
– – – –
of any errors: fabrication tolerances, parasitic effects, temper-
V1 gm0 gm1 gm2 gm3 ature drifts, aging, or changes in dc bias.
+ + + + We point out that although the scheme just discussed only
varies gm, it actually controls the time constant C/gm, that is,
errors in both gm and C are accounted for. If one wishes to
β C1 control only gm, the capacitor C in Fig. 7 is replaced by an
(1– β )C1 (1– α )C2
accurate resistor Re, and the feedback loop will converge to
gm ⫽ 1/Re.
α C2
Notice that the feedback loop in Fig. 7 controls directly
only the transconductance gm (as does the frequency control
Figure 6. A general second-order transconductance-C filter. The cir- circuit in Fig. 8) such that the unit frequency parameter 웆u
cuit realizes arbitrary zeros by feeding the input signal into portions within the control circuit is realized correctly. The actual filter
웁C1 and 움C2 of the capacitors C1 and C2. is not tuned. However, good matching and tracking can be
430 CIRCUIT TUNING

Schaumann, Ghausi, and Laker (3), Chap. 7, pp. 410–486] to


f-VCO f control small parasitic phase errors in the feedback loops of active
filters, so that Q errors may call for tuning as well, especially
as operating frequencies increase. The problem is handled in
much the same way as frequency tuning. One devises a model
EXOR LPF 1 LPF 2 (the Q-model in Fig. 8) that represents the Q errors to be
VR expected in the filter and encloses this model circuit in a con-
Vf trol loop where feedback acts to reduce the error to zero. Fig-
ure 8 illustrates the principle. In the Q control loop, a Q-VCO
To main filter (tuned correctly by the applied frequency control signal Vf )
PD K – sends a test signal to the Q model that is designed to repre-
sent correctly the Q errors to be expected in the filter to be
+ VQ
Q-VCO Q model PD tuned, and through a peak detector PD to an amplifier of gain
K. K is the gain of an accurately designable dc amplifier. Note
Q control that the positions of PD and K could be interchanged in prin-
ciple, but a switch would require that K is the less well-con-
trolled gain of a high-frequency amplifier. The output of the
Figure 8. Dual-control loop-tuning system for tuning frequency pa-
Q model goes through a second (matched) peak detector.
rameters and quality factors of an integrated filter. Note that the
frequency loop converges always, but for the Q loop to converge on
Rather than measuring Q directly, which is very difficult in
the correct Q value, the frequency must be correct. Details of the practice, because it would require accurate measurements of
operation are explained in the text. two amplitudes and two frequencies, the operation relies on
the fact that Q errors are usually proportional to magnitude
errors. The diagram in Fig. 8 assumes that for correct Q the
assumed across the IC because all gm cells are on the same output of the Q model is K times as large as its input so that
chip and subject to the same error-causing effects. This as- for correct Q the inputs of the comparator are equal. The dc
sumes that the ratios gi defined in Eq. (32) are not so large error signal VQ resulting from the comparison is fed back to
that matching problems will arise and that care is taken to the Q model circuit to adjust the bias voltages appropriately,
account for (model the effect of) filter parasitics in the control as well as to the filter. In these two interacting control loops,
circuit. The same is true for the unit capacitor C in the control the frequency loop will converge independently of the Q con-
loop and the filter capacitors (again, if the ratios ci are not too trol loop, but to converge on the correct value of Q, the fre-
large). Consequently, the control bias current IB can be sent quency must be accurate. Hence, the two loops must operate
to all the main filter’s transconductance cells as indicated in together. The correct operation and convergence of the fre-
Fig. 7 and thereby tune the filter. Clearly, this scheme de- quency and Q control scheme in Fig. 8 has been verified by
pends on good matching properties across the IC chip. Accu- experiments [see Schaumann, Ghausi, and Laker (3), Chapter
rate tuning cannot be performed if matching and tracking 7, pp. 410–486] but because of the increased noise, power con-
cannot be relied upon or, in other words, if the gm –C circuit sumption, and chip area needed for the control circuitry, the
in the control loop is not a good representative model of the method has not found its way into commercial applications.
filter cells.
An alternative method for frequency tuning [see Schau-
BIBLIOGRAPHY
mann, Ghausi, and Laker (3), Sec. 7.3, pp. 418–446, and
Johns and Martin (6), Sec. 15.7, pp. 626–635] relies on phase-
1. G. Moschytz, Linear Integrated Networks: Design. New York: Van
locked loops [see Johns and Martin (6), Chap. 16, pp. 648–
Nostrand-Reinhold, 1975
695]. The top half of Fig. 8 shows the principle. A sinusoidal
2. P. Bowron and F. W. Stevenson, Active Filters for Communica-
reference signal VR at 웆 ⫽ 웆R and the output of a voltage-
tions and Instrumentation. Maidenhead, UK: McGraw-Hill, Ltd.,
controlled oscillator ( f-VCO) at 웆vco are converted to square 1979.
waves by two matched limiters. Their outputs enter an EXOR
3. R. Schaumann, M. S. Ghausi, and K. R. Laker, Design of Analog
gate acting as a phase detector whose output contains a dc Filters: Passive, Active RC and Switched Capacitor. Englewood
component proportional to the frequency difference ⌬웆 ⫽ 웆vco Cliffs, NJ: Prentice-Hall, 1990.
⫺ 웆R of the two input signals. The low-pass filter LPF 1 elimi- 4. W. E. Heinlein and W. H. Holmes, Active Filters for Integrated
nates second- and higher-order harmonics of the EXOR out- Circuits. Munich: R. Oldenburg, 1974.
put and sends the dc component to the oscillator f-VCO, lock- 5. E. Christian, LC Filters: Design, Testing and Manufacturing. New
ing its frequency to 웆R. Just as the gm –C circuit in Fig. 7, the York: Wiley, 1983.
oscillator is designed with transconductances and capacitors 6. D. A. Johns and K. Martin, Analog Integrated Circuit Design. New
to represent (model) any frequency parameter errors of the York: Wiley, 1997.
filter to be tuned so that, relying on matching, the filter is 7. Y. Tsividis and J. A. Voorman, Eds., Integrated Continuous-Time
tuned correctly by applying the tuning signal also to its gm Filters: Principles, Design and Implementations. Piscataway, NJ:
cells. The low-pass filter LPF 2 is used to clean the tuning IEEE Press, 1993.
signal Vf further before applying it to the filter. 8. J. F. Parker and K. W. Current, A CMOS continuous-time band-
We saw in Eq. (33) that all filter parameters depend, apart pass filter with peak-detection-based automatic tuning. Int. J.
from 웆u, only on ratios of like components and are, therefore, Electron., 1996 (5): 551–564, 1996.
accurately manufacturable and should require no tuning.
This is indeed correct for moderate frequencies and filters ROLF SCHAUMANN
with relatively low Q. However, Q is extremely sensitive [see Portland State University
CLIENT–SERVER SYSTEMS 431

CIRCULAR BIREFRINGENCE. See CHIRALITY.


CIRCULAR DICHROISM, MAGNETIC. See MAGNETIC
STRUCTURE.
CIRCULATORS, NUMERICAL MODELING. See NU-
MERICAL MODELING OF CIRCULATORS.
CLEAR WRITING. See DOCUMENT AND INFORMATION
DESIGN.
562 COMBINATIONAL CIRCUITS

COMBINATIONAL CIRCUITS

Combinational (combinatorial) circuits realize Boolean func-


tions and deal with digitized signals, usually denoted by 0s
and 1s. The behavior of a combinational circuit is memory-
less; that is, given a stimulus to the input of a combinational
circuit, a response appears at the output after some propaga-
tion delay, but the response is not stored or fed back. Simply
put, the output depends solely on its most recent input and is
independent of the circuit’s past history.
Design of a combinational circuit begins with a behavioral
specification and selection of the implementation technique.
These are then followed by simplification, hardware synthe-
sis, and verification.
Combinational circuits can be specified via Boolean logic
expressions, structural descriptions, or truth tables. Various
implementation techniques, using fixed and programmable
components, are outlined in the rest of this article. Combina-
tional circuits implemented with fixed logic tend to be more
expensive in terms of design effort and hardware cost, but
they are often both faster and denser and consume less
power. They are thus suitable for high-speed circuits and/or
high-volume production. Implementations that use memory
devices or programmable logic circuits, on the other hand, are
quite economical for low-volume production and rapid proto-
typing, but may not yield the best performance, density, or
power consumption.
Simplification is the process of choosing the least costly im-
plementation from among feasible and equivalent implemen-

J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
COMBINATIONAL CIRCUITS 563

tations with the targeted technology. For small combinational implementation of combinational circuits using gate networks
circuits, it might be feasible to do manual simplification based and multiplexers. These are fixed (as opposed to programma-
on manipulating or rewriting logic expressions in one of sev- ble) logic devices in the sense that they are used by suitably
eral equivalent forms. In most practical cases, however, auto- interconnecting their input/output terminals, with no modifi-
matic hardware synthesis tools are employed that have cation to the internal structures of the building blocks.
simplification capabilities built in. Such programmed simpli-
fications are performed using a mix of algorithmic and heuris- Using Gate Networks
tic transformations. Verification refers to the process of ascer-
Let us begin with the Boolean function D defined as
taining, to the extent possible, that the implemented circuit
does in fact behave as originally envisaged or specified. D = AB + BC
A half adder is a simple example of a combinational circuit.
The addend, augend, carry, and sum are all single binary dig- where A, B, and C are input variables whose values can be
its or bits. If we denote the addend as A and the augend as either 0 or 1. Direct implementation based on the preceding
B, the Boolean function of carry-out Co and sum S can be writ- expression would require three chips: one that contains in-
ten as verters (such as 7404), one that contains two-input AND
gates (such as 7408), and one that contains two-input OR
Co = AB
gates (such as 7432). Rewriting the logic expression for D as
S = A ⊕ B = AB + AB
D = B(A + C )
The carry-out and sum functions can also be specified in the
form of a truth table with eight rows (corresponding to the reduces the number of gates from 4 to 3, but does not affect
eight possible combinations of values for the three Boolean the component or chip count discussed in the preceding.
inputs) and two columns in which the values of Co and S are By applying DeMorgan’s theorem, we can derive an equiv-
entered for each of the eight combinations. alent logic expression for our target Boolean function that can
The process of designing combinational circuits involves be implemented using a single chip containing only NOR
certain levels of abstraction. For structured circuit implemen- gates (such as 7402).
tation, the key is to find high-level building blocks that are
sufficiently general to be used for different designs. While it D = B + (A + C)
is easy to identify a handful of elements (such as AND, OR,
and NOT gates) from which all combinational circuits can be Similarly, DeMorgan’s theorem allows us to transform the
synthesized, the use of such simple building blocks reduces logic expression into one whose implementation requires only
the component count by only a modest amount. A more sig- NAND gates:
nificant reduction in component count may be obtained if each
building block is equivalent to tens or hundreds of gates.
D = (AB)(BC)
A commonly used building-block approach is based on
array structures. Programmable logic devices (PLDs) are com-
Figure 1 shows the three gate network implementations of D
posed of primitive gates arranged into logic blocks whose con-
using NOT-AND-OR, NOR, and NAND gates, as discussed
nections can be customized for realizing specific functions.
in the preceding. The output D of such a combinational gate
Programmable elements are used to specify what each logic
network becomes available after a certain delay following the
block does and how they are combined to produce desired
application of its inputs. With gate-level components, the in-
functions. This fundamental idea is used in connection with
put-to-output delay, or the latency, of a combinational circuit
various architectures and fabrication technologies to imple-
depends on the number and types of gates located on the
ment a wide array of different PLDs.
slowest path from an input terminal to the output. The num-
ber of gate levels is a rough indicator of the circuit’s latency.
IMPLEMENTATIONS WITH FIXED LOGIC Practical combinational circuits may contain many more
gates and levels than the simple examples shown in Fig. 1.
If the input-output behavior of the combinational circuit is As combinational circuits are often placed between synchro-
defined by means of a logic statement, then the statement can nously clocked storage elements, or latches, the circuit’s la-
be easily expressed in sum-of-products form using Boolean al- tency dictates the clock rate and, thus, the overall system
gebra. Once in this form, its implementation is a relatively speed. One way to improve the computation rate, or
straightforward task. In the following, we will consider the throughput, is to partition the gates into narrow slices, each

A A A

B D C D B D

C B C

Not-and-or Nor-only Nand-only

Figure 1. Realizing the Boolean function D ⫽ AB ⫹ BC by gate networks.


564 COMBINATIONAL CIRCUITS

Latches

...

...

...

...

...
Inputs Outputs

Figure 2. Schematic of a pipelined combina-


tional circuit. Clock

consisting of only a few levels, and buffer the signals going output. A 2L-to-1 multiplexer can be used to implement any
from one slice to the next in latches. In this way, the clock desired L-variable Boolean function by simply connecting the
rate can be made faster and a new set of inputs processed in input variables to its select lines, logic 1 to the data lines
each clock cycle. Thus, the throughput improves while both corresponding to the minterms, and logic 0 to the remaining
latency and cost deteriorate due to the insertion of latches data lines. The select inputs s2, s1, and s0, when viewed as a
(see Fig. 2). 3-bit binary number, represent an index i in the 0 to 7 range.
Today, digital implementation technologies are quite so- The value on data line xi is then chosen as the output.
phisticated and neither cost nor latency can be easily pre- To implement a Boolean function with more variables than
dicted based on simple notions such as number of gates, gate can be accommodated by a single multiplexer, we can connect
inputs, or gate levels. Thus, the task of logic circuit imple- other multiplexers to the xi inputs to obtain a multilevel mul-
mentation is often relegated to automatic synthesis or CAD tiplexer realization. For example, to implement a 6-variable
tools. As an added benefit, such tools can take many other function, we can expand it in terms of three of the variables
factors, besides cost and latency, into account. Examples of to obtain an expression similar to the one shown on the out-
such factors include power consumption, avoidance of haz- put in Fig. 3, where the xi are residual functions in terms of
ards, and ease of testing (testability). the remaining variables.
Figure 4 shows how the function D can be implemented by
Using Multiplexers
an 8-to-1 multiplexer. We can view the single wire entering
The application of discrete logic circuits becomes impractical each AND gate as representing multiple inputs. In effect, we
as our Boolean expression grows in complexity. An alterna- have an 8-bit memory whose hardwired data are interrogated
tive solution might be the use of a multiplexer. To implement by the input variables; the latter information filters through
the Boolean function with a multiplexer, we first expand it the decoder, which finds the corresponding data line and se-
into unique minterms; each of which is a product term of all lects it as the output.
the variables in either true or complement form With a multiplexer that can supply both the output D and
its complement D, we can choose to tie the minterms to logic
D = AB(C + C) + (A + A)BC = ABC + ABC + ABC
1 and the remaining data lines to logic 0, or vice versa. This,
With L input variables, there are 2L possible minterms, each again, is an application of DeMorgan’s theorem.
corresponding to one data line of a 2L-to-1 multiplexer. Figure A 2L-to-1 multiplexer can be implemented as an L-level
3 shows an 8-to-1 multiplexer and the logic expression for its network of 2-to-1 multiplexers. This becomes clear by noting
that a 2-to-1 multiplexer is characterized by the equation

s2 s1 s0 Y = sx0 + sx1

0 and that the output logic expression for the 8-to-1 multiplexer
x0
of Fig. 3, say, can be written as:
x1 1
Y = s2 ( s1 ( s0 x0 + s1 x1 )) + s1 ( s0 x2 + s0 x3 ))
x2 2 + s2 ( s1 ( s0 x4 + s0 x5 )) + s1 ( s0 x6 + s0 x7 ))
s2 s1 s0 x0 + s2 s1 s0 x1
x3 3 Another way to justify the preceding is to note that a 2-to-1
+ s2 s1 s0 x2 + s2 s1 s0 x3
Y
+ s2 s1 s0 x4 + s2 s1 s0 x5 multiplexer can act as a NOT, AND, or OR gate:
x4 4
+ s2 s1 s0 x6 + s2 s1 s0 x7
NOT: x0 = 1, x1 = 0 yields Y =s
x5 5
AND: x0 = 0 yields Y = sx1
x6 6 OR: x1 = 1 yields Y = s + x0

x7 7 We have just concluded our examination of a simple pro-


grammable logic device. The basic elements include a means
Figure 3. A multiplexer or selector transfers one of its ‘‘data’’ inputs to store data, a decoding function to retrieve data, and an
to its output depending on the values applied to its ‘‘select’’ inputs. association of data with logic values. In the case of a multi-
COMBINATIONAL CIRCUITS 565

A B C A B C D

0 0 0
0
1
1
2
2
3
Y D 3
4
4
5
5
6
6
1 7 1
7
Figure 4. Realizing the Boolean function
Decoder
D ⫽ AB ⫹ BC by an 8-to-1 multiplexer.

plexer, the programmability is provided by manual wiring. is the well-known table-lookup method for implementing
Slightly more complicated schemes use fuse or antifuse ele- Boolean functions.
ments. A fuse is a low-resistance circuit element that can be Table lookup is attractive for function evaluation as it
opened permanently by a relatively high surging current, allows the replacement of irregular random logic structures
thus disconnecting its endpoints. An antifuse is the opposite with much denser memory arrays. The input variables consti-
of a fuse; it is an open circuit element that can be made per- tute an address that sensitizes a word select line and leads to
manently low resistance. Both fuse and antifuse offer one- the stored data in that particular word being gated out. As in
time programmability (OTP). Once programmed, they cannot the case of the multiplexer, the values to be stored are related
be modified. to the minterms of the Boolean function. Thus, the content of
each memory column in Fig. 5 is the truth table of the associ-
IMPLEMENTATIONS WITH MEMORY DEVICES ated output function.
Figure 6 shows the use of an 8 ⫻ 2 bit memory device to
Multioutput Boolean functions can be implemented by several implement a full adder. The full adder is a half adder aug-
multiplexers connected in parallel. However, it seems waste- mented with a single-bit carry-in Ci and is specified by the
ful to have multiple decoders, especially when the number of Boolean functions
variables is large. Removing all but one of the replicated de-
coders in the multiplexers and making the hardwiring Co = AB + ACi + BCi
changeable lead to a memory structure, as shown in Fig. 5. S = A ⊕ B ⊕ Ci = ABCi + ABCi + ABCi + A BCi
This approach of logic being embodied in the memory content
In general, memory cells can be classified in two major catego-
ries: read-only memory (ROM) (in some cases, read-mostly),
A B C D1 D2 DN
which is nonvolatile, and random-access memory (RAM)
(read-write memory is a better designation), which is volatile.
They are distinguished by: (1) the length of write/erase cycle
... time compared with the read cycle time; and (2) whether the
data are retained after power-off. Programmability refers to
the ability to write either a logic 0 or 1 to each memory cell,
which in some cases must be preceded by a full or partial
erasure of the memory content (such as in EPROM and EE-
... PROM). In this respect, PLDs are no different and actually
use some form of memory in their structures.
Strictly speaking, implementations of Boolean functions
based on such memory devices cannot be viewed as combina-
tional. Many PLDs are in fact sequential in nature. They be-
... come combinational only because the clocked latches are by-
passed. However, the programming will never occur in
operation and, in some cases, is limited to a certain maximum
Figure 5. The read path of a memory device goes through the ad- number of times during the life of the device. Thus, between
dress decoder and the memory array. Such a device can be viewed as programming actions, even such latched or registered PLDs
a multiplexer with multiple outputs. behave as truly combinational circuits.
566 COMBINATIONAL CIRCUITS

A B C Co S

Inputs Minterms Outputs


A B Ci Co S 0 0
0
0 0 0 ABCi 0 0 0 1
1
0 0 1 ABCi 0 1
0 1
0 1 0 ABCi 0 1 2
1 0
0 1 1 ABCi 1 0 3
1 0 0 ABCi 0 1 0 1
4
1 0 1 ABCi 1 0 1 0
1 1 0 ABCi 1 0 5
Figure 6. Using memory to realize a full 1 0
1 1 1 ABCi 1 1 6
adder. The memory content on the right
1 1
is in one-to-one correspondence with the 7
truth table on the left.

It is noteworthy that in Fig. 5, the programmable elements the decoder select more than one row simultaneously. Pro-
(memory cells) along each column are wire-ORed together. In- grammable logic devices are organized into an AND array
tuitively, the programmable elements can also be placed in and an OR array, with multiple inputs and multiple outputs.
the decoder so they are wired-ANDed together along each col- The AND array maps the inputs into particular product
umn. These and other variations lead to different building terms; the OR array takes these product terms together to
blocks. Programmable logic array (PLA) and programmable produce the final expression. Figure 7 shows a block diagram
array logic (PAL) are two types of building blocks that are for the array component.
universally used for implementing combinational circuits in Figure 8 shows a commonly used scheme for representing
PLDs. the topologies of PLAs. The input variables x1, x2, . . ., xL and
their complements x1, x2, . . ., xL constitute the columns of the
IMPLEMENTATIONS WITH PROGRAMMABLE LOGIC AND array. The rows correspond to the product terms z1, z2,
. . ., zM in both the AND and OR arrays. The columns of the
The memory-based implementation of Fig. 5 has the essential OR array represent the Boolean functions y1, y2, . . ., yN in
feature of array logic, that is, a regular array that is program- sum-of-products form. The complexity of PLA is determined
mable. Array logic operates by presenting an address in the by the number L of inputs, the number M of product terms,
data path to the memorylike structure. Decoding of this ad- and the number N of outputs. An L-input, M-product-term, N-
dress starts the process whereby a predetermined result is output PLA is sometimes referred to as an L ⫻ M ⫻ N device.
extracted from the array. Because the result generated by The number of product terms is often selected to be much
such an array depends on the content of the array, the Bool- smaller than 2L (for example, M ⫽ 4L). There is a penalty for
ean function can, in principle, be changed in the same way as this tremendous compression. Whereas a memory device with
writing into a memory. its full decoder can generate any function of the input vari-
ables, the partial decoder of the PLA device generates a very
Using Programmable Logic Arrays limited number of product terms.
Instead of expanding the product terms into minterms ex- Because of the severe limitation on the number of available
haustively, we take advantage of ‘‘don’t care’’ conditions to let product terms, an aggressive two-level logic minimization

Inputs Outputs x1 x2 xL y1 y2 yN
... ...
... ...

z1

z2
AND array OR array
(AND plane) (OR plane)
...

...

zM
Product terms
x1 x1 x2 x2 xL xL
Figure 7. The basic logic array component consists of an AND array
Figure 8. A commonly used scheme for representing the topology of
and an OR array.
array logic explicitly shows its columns and rows. The cross-points
mark the locations of programmable elements whose states may be
changed through programming.
COMBINATIONAL CIRCUITS 567

A B C Co S
Inputs Product Outputs a
A B Ci terms Co S

1 1 – AB 1 0
1 – 1 ACi 1 0
– 1 1 BCi 1 0
1 1 1 ABCi 0 1
1 0 0 ABCi 0 1
0 1 0 ABCi 0 1
0 0 1 ABCi 0 1

Figure 9. A personality matrix defines


a These specify the connections in the OR the inputs, product terms, and outputs of
array rather than output logic values. a PLA.

method is critical for effective utilization of PLAs. A conve- connected to a tri-state inverter right after the OR gate, as
nient way to describe a function for PLA realization is depicted in Fig. 10. The device shown in Fig. 10 actually has
through a personality matrix, which is a minor reformulation 10 inputs, 2 outputs, and 6 bidirectional pins that can be used
of the truth table. Figure 9 shows an example for a full adder as either inputs or outputs.
and the corresponding PLA realization. There exists a fundamental trade-off between speed and
For the realization of Boolean functions PLAs are widely capacity in PLDs. It is fair to say that for devices with compa-
used within integrated circuit designs. A distinct advantage rable internal resources, a PLA should be able to implement
is that their regular structures simplify the automatic genera- more complex functions than a PAL. The reason is that the
tion of physical layouts. However, only a few devices are PLA allows more product terms per output as well as product-
available as stand-alone parts for combinational circuit de- term sharing; that is, outputs of the AND array can be shared
sign. Currently available configurations include 16 ⫻ 48 ⫻ 8, among a number of different OR gates. On the other hand,
18 ⫻ 42 ⫻ 10, and 22 ⫻ 42 ⫻ 10 (by Philips Semiconductors). the PLA will be slower because of the inherent resistance and
Multilevel logic structures can be realized with PLAs ei- capacitance of extra programmable elements on the signal
ther by interconnecting several PLAs or by connecting certain paths.
of the outputs to the inputs in a single PLA. As an example, In reality, NOR-NOR arrays may be used, instead of AND-
an 18 ⫻ 42 ⫻ 10 PLA can implement the parity or XOR func- OR arrays, to achieve higher speed and density. (Transistors
tion in two-level AND-OR form for no more than six inputs. are complementary, but the N-type is more robust than the
The reason is that the seven-input XOR function has 64 min- P-type and is often the preferred choice.) Consider the full
terms which is beyond the capacity of the preceding PLA. adder example. We can rewrite the Boolean functions as fol-
Consider the problem of implementing the nine-input XOR lows:
function. One way is to divide the inputs into three groups of
three and separately realize 3 three-input parity functions us- Co = A B + ACi + BCi
ing 9 of the inputs, 12 of the product terms, and 3 of the
S = A ⊕ B ⊕ Ci = A BCi + ABCi + ABCi + ABCi
outputs. The preceding three outputs can then be fed back to
three of the unused inputs and their XOR formed on one of
the available outputs by utilizing four more product terms. The inverted inputs and outputs preserve the original AND-
OR structure so the realization is equivalent, as shown in
Using Programmable Array Logic Fig. 11.
As in the case of PLAs, we can use several PALs to imple-
A more common programmable solution is to use PALs. There ment logic functions that are too complex for the capabilities
is a key difference between PLAs and PALs: PLAs have the of a single device. Feeding back the outputs into the array in
generality that both the AND and OR arrays can be pro- order to realize multilevel circuits is facilitated by the built-
grammed; PALs maintain the programmable AND array, but in feedback paths (see Fig. 10). As an example, to implement
simplify the OR array by hardwiring a fixed number of prod- the 9-input XOR function using the PAL device 16L8 shown
uct terms to each OR gate. in Fig. 10, we can divide the inputs into three groups of 3
For example, the commercial PAL device 16L8 (which and proceed as we did for the PLA implementation. The only
means that the device has 16 inputs and 8 outputs, and it is difference is that the feedback paths are internal and no ex-
active low combinational) arranges the AND array in 32 col- ternal wiring is needed.
umns and 64 rows. Each AND gate has programmable con-
nections to 32 inputs to accommodate the 16 variables and
Other PLD Variants
their complements. The 64 AND gates are evenly divided into
8 groups, each group associated with an OR gate. However, Generic array logic (GAL) is a slight enhancement of PAL
there are only 7 AND gates connected to each OR gate and, that includes an XOR gate after each OR gate. The XOR gate
thus, each Boolean function is allowed to have at most 7 prod- can be viewed as a controlled inverter that changes the out-
uct terms. The remaining one AND gate from each group is put polarity if desired. Given that y 丣 0 ⫽ y and y 丣 1 ⫽ y,
568 COMBINATIONAL CIRCUITS

1
0

19

7
2
8

18

15
3
16

17

23
4
24

16

31
5
32

15

39
6
40

14

47
7
48

13

55
8
56

12

63
9 11

0 3 4 7 8 11 12 15 16 19 20 23 24 27 28 31

Figure 10. Schematic diagram of the PAL device 16L8 known as its programming map. Loca-
tions to be programmed are specified by their numbers (11-bit integers in the range 0 to 2047,
composed of a 6-bit row number and a 5-bit column number).
COMBINATIONAL CIRCUITS 569

A B Ci Co S In order to cover most designs, PLDs are organized to bal-


ance speed and capacity within the constraints of fabrication
technologies. Because the assemblages of logic blocks are po-
sitioned where they are anticipated to be useful to each other,
such an approach is necessarily wasteful. There were once
expectations that PLDs could replace discrete components.
While this has not yet materialized, PLDs do indeed offer a
compact means of implementing Boolean functions that is
particularly attractive for rapid prototyping to evaluate possi-
ble improvements or to verify new ideas.

Reading List
Advanced Micro Devices, PAL Device Data Book, 1996.
J. W. Carter, Digital Designing with Programmable Logic Devices,
Englewood Cliffs, NJ: Prentice-Hall, 1997.
H. Flesher and L. I. Maissel, An introduction to array logic, IBM J.
Figure 11. The implementation of a full adder in PAL using NOR Res. Develop., 19 (2): 98–109, 1975.
gates is equivalent to that using AND and OR gates. The figure as- J. W. Jones, Array logic macros, IBM J. Res. Develop., 19 (2): 120–
sumes that four product terms are tied to each sum term. 126, 1975.
R. H. Katz, Contemporary Logic Design, Redwood City, CA: Benjamin/
Cummings, 1994.
we can choose to implement a Boolean function directly or Lattice Semiconductor, Introduction to GAL device architectures,
generate its complement and then invert it. As an extreme ISP Encyclopedia, 1996.
example, y ⫽ x1 ⫹ x2 ⫹ ⭈ ⭈ ⭈ ⫹ x16 cannot be implemented by Philips Semiconductors, Programmable Logic Devices Data Hand-
PAL16L8, but it can be easily realized by a similar device book, 1993.
that includes the aforementioned XOR gates through imple- K. Tsuchiya and Y. Takefuji, A neural network approach to PLA fold-
menting y ⫽ x1 x2, . . ., x16 and then complementing the re- ing problems, IEEE Trans. Comput.-Aided Des. Integr. Circuits
sult. It is therefore not surprising that most PALs now allow Syst., 15: 1299–1305, 1996.
one to control their output polarity through an XOR gate or
with a multiplexer that chooses the true or complement BEHROOZ PARHAMI
result. DING-MING KWAI
The ultimate in flexibility is provided by field-programma- University of California
ble gate arrays (FPGAs) which consist of a regular array of
logic blocks with programmable functionalities and intercon-
nections. Figure 12 shows part of a generic FPGA component.
Each block can implement one or more simple logic functions, COMBINATORIAL DESIGN THEORY. See THEORY OF
say of four or five logic variables. The inputs to the block can DIFFERENCE SETS.
be taken from its adjacent horizontal or vertical signal tracks COMBINATORIAL OPTIMIZATION PROBLEMS.
(channels) and its output(s) can be routed to other blocks via See GRAPH THEORY.
the same channels. The logic blocks of an FPGA store their COMBINERS AND DIVIDERS, POWER. See POWER
outputs in storage elements, thus making the result a sequen-
COMBINERS AND DIVIDERS.
tial circuit. Combinational circuits can be implemented by
programmed bypassing of the storage elements.
COMMERCE, ELECTRONIC. See ELECTRONIC DATA IN-
TERCHANGE.
COMMUNICATION CHANNEL NOISE. See NOISE
AND INTERFERENCE MODELING.
COMMUNICATION FOR LOCATING MOBILE US-
Logic ERS. See PAGING COMMUNICATION FOR LOCATING MOBILE
block USERS.
COMMUNICATION, INTERNATIONAL. See INTER-
NATIONAL COMMUNICATION.
COMMUNICATION, MILITARY. See MILITARY COMMU-
NICATION.
COMMUNICATION PROTOCOLS. See COMPUTER
COMMUNICATIONS SOFTWARE.
Figure 12. Part of an FPGA, consisting of four rows and two columns COMMUNICATIONS. See SPEECH, HEARING AND VISION.
of logic blocks and their associated programmable interconnections COMMUNICATIONS, COMPUTER. See COMPUTER
(channels). The upper left logic block has been configured to receive
NETWORKS.
three inputs from its upper and lower horizontal channels and to send
its output to the logic block at the lower right via a vertical and a COMMUNICATIONS, METEOR BURST. See METEOR
horizontal channel segment. BURST COMMUNICATION.
570 COMPANDORS

COMMUNICATIONS, MULTIPLE USERS. See MULTI-


PLE ACCESS MOBILE COMMUNICATIONS.
COMMUNICATIONS SOFTWARE, COMPUTER.
See COMPUTER COMMUNICATIONS SOFTWARE.
COMMUNICATION THEORY. See INFORMATION
THEORY.
COMMUNICATION USING POWER LINES. See
POWER LINE COMMUNICATION.
COMPACT DISK READ ONLY. See CD-ROMS, DVD-
ROMS, AND COMPUTER SYSTEMS.
COMPACT MODELS. See NONLINEAR NETWORK ELE-
MENTS.
COMPARATOR CIRCUITS 577

COMPARATOR CIRCUITS

Comparators are used to detect the sign of the difference be-


tween two analog signals x⫹(t) and x⫺(t), and to codify the
outcome of the detection through a digital signal y. This oper-
ation can be formulated as follows:

> EOH for x+ (t) > x− (t)
y= (1)
< −EOL for x+ (t) < x− (t)

where EOH and ⫺EOL are levels that guarantee correct logic
interpretation of the output signal, that is, y ⬎ EOH guaran-
tees that the output is unambiguously interpreted as a true
logic one (1D) by any digital circuit connected to the output
node, whereas y ⬍ ⫺EOL guarantees that the output is inter-
preted as a true logic zero (0D). The definition of these levels
is related to the concepts of logic restoration and digital noise

J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
578 COMPARATOR CIRCUITS

(a) y (b) y (c) y


ESH ESH

(0,0)
(0,0) x (0,0) x x
–ΓL ΓH –ΓL ΓH

–ESL –ESL

Figure 1. Some useful extensions of the binary comparator concept.

margins that the interested readers will find in Ref. 1. In the output is in the high state, it remains there whenever the
many applications one of the inputs is a reference value, say input remains larger than ⫺⌫L. On the other hand, once the
x⫺(t) ⫽ E, and the comparator function is to detect whether output is in the low state, it remains there whenever the in-
the signal applied to the other input, say x⫹(t) ⫽ x(t), is larger put remains smaller than ⌫H.), the window comparator [Fig.
or smaller than such reference. 1(b)], and the M-ary (multilevel) comparator [Fig. 1(c)] not
Comparators are classified according to the physical na- covered in this article.
ture of their inputs and output. The most significant struc-
tures for practical applications have voltage input and voltage
COMPARATOR BEHAVIOR
output and are called voltage comparators. Most of this article
is devoted to them. Others that are also of interest for the
Ideal Comparator Behavior
newest class of current-mode circuits that have current-input
and voltage-output—current comparators—are also covered Figure 2(a) illustrates the ideal comparator operation, where
in this article. ⫺ESL and ESH are saturation levels for the output signal. The
Another criterion for classifying comparators is their oper- interval defined by these levels is usually wider than that de-
ation in the time domain. Continuous time (CT) comparators fined by the restoring logic level’s logic. According to Eq. (1)
operate asynchronously. They respond to input changes at the output is at the high logic state whenever the differential
any time instant. The speed of change is limited only by the input x(t) ⬅ x⫹(t) ⫺ x⫺(t) is positive, and at the low logic state
intrinsic comparator response. On the other hand, discrete- otherwise. Thus, the ideal transfer characteristic exhibits a
time (DT) comparators operate in synchronization with a step transition at x ⫽ 0, as Fig. 2(a) illustrates. On the other
clock signal. They respond only at some prescribed time inter- hand, ideally the transitions between the two output states
vals (called compare, or active, intervals), whereas others should happen instantaneously following any change of the
(called reset, or strobe, intervals) are used to establish initial sign of x(t), also illustrated in Fig. 2(a).
conditions. In many applications synchronization is imposed Let us focus on voltage comparators. Ideal voltage compar-
by system-level timing considerations. But, even when syn- ators have the following features:
chronization is not mandatory, DT operation can be used for
error correction. On the other hand, although DT comparator • infinitely large voltage gain [equivalently, infinitely
speed is limited by clock frequency, proper architectures en- small transition region between the output states, or the
able operation in the video range and above. Overall re- capability of detecting infinitely small values of x(t)]
sponses faster than with CT comparators might even be • zero input offset voltage (meaning that the transitions
achieved through proper design. occurs at x ⫽ 0)
Comparators are the basic building blocks of analog-to-dig-
• zero delay (meaning that changes in the sign of the ana-
ital converters. Hence they are crucial components for realiz-
log input voltage x(t) are transmitted instantaneously to
ing the front-ends of the newest generations of mixed-signal
the output)
electronic systems (2). Mixed-signal systems are those which
combine analog and digital signals. Most modern electronic • infinitely large variation range for the common-mode in-
systems are mixed-signal. They handle analog signals at the put voltage (meaning that the operation should depend
input and output interfaces and perform most of the pro- only on the input voltage difference, not on the value of
cessing, control, and memory tasks by using digital tech- the positive and negative components despite how small
niques. Other comparator applications include such diverse or large these components are)
areas as signal and function generation (3), digital communi- • infinitely large input impedance and unlimited driving
cations (4), or artificial neural networks (5), among others. capability at the output node
Because in these applications the prevalent trend is towards
microelectronic realizations, this article emphasizes those is- Correspondingly, ideal current comparators must have infi-
sues related to the realization of comparators as integrated nitely large transimpedance gain, zero input offset current,
circuit components. There are also a few extensions of the zero delay, infinitely large range for the common-mode input
basic comparator concept of Eq. (1) which further increase the current, zero input impedance, and unlimited driving capabil-
scope of comparator application. Figure 1 shows transfer ity at the output node.
characteristics for some typical extensions, namely: the hys- There is no practical voltage or current comparator circuit
teresis comparator [Fig. 1(a)] (This device has memory. Once capable of realizing all of these ideal features. Actual com-
COMPARATOR CIRCUITS 579

y
y(t) ESH
ESH
EOH
x(t) = x+ (t) – x– (t)
t x
(0,0)
–EOL
–ESL –ESL

(a)

x(t)
EOS y y
EOH
EOS
–2∆s

(0,0) x (0,0) x
(0,0) t
–EOL
T1 T2 T3 T4 2∆s

(b) (c) (d)

y(t) y(t) y(t)


ESH
EOH

(0,0) (0,0) (0,0)


t t t

–EOL
–ESL
T1 T2 T3 T4 T1 T2 T3 T4 T1 T2 T3 T4

(e) (f) (g)

y(t)
ESH EOH EOH
EOH y(t) y(t)
x(t) ξD x(t)

(0,0) t t=0 t

TC
–EOL (0,0) t
TA
–ESL
T1 T2 T3 T4 TD Figure 2. (a) Ideal comparator operation.
(b)–(j) Illustrating static and dynamic er-
(h) (i) (j) rors in the comparator response.

parator behavior deviates from the ideal comparator illus- Nonideal Comparator Behavior and Comparator Specification
trated in Fig. 2(a). Depending on how large the deviations Consider the input waveform shown in Fig. 2(b) whose sign
are, comparator circuits may qualify for some applications changes at the time instants T1, T2, T3, and T4. Figure 2(e)
and not for others. Thus, comparator users should quantify shows the corresponding ideal output waveform. On the other
the maximum allowed deviations through a proper set of spec- hand, Figs. 2(f)–(h) show erroneous waveforms. To under-
ification parameters, and comparator designers should try to stand the causes and meaning of these errors, first let us as-
fulfill these specifications when implementing a comparator sume that the instantaneous transient response feature is re-
circuit. tained. Then the error sources are comparator finite gain and
580 COMPARATOR CIRCUITS

offset. First consider the effect of finite gain. It results in the terval between the falling/rising input edge and the
transfer characteristic of Fig. 2(c), whose transition region instant where the output reaches the corresponding re-
(shaded in the figure) is not abrupt. Because the input values storing logic level [see Fig. 2(i)]. For completeness, the
inside this transition region are not large enough to drive the rise TR and fall TF times might also be considered. As is
output voltage to a logical state, their sign is not correctly conventional in digital circuits, they are defined as the
coded, as Fig. 2(f) shows. For simplicity, it has been assumed time between 10% and 90% of the total output swing.
that this transition region is symmetrical around the origin • TA (amplification time) defined as the time needed for the
(equivalently, the central piece of the transfer characteristic output to reach a restoring logic level, starting from
is linear and EOH ⫽ EOL). However, in the more general case, steady state at the central point of the characteristics
this symmetry constraint should be removed for proper and following the application of an overdrive with ampli-
analysis. tude ␰D ⫽ 兩EOS兩 ⫹ ⌬D [see Fig. 2(j)]. Generally this time
Now consider the added influence of input offset voltage. differs for positive and negative excursions. For simplic-
Figure 2(d) shows the transfer characteristics where the zero ity we assume full symmetry and calculate TA from the
crossing is shifted to EOS. Consequently, sign codification is following expression:
incorrect for all positive levels smaller than EOS ⫹ ⌬S, as illus-
trated in Fig. 2(g). Now assume that the gain is infinite and y(t)|t=T
the input offset is zero. Then errors may appear because the EOH = y(t)|t=T = A
D ≡ k D D (3)
A D
intrinsic transient comparator response. Because the com-
parator takes a finite time to react to the input changes, the
comparator output may be unable to follow the fastest input It shows that the output value at t ⫽ TA is obtained by
transitions, as illustrated in Fig. 2(h) for the input change multiplying the incremental input ⌬D by a number kD
at T2. that represents the equivalent dynamic gain featured
The errors due to nonideal comparator behavior can be an- after a time TA. On the other hand, parameter ⌬D defines
ticipated through proper specification of the comparator reso- the incremental dynamic sensitivity, and ␰D defines the
lution and transient response (6). Resolution of the comparator dynamic resolution, both functions of TA. When the out-
under static excitation is characterized by the following speci- put waveform is monotonic and bounded and assuming
fication parameters, ⌬D ⫽ ⌬S, Eq. (3) shows that kD ⬍ kS ᭙TA finite, and that
kD 씮 kS, for TA 씮 앝. It means that the dynamic resolu-
• ⌬S (incremental static sensitivity) defined as the input in- tion parameter ␰D is larger than the static one, that is ␰D
crease (decrease) needed to drive the output voltage to poses a stronger constraint on resolution than ␰S. On the
EOH (⫺EOL) from the central point of the transfer charac- other hand, Eq. (3) highlights a tradeoff between resolu-
teristics. This is closely related to the static gain kS 앒 tion and speed, that is, the smaller TA, the smaller the
(EOH ⫹ EOL)/(2⌬S). The larger this gain, the smaller ⌬S, dynamic gain, and hence, the less sensitive the compar-
and hence the more sensitive the comparator. Rigorously ator.
speaking and because EOH ⬆ EOL, two different incremen-
tal sensitivities should be defined, one for positive excur- Because discrete-time comparators are driven to their central
sions ⌬S⫹ and other for negative excursions ⌬S⫺. However, point during the reset phase, the amplification time is partic-
for simplicity both are considered equal. ularly pertinent for them. It is complemented with the reset
• EOS (input offset) defined as the input level required to time TR, defined as the time needed for the output to evolve
set the output voltage at the central point of the transfer from a logic state to reach the steady state at the central
characteristics. point.
Commonly, timing parameters for falling edges differ from
From these parameters, the comparator static resolution is those for rising edges. To distinguish between rise and fall
calculated as parameters, an additional subscript, ‘‘r’’ for rising and ‘‘f ’’ for
falling, is used with TD, TC, TA, and TR. Thus, TAr denotes the
ξS = |EOS | + S (2) amplification time for a rising edge. On the other hand, be-
cause the output waveform depends on the input signal level,
where the modulus is used because the offset is essentially this level should be indicated when specifying delay, compari-
a random variable. For any input level inside the interval son, and amplification times.
[⫺␰S, ␰S] the comparator digital output state is uncertain. On
the other hand, any input level outside this interval is called
ONE-STEP VOLTAGE COMPARATORS
an overdrive. The overdrive variable measures how far from
this interval the actual input is: 兩xovd兩 ⫽ 兩x兩⫺␰S.
Concept and Circuits
Parameters used to characterize the comparator transient
operation include the following: Equation (1) and the transfer characteristics of Figs. 2(a), (c),
and (d) show that the voltage comparator function consists of
• TD (delay time) defined as the time required for the com- amplifying a voltage difference while it is transmitted from
parator output voltage to emerge from a saturated state, input to output. There are several circuit architectures for
either at ESH or at ⫺ESL, and to start evolving to the other achieving this. Each one features different properties for
after a falling/rising input edge among two overdrive lev- static and dynamic behavior. Figure 3(a) shows the symbol,
els [see Fig. 2(i)]. A closely related figure is the TC (re- and Fig. 3(b) shows a first-order behavioral model for the sim-
sponse, or comparison time), which measures the time in- plest architecture. Such a model is representative of a wide
Gm(x) Co Go(y) y
ESH
slope( gm/go )
+ +
x + IB Gm(x) Go(y) x
– – y slopegm slopego
– – δm –ESL
x –ESL x
δm ESH
(a) (b) (c)

Node + Node – Node + Node –

∆i+ ∆i– ∆i+ ∆i–


x+ MNd MNd x– x+ BN BN x–

IB IB
MNB MNB

(d)

MP11 MP11 MP12 VBP


MP1 MP1 MP1 MP1

MP12
∆i+ ∆i– ∆i– ∆i+ y ∆i+ ∆i– MCP MCP
VCP
Node + Node – Node – Node +
Node + Node –
MN1 MN1 y
(e)

MCN MCN

(f) VCN
MN1 MN1

MP1 MP1 MPB

I y + y+ (g)
y–
IB
2
∆i+ ∆i– MP MPB MPB

x– y y
x+ x– IB
MNd MNd IB
MN x– MN

MNB MNB

(h) (i) (j)

Figure 3. One-step voltage comparators.

581
582 COMPARATOR CIRCUITS

Table 1. Model Parameters of One-Step CMOS Comparator Structures


Param. ➛
gm 웃m go Co
Structure

冉 冊
CL ⫹
AOTAC IB 1 1 nNdWNdCGD0Nd ⫹

2 VANd VAPl nPlWPlCGD0Pl

冉 冊
CL ⫹
SOTAC IB 1 1 nNlWNlCGD0Nl ⫹

2 VANl VAPl2 nPl2WPl2CGD0Pl2

冪 冉冊 冪 冉冊
nNdIB 1 I 3B

冪2 n Nd
冉冊
웁0Nd W
L Nd
IB 2웁0Nd
W
L Nd
4VANlVACN
2
웁0CN W
nCN L CN
CL ⫹
FOTAC ⫹ nCNWCNCGD0CN ⫹
nCPWCPCGD0CP

冪 冉冊
1 I 3B
VAPIVACP 웁0CP W
2
nCP L CP

冉 冊
CL ⫹
FDPC IB 1 1 nNdWNdCGD0Nd ⫹

2 VANd VAPl nPlWPlCGD0Pl

2
웁0N W
N
冪n 冉冊
L N
IQ IQ 冉 1

1
VAN VAP
冊 CL ⫹
CInvC ⫹ nNWNCGD0N ⫹

冉冊
nPWPCGD0P
웁0P W

Not
2 IQ
nP L P applicable

冉冊 冉 冊
CL ⫹
웁0,N W
InvC 2冪 nN L N
IB IB
1

1
VAN VAPB
nNWNCGD0N ⫹
nPBWPBCGD0PB

catalog of circuit implementations, using either BJTs or and the zero-bias threshold voltage VT0 (see Appendix I for a
MOSTs. simplified MOST model).
The model of Fig. 3(b) consists of connecting a transcon- Some practical one-step comparators provide a differential
ductor and a resistor, plus a capacitor to represent the un- output voltage given as the difference between the voltages at
avoidable parasitic dynamics, and obtains the voltage gain in the output terminals of symmetrically loaded differential
a single step, as the product of transconductance gm and resis- pairs. In such cases the differential-pair bias current (hence-
tance ro ⫽ g⫺1o , so that kS ⫽ gmro ⫽ gm /go. It is shown at the forth called tail current) must be controlled through feedback
transfer characteristic of Fig. 3(c) where it has been assumed circuitry to stabilize and set the quiescent value of the com-
that 兩웃m(gm /go)兩 Ⰷ (ESH, ESL) which is fulfilled by all well-be- mon-mode output voltage (8). Figure 3(h), where the common-
haved practical circuits. mode regulation circuitry has not been included, shows a
The transconductor of Fig. 3(b) is commonly realized in CMOS circuit realization called FDPC. The fourth row in Ta-
practice through a differential pair [Fig. 3(d)] shows realiza- ble 1 shows the corresponding model parameter expressions.
tions using MOSTs and BJTs, respectively) (7). With small In some applications it is also possible to use logic invert-
variations of the differential input voltage x ⫽ x⫹ ⫺ x⫺ around ers as one-step comparators. Figures 3(i) and (j) show two
the quiescent point (defined by x⫹ ⫽ x⫺ ⫽ 0), these pairs pro- CMOS examples (9), called CInvC and InvC, respectively. The
duce incremental currents ⌬i⫹ ⫽ ⫺⌬i⫺ ⫽ gm(x/2). On the other fifth and sixth rows in Table 1 contain their corresponding
hand, large values of x produce saturated transconductor model parameter expressions. These structures have only the
characteristics similar to those in Fig. 3(b). The resistor of negative input x⫺ accessible, whereas the positive input x⫹ is
Fig. 3(b) is commonly built by using an active-load transistor- set to an internal reference given approximately by
based configuration. Figures 3(e)–(g) show three CMOS alter-
natives (7). By connecting each of these active loads to the β β
CMOS differential pair of Fig. 3(d), three one-step CMOS
P
(VDD − |VT0P |) + N
(VSS + VT0N )
comparator structures are obtained, called, respectively, AO- x+ ≡ E ≈
nP
β β nN
for CInvC
TAC [Fig. 3(e)], SOTAC [Fig. 3(f)] and FOTAC [Fig. 3(g)]. For P
+ N
purposes of illustration, the first three rows in Table 1 in-
cludes expressions for the pertinent model parameters of  nn I P nN

these one-step comparators as functions of the transistor x+ ≡ E ≈ VSS + VT0N + N B


for InvC (4)
sizes, the large-signal MOST transconductance density 웁0, βN
COMPARATOR CIRCUITS 583

This feature constrains the usefulness of these circuits as iso- tion limit, that is, as ⌬D 씮 ⌬S ⫽ EOH /kS. Because then it is not
lated comparators. They are used mostly as components of possible to assume TA Ⰶ ␶0, Eq. (6) cannot be approximated,
multistage comparator architectures. and the resolution for speed tradeoff is given by
 
Static and Dynamic Gain in One-Step Comparators
T  
  
k S D 1
The static resolution of the one-step comparator is given by D A
= EOH 
 E ln  (10)
τu 1 EOH 
OH 1−
EOH go k S D
ξS ≈ |EOS | + = |EOS | + EOH (5)
kS gm
Consider ⌬D 앒 ⌬S(1 ⫹ ⑀) with ⑀ Ⰶ 1. Equation (10) can be
Hence, it is limited by the input offset voltage and by the simplified to obtain a relationship between the static gain and
amount of voltage gain which can be realistically built into a the amplification time needed to obtain such limiting sensitiv-
single step. It depends on technology, circuit structure, and ity;
transistor sizes. The FOTAC can obtain up to around 105,
whereas the others obtain smaller gain values. For such me- T  1
dium-to-large gain values, say kS ⬎ 103, the static resolution
A
= A0 ln (11)
τu 
is basically constrained by the offset voltage, whereas the con-
straint imposed by the gain dominates for lower values of kS.
where for homogeneity with subsequent discussions, the
Now let us consider the dynamic resolution. Assume that
static gain has been renamed as A0. In the limit, as ⌬D 씮 ⌬S
the capacitor in the model of Fig. 3(b) is discharged at t ⫽ 0
and ⑀ 씮 0, TA 씮 앝.
and consider a unit-step excitation of amplitude ⌬D, such that
The time-transient performance of one-step comparators is
⌬D is in the linear transconductor region. The output wave-
illustrated through a typical example with kS ⫽ 2 ⫻ 103, ␶u ⫽
form is given by
10 ns and EOH ⫽ 1 V, such that ⌬D ⫻ TA 앒 10⫺8 Vs. Thus,
gm  t
 Co ⌬D ⫽ 10 mV requires from Eq. (9), that TA 앒 1 애s, and ⌬D ⫽
y(t) = D 1 − e− τ o , where τo ≡ (6) 1 mV requires from Eq. (10) that TA 앒 14 애s. On the other
go go
hand, if the static resolution limit has to be approached
⌬D must be larger than ⌬S for monotonic comparator re- within 1%, Eq. (11) yields TA 앒 92 애s.
sponses. Here it is assumed that ⌬D Ⰷ ⌬S, so that ⌬D(gm /go) Ⰷ
EOH. This means that the output reaches the restoring level Overdrive Recovery and Comparison
EOH in a small fraction of ␶o and, hence, Eq. (6) can be series- Time in One-Step Comparators
expanded and approximated to obtain the following expres-
sions for the output waveform and the amplification time: In CT applications, where comparators are not reset prior to
applying the input, characterization of the comparator tran-

gm t t sient requires calculating the delay and comparison times.
y(t)  D ≡  (7)
go τo t≤T D
τu t≤T For the purpose consider that the output is saturated because
A A
of an overdrive and that an opposite overdrive of amplitude
⌬D is applied at t ⫽ 0. Let us assume that y(0) ⫽ ⫺ESL. The
where ␶u ⬅ Co /gm is the unitary time constant of the amplifier.
model of Fig. 3(b) gives zero delay time TD and the following
From here and Eq. (3), the amplification time and dynamic
comparison time:
resolution, respectively, are given by

1 ESL

EOH 1+
TA = τu TC k S D
D = kS ln (12)
τu 1 EOH
1−
and k S D

EOH τu
ξD = |EOS | + ≈ |EOS | + EOH (8) For kS⌬D Ⰷ EOH and ESL and assuming EOH 앒 ESL, this equation
kD TA implies a resolution for speed tradeoff similar to that in Eq.
(9): ⌬D ⫻ (TC / ␶u) 앒 2EOH. On the other hand, in the worst case
which highlights a tradeoff between resolution and speed: when the comparator is used close to the static resolution
T  limit so that ⌬D 앒 ⌬S(1 ⫹ ⑀) with ⑀ Ⰶ 1, Eq. (12) can be simpli-
D A
≈ EOH (9) fied to give the following fundamental relationship between
τu static gain A0 and the comparison time required to attain such
limiting sensitivity:
The curve labeled N ⫽ 1 in Fig. 6(a) illustrates this tradeoff
for a typical EOH ⫽ 1 V. Because practical applications re- T  2
quire ⌬D Ⰶ EOH, this curve and Eq. (8) show that TA Ⰷ ␶u, C
= A0 ln (13)
meaning that the comparator is much slower than the under- τu 
lying voltage amplifier.
As ⌬D decreases, Eq. (9) shows that TA increases at the Assuming that A0 ⫽ 2 ⫻ 103 and ␶u ⫽ 10 ns, TC 앒 106 애s is
same rate. On the other hand, the comparator becomes in- needed to approach the resolution limit within 1%, slightly
creasingly slower as the input approaches the static resolu- larger than TA 앒 92 애s obtained from Eq. (11).
584 COMPARATOR CIRCUITS

OFFSET CANCELLATION IN ONE-STEP COMPARATORS AOTAC and the FDPC structures, this latter input offset
component is calculated as
The Offset Problem
β  IB
 β 
As already mentioned, the input offset voltage EOS poses an EOS |AL ≈ VT0Pl Pl
+ 0
important constraint on one-step comparator performance. βNd 8βNd β0
This nonideal feature reflects a lack of symmetry and has two
  β  Pl
(16)
βPl I 1
different components. Deterministic offset is caused by asym- = VT0Pl + B 0
βNd 2 gm β0 Pl
metries of the comparator circuit structure itself. For in-
stance, the FDPC structure of Fig. 3(h) is symmetrical,
whereas the AOTAC structure formed by connecting the MOS to obtain 兩EOS兩 ⫽ 兩EOS兩DP ⫹ 兩EOS兩AL.
differential pair of Fig. 3(d) and the active load of Fig. 3(e) is Equations (14), (15), and (16) suggest that EOS can be re-
asymmetric. Consequently, the output voltage at the quies- duced through proper transistor sizing. However, these tech-
cent point YQ is typically nonnull, thus making EOS ⫽ YQ /kS. niques hardly obtain offsets less than a few millivolts, not
However, because YQ, in the worst case, is of the same order low enough for many practical applications. This drawback
of magnitude as EOH, the deterministic offset component is overcome by adding offset-cancellation circuitry, by which
places a similar constraint on comparator resolution as on the residual offset values as small as 0.1 mV are obtained (11).
static gain, not significant enough to justify further consider- There are three basic approaches for offset cancellation; com-
ation. On the other hand, random offset contemplates asym- ponent trimming; control through an auxiliary nulling port;
and dynamic correction.
metries caused by random fluctuations of the transistor tech-
Component trimming commonly refers to modifications of
nological parameters and is observed in asymmetrical and in
some critical component geometries, for instance, through la-
symmetrical structures. These fluctuations mismatch nomi-
ser or electron beam cutting, to compensate for the asymmet-
nally identical transistors. The amount of mismatch is in-
ries and thus minimize offset. Because such trimming is ap-
versely proportional to the device area and distance between
plied only once during the circuit life right after circuit
them. Particularly, it has been observed that the threshold
production, the adjustment must be stable to temperature
voltage and the large-signal transconductance density of and circuit aging. Recently, techniques for nondestructive
MOSTs fluctuate with standard deviations given by (10), trimming have been proposed that exploit the long-term ana-
log storage capabilities of floating-gate MOSTs (12,13).
αV2 Another common offset cancellation technique uses addi-
σ 2 (VT0 ) ≈ T0 tional components controlled through a nulling port. Figure
WL 4(a) illustrates this technique for the SOTAC comparator.
Note that a differential pair controlled by the voltages zos⫹
and and zos⫺ has been added to the uncompensated structure
(drawn with solid black lines). Mismatch-induced current un-
balances are compensated for by setting these control voltages
 β  αβ2 and the transconductance of the additional differential pair
σ2 0
≈ 0
(14) such that
β0 WL

gmos (zos+ − zos− − EOS


os
) = EOS gm (17)
where W and L are the channel width and length, respec-
tively, 움V2 T0 and 움웁20 are technological constants, and ⌬웁0 /웁0 de- where Eos OS is the offset voltage of the offset-nulling differential
notes percentage variations. There is at least one additional pair. For increased robustness under environmental changes,
term due to the separation between transistors, but this can the control voltages are generated through a control feedback
be attenuated through proper layout. On the other hand, typi- loop that monitors EOS and updates the control voltages until
cal characterization values for the parameters of Eq. (4) in a this error is annulled. Figure 4(b) shows a conceptual block
0.5 애m technology are 움V2 T0 앒 10⫺5 V2애m2 and 움웁20 앒 10⫺4 애m2. diagram for this technique which implies two different op-
In the case of the MOST differential pair of Fig. 3(d), random erating modes. During the calibration mode, switches labeled
mismatches between the two transistors labelled MN1 render Scal are ON and those labeled Scom are OFF. Thus the nulling
their currents different for x⫹ ⫽ x⫺, and a voltage difference control voltage is generated by the control loop and stored in
given by memory. Then, during the comparison mode the circuit fea-


tures the comparator operation with reduced offset. Alterna-
IB
 β  tive implementations of the control loop reported in technical
EOS |DP ≈ VT0Nd + 0 literature use either fully analog control loops or mixed
8βNd β0
 β  Nd
(15) analog/digital control loops and feature offset voltages be-
I 1 0 tween 40 애V and 120 애V over a 120⬚C temperature range
= VT0Nd + B
2 gm β0 Nd
(11,14,15). Although this offset cancellation technique in-
volves synchronization, careful design may enlarge the time
interval between calibration events to enable quasi-continu-
has to be applied to equalize these currents. Another voltage ous-time operation.
difference EOS兩AL has to be added to this to compensate for the Self-adjusting comparators are not easy to design and are
asymmetries in the active-load circuitry. In the case of the area-intensive. Thus they are especially suitable for large cir-
COMPARATOR CIRCUITS 585

Scom
+ +
y
Scal
x
MNOS
– –
zos– zos+
Scom
y Scal

x– MNd MNd x+
Memory Control

IBos IB

Timing
IBR

(a) (b)

y
x+ C ϕr
ϕr
xa– – MP
x–
y Eos x+ C ϕr
(0,0) ϕr
I+ + y
xa–
x– ϕa xa–
EOS
Eos ks MN
ϕa
Tca 1 + ks
ϕr x+
Tcr

(c) (d) (e)

VCH

C0V y
–VCL
x+
C xa– δm
x–

Ca– ∆ xa–
y t
I+
Eos
+
(
0,
Eosks
1 + ks ) TR

(f) (g)

x– –
ϕa x– –
ϕa
ϕr ϕr
x+ I+ + ϕ y
ϕa y x+ I+ + ϕr
Eos ϕa
Eos

(h) (i)

Figure 4. Offset cancellation in one-step voltage comparators.


586 COMPARATOR CIRCUITS

cuits where the correction circuitry is shared by many com- continues degrading due to leakage current. Figure 4(f) is a
parators. simplified model for evaluating all of these degradations. In
addition to the nominal capacitor C this model includes a par-
Offset Compensation Using Dynamic Techniques asitic capacitor between node xa⫺ and ground and another
parasitic capacitor between node xa⫺ and the feedback switch
The Self-Biased Comparator Circuit. A simple, yet efficient control. Analysis using this model provides the following ex-
correction technique uses dynamic self-biasing to extract the pression for static resolution:
offset and offset storage to annul their influence (16,17). Fig-
ure 4(c) shows the corresponding circuit, consisting of an un- Cov |q | |I | |EOS | E
compensated comparator (its offset has been represented ξS ≈ |VCH + VCL| + ch + leak t + + OH
C C C 1 + kS αC kS
through a separate voltage source for enhanced clarity) plus (20)
three clocked analog switches and a capacitor. The circuit re- |EOS | E
≡ |EOSd | + + OH
quires two nonoverlapping clocks, as indicated in the figure. 1 + kS αC kS
While ␸r is at the high-state and correspondingly ␸a is at
the low-state, switches controlled by the latter clock are ON, where 움C ⫽ C/(C ⫹ Cov ⫹ Ca⫺), qch is the charge built in the
and the others are OFF. Thus, the amplifier is shorted, and switch channel while it is ON during the reset phase, and t is
hence its output voltage evolves toward a steady state xa⫺兩r ⫽ measured from the instant when the ON 씮 OFF transition
EOS(1 ⫹ k⫺1S )
⫺1
defined by the intersection of the amplifier happens. This expression shows the residual offset 兩EOSd兩 that
transfer characteristics and the bisecting line, as represented is not attenuated by comparator gain. If capacitance C is cho-
in Fig. 4(d). Providing that the reset interval is long enough sen very small, this offset may become larger than the origi-
for the transient to vanish, capacitor C is charged at a volt- nal offset. Small values of this capacitance also may result in
age vCr ⫽ x⫹ ⫺ xa⫺兩r. Note that for kS Ⰷ 1, xa⫺兩r 앒 EOS. Hence, small values of 움C, thus increasing the incremental sensitivity
during the reset phase the negative plate of the capacitor [last term in Eq. (20)], and hence producing additional resolu-
samples a voltage very close to the offset. tion degradation.
During the subsequent active time interval, ␸r goes low,
␸a goes high, and C keeps its charge because the current flow Transient Behavior and Dynamic Resolution in Self-Biased Com-
is blocked. Thus, the comparator input xa ⬅ xa⫹ ⫺ xa⫺ evolves parators. The calculations for amplification time apply to the
to a steady state xa ⫽ EOS ⫺ (x⫺ ⫺ vCr) ⫽ EOS ⫺ xa⫺兩r ⫹ (x⫹ ⫺ active phase of self-biased comparators and show the resolu-
x⫺) where the offset is substracted from its previous sample. tion for speed tradeoff in Eq. (10) already discussed. On the
The following static resolution expression results: other hand, the transients during the reset phase arise from
another tradeoff related to the onset of an additional residual
|EOS | E |EOS | go offset component. The dynamic behavior within the reset
ξS ≈ + OH = + EOH (18)
1 + kS kS 1 + gm /go gm phase can be calculated using the model of Fig. 3(b). Two dif-
ferent transients are observed. First of all there is a very fast
which shows that the offset error is smaller by a factor 1 ⫹ charge redistribution transient, dominated by the ON resis-
kS than for uncompensated comparators, see Eq. (5). tances of the switches. The output value y(0) at the end of
This procedure of dynamically sampling the ‘‘central’’ point this transient, in the worst case, is equal to one of the satura-
of an inverting transfer characteristic during reset intervals tion levels. Let us assume that y(0) ⫽ EOH. From this value,
and substracting it from the input during active intervals can the output evolves toward the steady state at EOS(1 ⫹ k⫺1 S )
⫺1

also be applied to single-ended amplifiers. Figure 4(e) shows through a second transient which is dominated by comparator
the CInvC circuit which yields dynamics. Figure 4(g) provides a global view of this second
 E
 transient. It consists of a nonlinear part, where the transcon-
ductor is in the saturation region and y evolves from y(0) to
y ≈ k S x+ − x− + (19)
1 + kS 웃m with a fixed slew-rate 웃m / ␶u, followed by a linear segment
where the evolution is realized with time constant ␶ur ⫽ (C ⫹
where E is the intrinsic reference voltage of the single-ended Ca⫺ ⫹ Co)/(gm ⫹ go) 앒 C/gm ⬅ ␶u. Thus, the reset time needed
amplifier, given by Eq. (4). Although the underlying amplifier to reach a final value larger than the steady state by ⌬xa⫺ is
is single-ended, dynamic biasing renders it capable of hand- given by
ing a differential input which may be of interest for practical
EOH − δm
δm

applications. TR ≈ τu + τu ln (21)
δm xa−
Residual Offset and Gain Degradation in Self-Biased Compara-
tors. There are several second-order phenomena that modify ⌬xa⫺ remains as a residual offset after cancellation. For the
the voltage stored at node xa⫺ and consequently degrade the typical values of kS ⫽ 2 ⫻ 103, ␶u ⫽ 10 ns, EOH ⫽ 1 V and
static resolution of self-biased comparators. The most impor- 웃m ⫽ 250 mV, Eq. (21) yields TR 앒 8.5 애s for a 1 mV residual
tant among them take place during the ON 씮 OFF transition offset. This time is smaller than the amplification time (TA 앒
of the reset feedback switch, namely feedthrough of the clock 14 애s) required to obtain ⌬D ⫽ 1 mV from Eq. (10).
signal that controls this switch and injection of its channel
charge. They make the voltage stored at note xa⫺ exhibit a Offset Cancellation Through Storage at the Output Node.
step during this transition so that its value in the active Figure 4(c) employs offset storage at the comparator input
phase differs from that stored during the reset phase, that is, node. Alternatively, offset can be compensated for by storing
xa⫺兩a 앒 xa⫺兩r ⫺ ⌬xa⫺. During the active phase this value also it at the output node. Such storage can be realized in either
COMPARATOR CIRCUITS 587

the voltage or the current domain. Figures 4(h) and (i) show As for the one-step comparator [see Eq. (9)], Eq. (25) yields
the corresponding circuits. TA ⬎ ␶u for the practical case where ⌬D ⬍ EOH. However, be-
cause of the potential dependence on N, the multistep archi-
MULTISTEP VOLTAGE COMPARATORS tecture yields smaller values of TA for any ⌬D such that ⌬D ⬍
(EOH /2). For instance, for ␶u ⫽ 10 ns, EOH ⫽ 1 V and ⌬D ⫽ 10
Static and Dynamic Gain mV, Eq. (24) yields TA 앒 141 ns for N ⫽ 2, TA 앒 65 ns for
N ⫽ 5, and TA 앒 67 ns for N ⫽ 8, smaller in all cases than
The resolution for speed tradeoff of one-step voltage compara- for the one-step.
tors is improved by using a multistep architecture (18,19) Figure 6(a) depicts TA / ␶u as a function of ⌬D for different
similar to the strategy used to enhance the voltage gain of values of N and EOH ⫽ 1 V. Figure 6(b) is an enlargement of
operational voltage amplifiers (20). Such a multistep architec- the previous diagram. It shows that for each ⌬D there is an
ture consists of the cascade connection of several one-step optimum value of N that minimizes TA. For ⌬D ⬎ (10⫺3 EOH)
stages. These stages are different in the more general case. A this optimum number is given by (19),
structure typically found in practice is a differential one-step E 
comparator at the front-end and single-ended inverters in the
Nopt ≈ 1.1 ln OH
+ 0.79 (26)
rest of the chain, as shown in Fig. 5(b) (21). However, for im- D
proved clarity in presenting the architectural principles, it
will be assumed that the cascade is formed of N identical For instance, for ⌬D 앒 (10⫺2 EOH), maximum speed is achieved
stages [see Fig. 5(a)], each having gain kS ⫽ gm /go and time by using N ⫽ 6. Using either less or more stages in the cas-
constant ␶o ⫽ Co /go. Hence the static resolution is given by cade yields slower operation.
 g N
o Offset Cancellation in Multistep Comparators
ξS ≈ |EOS | + EOH (22)
gm
Dynamic self-biasing can also be applied to cancel the offset
of multistage comparators. However, the high-order dynamics
where 兩EOS兩 is the offset of the front-end stage at the cascade.
preclude direct feedback connection of the overall output node
Equation (22) shows that for a large enough value of N, the
and the negative input. Unless compensation circuitry is
static resolution becomes basically constrained by offset volt-
used, such direct feedback connection leads to instabilities,
age, that is, the constraint due to static gain becomes negligi-
similar to the problem found in two-stage op amps (7,20). In-
ble. Such a feature is specially important when the amplifiers
stabilities are avoided by making each stage store its own off-
are realized through inverters, such as InvC and CInvC,
set, as shown in Fig. 5(c). Thus, only residual offsets—see Eq.
which have inherently low dc gain.
(20)—generated at the different stages remain. However,
For the dynamic resolution, assume as for the one-step
they are also attenuated through proper timing of the
case that offsets are null, that all capacitors are discharged
switches used for self-biasing. The inset of Fig. 5(c) shows this
at t ⫽ 0, and that an input step of amplitude ⌬D is applied at
timing. Note that the stages are switched ON at different in-
this instant. The output voltage Laplace transform is given
stants, each one after the previous. Consequently, the resid-
by
ual offset of each stage is stored at the input capacitor of the
 kS
N D next stage while the latter remains grounded, and hence the
Y (s) = (23) output remains unaltered. In this way only the residual offset
1 + sτo s
of the last stage 兩EOSdN兩 contributes to the output. Because this
offset is amplified only by the last stage itself, whereas the
Assuming that ⌬D(gm /go)N Ⰷ EOH, TA Ⰶ ␶o, and hence Eq. (23)
signal is amplified by all of the stages, the following expres-
simplifies Y(s) 앓 ⌬D /(sN⫹1␶uN). From here the output waveform
sion results for static resolution:
and TA, respectively, are given by

D 1 N |EOSdN | EOH
y(t) ≈ t ξS ≈ + (27)
τuN N! (αC kS ) N−1 (αC kS )N

and Overdrive Recovery and Delay Time


E 1/N in Multistep Voltage Comparators
TA ≈ τu OH
N! (24) Transient characterization of multistep comparators for CT
D applications requires calculating delay and comparison times.
The worst case happens when the initial condition is such
and the expressions for the dynamic resolution and the reso- that all stages are saturated due to an input overdrive ap-
lution for speed trade-off are plied and held for some instant t ⬍ 0 and then an opposite
 τ N overdrive of amplitude ⌬D very close to the static resolution
ξD ≈ |EOS | + EOH N!
u
limit is applied at t ⫽ 0. Assume, as for the calculation of
TA comparison time in the one-step comparator, that y(0) ⫽ ⫺
ESL. During the transient evolution toward the steady-state,
and y(앝) ⫽ kSN⌬D, each stage remains saturated and hence latent,
 T N while its input is smaller than ⫺ESL /kS. Figures 5(d) and 5(e)
D A
≈ N!EOH (25) show the transient waveforms for comparators with two and
τu three stages, respectively.
588 COMPARATOR CIRCUITS

+ k s, τu
+
x k s, τu
+
y1 k s, τu
– – +
1st y2
– k s, τu
+
2nd – +
3rd
– y
Nth –

(a)

MP MP
VBP
MP1 MP1

MCP MCP
VCP
∆i+ ∆i–
x+ x– y
MNd MNd
MCN MCN

VCN
MN1 MN1
IB
MNB MNB MN MN

x+ C ϕ r1
ϕ r0
– C ϕ r2
xa–
x– ϕrN
ϕa – C
y1 –
I+ + y2 xa–
y
EOS I+ +
EOS I+ +
EOS
(b)

ϕ r1
ϕa
Tca
ϕ r2 ϕ r0
Tcr

ϕrN

(c)

ESH
V02

EOH
V01
ESL/kS
t t
—ESL/kS

V01 V02 V03


—ESL
T1 T2 T1 T2 T3

(d) (e)

Figure 5. Multistep voltage comparators.


COMPARATOR CIRCUITS 589

Comparative performance of the 10

Normalized amplification time Ta /τ u


comparator architectures N=2
103 9
Normalized amplification

8
2 N=3
10
N=1 R = 1.0 7
time Ta /τ u

N=2
101 6
N=5
5
N=5 R = 0.4 N=6
100 R = 0.2
4 N=4

10–1 3
0 0.01 0.02 0.03 0.04 0.05 0.06 0.07 0.08 0.09 0.1 0 0.01 0.02 0.03 0.04 0.05 0.06 0.07 0.08 0.09 0.1
Incremental dynamic sensitivity, V Incremental dynamic sensitivity, V
(a) (b)

xCM = – 0.5 xCM = – 0.0 xCM = – 0.5


20 30 20
18 25 18
Wrong output 16 Wrong output
16
20
14 14
15 12
12
10 10
10
8 5 8
6 0 6
–0.08 –0.04 0 0.04 0.08 –0.08 –0.04 0 0.04 0.08 –0.08 –0.04 0 0.04 0.08
(c)

Figure 6. Illustrating the resolution-speed tradeoff for different voltage comparators.

Two-Stage Comparator. First consider the two-stage compa- The comparison time is the instant at which y(t) ⫽ EOH:
rator whose waveforms are depicted in Fig. 5(d). The delay 
time is that invested by the first stage in delivering the volt- ESL + EOH
age ⫺ESL /kS. Because the transient at node y1 is the first-order TC T k2S D
type, TD is mathematically expressed similarly to Eq. (12): ≈ D + kS 2 (31)
τu τu E
1 + 2 SL
k S D
ESL
1+
TD k S D Because ⌬D 앒 ⌬S and taking into account Eqs. (2) and (22),
= kS ln (28)
τu E kS2 ⌬D 앒 EOH. Thus, by assuming that EOH 앒 ESL,
1 + 2 SL
k S D
TD k
≈ kS ln S
τu 2
From t ⫽ TD, the second stage starts contributing to the volt-
age gain thus giving and
  TC T √ k √  
1 ζ
1 ζ −
kS τu ≈ D + kS 2 ≈ kS ln S + 2 (32)
y(t) = k2S D − (ESL + k2S D ) 1 + e (29) τu τu 2
k S τu
By comparing this TC with an optimistic estimation of the cor-
where ␨ ⫽ t ⫺ TD. This equation is difficult to solve exactly. responding value for one-step architecture and assuming the
However, for our purposes it can be approximated by the first same overall static gain (A0 ⫽ kS for one-step; A0 ⫽ kS2 for two-
two terms of its power expansion: step),
   
1 2
y(t) ≈ −ESL +
(ESL + k2S D ) ζ
(30)
TC |two−step
TC |one−step
=
1 √
 2 + ln
A0
2
<1 
(33)
2 k S τu 2 A 0
590 COMPARATOR CIRCUITS

It shows that the possibility of distributing the gain between This is confirmed through analysis of Fig. 7(a). Assuming
the two stages also gives faster operation in overdrive re- that both transconductors and the resistor Go(y) operate in-
covery. side their linear regions [see Fig. 3(b)] and defining 움F ⬅ 1 ⫺
(gmo /go),
Three-Stage Comparator. Now consider Fig. 5(e), corre-
gm gm −1
sponding to the three-stage comparator. The delay time now kS = = α (38)
has two components. The first TD1 is given by Eq. (28). The go − gmo go F
second is the time needed for the second-stage output to
reach ⫺ESL /kS and is calculated by using Eqs. (29) and (30): Let us consider that go ⬎ gmo, and hence 움F ⬎ 0. Equation (38)


 

shows that as gmo increases by approaching the go value, the
voltage gain also increases, thereby confirming the action of

 ESL ESL E 


 1+ k  − 3 SL 
 positive feedback. Because the incremental static sensitivity
TD T T k D
2 k S D
= D1 + D2 ≈ kS ln S D
+ 2 S ⌬S is inversely proportional to the static gain, such an effect
τu τu τu 
 ESL ESL 
 could be exploited to improve the resolution of one-step com-

 1+ 2 1+ 2 

 k S D k S D  parators, with no additional stages needed. In the limit for
(34) gmo 씮 go, kS 씮 앝, and hence ⌬S 씮 0. On the other hand, Eq.
(10) shows that for ␶u and ⌬D fixed, the speed of a one-step
From t ⫽ TD the third stage starts working so that after a comparator also increases with increasing kS. For instance,
power-series expansion, with ␶u ⫽ 10 ns, EOH ⫽ 1 V and ⌬D ⫽ 1 mV, Eq. (10) yields
TA 앒 47 애s for kS ⫽ 1010 and TA 앒 10 애s for kS ⫽ 11000.
(ESL + k3S D )
 ζ
3 TC Figure 7(b) shows a circuit implementation of positive
y(t) ≈ −ESL + ⇒ feedback in one-step comparators. Figure 7(f) shows a CMOS
3! k S τu τu
 schematic for this implementation where
 E +E

TD



SL
k3S D
OH (35)  β0No
W 
≈ + kS 
3! gmo = 2 IBo
τu  E nNo L No
3 1 + 3 SL
k S D
and
Under assumptions similar to those for two-stages, namely,
I + IBo
 1 1

kS3 ⌬D 앒 EOH and EOH 앒 ESL, the following expression is ob- go = B + (39)
tained for the comparison time as a function of the overall 2 VANl VAPl
gain A0 ⫽ kS3 :
Because of the positive feedback action, this circuit, as any
 
TC √ √
3
 ln(A0 ) √ √
3 other including positive feedback, for instance, Fig. 7(g), is
≈ kS [ln(kS ) + 2 + 3!] = 3 A0 + 2 + 3!
τu 3 very sensitive to random fluctuations of technological parame-
(36) ters, such as 웁0 in Eq. 39. Consequently, very small nominal
values of 움F should be avoided if this parameter must be kept
This can be easily generalized to N stages: positive in the presence of such fluctuations. In practice it is
  hard to guarantee robust operation with 움F 앒 0.01. A robust
TC  ln(A0 )  N √
m conservative value might be 움F 앒 0.1, which reduces ⌬S by a
≈ N A0 + m! (37) factor of 10 and improves the nominal speed by a factor
τu N m=2
around 4.7—not too much improvement. Actually, analysis of
Eq. (10) taking into account Eq. (38) shows that speed cannot
It shows that for the same static gain, equivalently, the same
be improved any further despite the value of 움F. The following
static incremental sensitivity ⌬S ⫽ EOH /A0, the comparison
section shows that much larger speed improvement is
time decreases with the number of stages, even in overdrive
achieved by allowing 움F to be negative, the counterpart being
recovery.
degradation of resolution.

VOLTAGE COMPARATORS WITH POSITIVE FEEDBACK One-Step Comparators with Global Positive
Feedback: The Onset of Hysteresis
Using Partial Positive Feedback to Enhance the Voltage Gain Let us focus again on Fig. 7(a) and define 웁F ⫽ ⫺움F ⫽
Consider the conceptual circuit of Fig. 7(a). In addition to the (gmo /go) ⫺ 1. Consider 웁F ⬎ 0. This implies that gmo ⬎ go and
conventional transconductor Gm(x) controlled by input volt- hence that the amount of negative feedback exercised by go is
age, this circuit contains another Gmo(y) controlled by output smaller than the positive feedback due to gmo. The global feed-
voltage. The former injects a current proportional to x into back is hence positive. This has two major consequences on
the output node, whereas the current injected by the latter is comparator behavior:
a function of the output node voltage. Hence this new trans-
conductor is acting as a resistor. Its current enters the node • The time constant for small-signal variations around y ⫽
for positive values of y which means that its incremental re- 0 is negative. Consequently, the transient evolution from
sistance is negative and, consequently, induces a positive this point follows an exponentially increasing law. In
feedback action on the overall comparator operation. particular, assuming that y(0) ⫽ 0 and that an input step
COMPARATOR CIRCUITS 591

ic
y

Ca + + +
x
Gm(x) Gmo( y) Go( y) y
– – –
gm gmo

iC
(a) (b)

–3
–2
iC – gmx
–1 0 1 2 3
Gmo(x) –1 g
–ESL y Slope – gm ESH
Slope go IBo 0 o
ESH
– δ mo 1
–ESL y –ΓH ΓH
δ mo x
2
ESH
3
–ESL
Go(y)
Slope – (gmo – go) –3 –2 –1 0 1
Slope –
( ( gm –1
go β F

(d) (e)
(c)

MPI MPI
MPI MPI MPo
MNo MNo
y
y
MNd MNd MNd MNd x+
x– x+ x–

MNI
IBo IB
MNI
IBR
IBR

(f) (g)

x –
y
+

γ –1

y(t)
y
1 ΓH
EOH γ t
1 – γ /ks –ΓH

x x(t)

(i)
–EOL

(h)

Figure 7. Using positive feedback in one-step comparators.


592 COMPARATOR CIRCUITS

of amplitude ⌬D is applied at t ⫽ 0, the output waveform constant ␶ ⫽ Co /go. Once on the bottom segment of the trans-
is given by fer characteristics, the output remains negative while the

gm −1
 g
 edge x ⫽ ⌫H is not surpassed.
tβ F Co Obviously, the incremental static sensitivity of hysteretic
y(t) = D β e o −1 (40)
go F comparators is inherently smaller than ⌫H. Hence the onset
of hysteresis implies degradation of resolution. However,
This exponentially increasing law enables much faster small hysteresis is useful to avoid glitches in those applica-
operation than for conventional one-step and multistep tions where signals are embedded in a noisy environment.
comparators. This is illustrated in Fig. 7(i), which shows that by defining
• The large-signal comparator transfer characteristics are the edges of the hysteretic characteristic equal or slightly
multivalued. Hence, the comparator exhibits hysteresis greater than the amount of the largest expected noise ampli-
when operating in the CT mode with large-signal excita- tude, spurious glitches are avoided.
tions. The circuits of Figs. 7(f) and 7(g) can be designed to have
hysteresis. Figure 7(h), where we assume 0 ⬍ 웂 ⬍ 1, shows
Let us focus on the second feature. Graphical analysis of Fig. another hysteretic circuit that uses a single one-step compa-
7(a) using the models of Fig. 3(b) yields the characteristics rator and exploits the positive input terminal for positive
drawn in solid black in Fig. 7(c). It displays iC ⫹ gmx as a feedback. The figure also shows the cycle featured by the cir-
function of y, where iC is the current leaving the capacitor. cuit, where the hysteresis region edges are set through proper
This figure shows that the capacitor sees a negative resis- gain setting of the scaling block in the feedback path.
tance around y ⫽ 0—the reason why the time constant
around this point is negative. The figure also shows that the Discrete-Time Regenerative Comparators
global characteristic seen by the capacitor is multivalued. To
better understand why this latter feature leads to hysteresis, The onset of hysteresis in positive-feedback comparators is a
let us consider x changing, and draw a family of iC versus y consequence of capacitor memory. If comparators are made to
curves with x as parameter. Figure 7(d) shows such a family operate in discrete time and the memory is periodically elimi-
and Fig. 7(e) shows the corresponding y versus x comparator nated through resetting, hysteresis disappears (in practice
transfer characteristic. Assume that x is such that the capaci- some hysteresis remains because of second-order phenom-
tor sees the curve labeled 3 in Fig. 7(d). This curve intersects ena). As in any discrete-time (DT) comparator, a clock must
the y axis only at y ⫽ ESH. Hence this is the steady-state out- be used to control operation. In the clock-reset phase the com-
put as Fig. 7(e) shows. At the intersection points the current parator is disconnected from the inputs and driven to a cen-
through the capacitor is null and hence dy/dt ⫽ 0. These tral point. Then, in the comparison phase, the input is applied
points are equilibrium states where y(t) ⫽ cte and the circuit and a transient evolution happens toward one of the satu-
may remain static (22). In practice the circuit actually re- rated states. The qualitative issues for this behavior are illus-
mains static provided that the slope of the io versus y curve is trated in Fig. 8(a) for the circuit of Fig. 7(b). During the reset
positive around the point (stable equilibrium) and is not oth- phase the output is driven to the central point of Fig. 8(a),
erwise (unstable equilibrium). Starting from any arbitrary P0, where y ⫽ 0. During the comparison phase, for x ⬎ 0, the
initial value of y, the circuit trajectory toward steady-state is capacitor sees the bottom characteristics of Fig. 8(a) which
determined by the attraction exercised by stable equilibrium include three equilibrium points (see previous discussion of
points and the repulsion exercised by unstable equilibrium intersection points): two stable, QL and QH, and the other un-
points. stable, Q0 (refer again to the previous discussion). Because
Now consider that x decreases such that the curve seen by the capacitor charge cannot change instantaneously, the ini-
the capacitor changes sequentially from that labeled 2 to that tial state is y ⫽ 0 corresponding to P⫹ on the characteristic,
labeled ⴚ3. For x corresponding to curve 2, the circuit oper- which is located on the right-hand side of Q0. From P⫹ the
ates at the rightmost edge of the multivalued region: repulsion action exercised by Q0, precludes reaching the left-
hand stable equilibrium at QL, and the trajectory is attracted
go toward the right-hand stable equilibrium at QH, where y ⫽
H = δmo β (41)
gm F ESH. On the other hand, for x ⬍ 0, the central point pushes
the trajectory toward the equilibrium at QL, where y ⫽ ⫺ESL.
and yields y 앒 ESH. For smaller x and until the other edge is In both cases, dynamic evolution is realized with negative
reached, the circuit operates inside the multivalued region time constants and hence at very high speed.
where there are two valid solutions. However, the output volt- Except for the influence of second-order effects, the opera-
age remains positive. The reason is that this voltage is stored tion described is valid no matter how small the input signal
in the capacitor and the capacitor charge remains unchanged magnitude may be. Only the input sign is significant. It
because at steady-state iC ⫽ 0. When x reaches the leftmost means that DT positive feedback comparators can build infi-
edge of the hysteresis region, for x ⫽ ⫺⌫H, the capacitor sees nitely large dynamic gain—a feature not shared by one-step
the curve ⴚ2, whose only valid solution is y ⫽ ⫺ESL. Conse- or by multistep comparators whose maximum dynamic gain
quently, around this x value the output must jump from y ⫽ is smaller than the static gain. This is confirmed by Eq. (40),
웃mo to y ⫽ ⫺ESL. The dynamics of such a jump are dictated by which shows that the output waveform is not bounded no
the slopes of the different segments of the characteristic seen matter how small ⌬D may be.
by the capacitor. First, the output evolves from y ⫽ 웃mo to y DT positive-feedback comparators, usually called regenera-
⫽ ⫺웃mo with negative time constant ␶ ⫽ ⫺(웁⫺1 F Co)/go. Then, tive comparators, are commonly built by cross-coupling a pair
from y ⫽ ⫺웃mo to y ⫽ ⫺ESL the evolution is with positive time of inverters to form a latch—a circuit structure often used as
COMPARATOR CIRCUITS 593

C y+ – y–
x<0 xa–
x– y+ QH
P– ϕr ϕa
τ
ESH
QL Q0 Q0 QH x<0 x>0
y ϕr
–ESL P0 Q0 xa+ – xa–

P+ τ
ϕr ϕa y–
QL
x+
xa+
x>0
(a) (b) C (c)

MPB ϕa

ϕr ϕr ϕr
ϕa
MNS MNS MPB xa+ xa–
y+ y– y+ y–
y+ y–
x– xa– xa+ x+ ϕr

xa– xa+ xa+ xa–


y+
y– ϕa
MNB
MNB ϕa ϕa

(d) (e) (f) (g)


C
xa+ y+ y– xa–

gmin+ x+ g0+ Co+ (


gm+ y– –
EOS
2 ( (
gm– y+ +
EOS
2 ( Co– g0+ gmin– x–

(h)

ϕr
x– ϕ a1 xa– ∆i+ ∆i–
x+ y+ ϕr
ϕr C ϕ a2
MNd MNd x–
x+
y+ y–
ϕ a2
x+
ϕ a1 y–
ϕr
x– xa+
ϕr C ϕr

IB xa+ xa–
ϕr
ϕ a1 MNB MNB
ϕ a2
(j)
(i) ϕr
xa–
ϕa1 ϕ a2 y+
x+ C C
ϕr –
x– +
ϕa1
x– –
ϕr +
x+ C ϕ a2
y–
xa+
(k) C
ϕr

Figure 8. Regenerative comparators.


594 COMPARATOR CIRCUITS

a sense amplifier in dynamic RAMs (1). Figure 8(b) shows the circuit is initialized at t ⫽ 0 such that y(0) ⫽ y⫹(0) ⫺ y⫺(0) and
concept of a regenerative comparator based on a latch, where that a differential input step of amplitude ⌬D ⬅ x⫹ ⫺ x⫺ is
the triangles in the feedback loop model delays in the trans- applied at this instant, the differential output waveform can
mission of voltages around the loop. [This is a very crude be approximated as
model. Correct modelling requires a nonlinear vectorial differ-
ential equation of at least second-order that takes into ac- gm in g
t Cm gm in t
y(t) ≡ y+ (t) − y− (t) ≈ D e o ≡ D e τu (44)
count impedances at the different nodes. Then the dynamic gm gm
has to be analyzed in the phase space (22).] The inverters
amplify the differential input xa⫹ ⫺ xa⫺ to obtain the saturated A similar equation is found for those cases where the latch is
differential output y⫹ ⫺ y⫺ according to the characteristics driven during the reset phase by establishing a voltage unbal-
drawn in solid black in Fig. 8(c). During the reset phase, the ance y(0) ⫽ y⫹(0) ⫺ y⫺(0) ⬅ ⌬D. Then y(t) 앒 ⌬Det/ ␶u. From Eq.
circuit is driven to the central state Q0. During the active (44) the following expression is found for the resolution for
phase, the differential input is applied, forcing an initial state speed tradeoff:
either at the right, x ⬎ 0, or at the left, x ⬍ 0, of Q0. From
T     
this initial state, the action of positive feedback forces the out- D EOH gm
D A
 EOH ln (45)
put to evolve either toward QH, for x ⬎ 0, or toward QL, for τu EOH D gm in
x ⬍ 0, as illustrated by the gray line trajectories in Fig. 8(c).
Figures 8(d) to 8(g) show several CMOS latches reported
Figure 6(a), where R ⬅ gm /gmin compares this tradeoff to that
in the literature (23–27). For Figures 8(d) and 8(e) during the
given by Eq. (25) for multistep comparators. It shows that, as
reset phase, transistors MNB and MPB are OFF so that the
already anticipated, regenerative comparators feature faster
latch is disabled. Hence, nodes xa⫹ and xa⫺ are at a high-im-
operating speed despite the value of N.
pedance state and input voltages can be sampled at these
nodes. Transistors MNS in Fig. 8(d) are used for that purpose.
Asymmetries in DT Regenerative Comparators:
Then, the voltage difference is amplified when the latch be-
Mixed Comparator Architectures
comes enabled during the active phase. Alternatively, the
nodes xa⫹ and xa⫺ are driven in the active phase with currents Spurious differential signals, coupling between the two latch
obtained from the input voltages by transconductors, as illus- branches, and mismatches between their parameters pre-
trated in Fig. 8(k). This is the only excitation alternative for clude correct amplification of small ⌬D values. Their influence
Figs. 8(f) and 8(g). can be assessed by studying the equilibrium points of Eq.
The circuit of Fig. 8(h) is a small-signal, first-order model (42), their eigenvalues, and their eigenvectors (22) which are
of the latch behavior during the active phase. It corresponds out of this article’s scope. On the other hand, the influence of
to the case where signals are applied through transconductors spurious random signals is a much harder problem.
and includes asymmetries between the two latch branches Note from Eq. (42) that the influence of offset EOS between
and capacitive coupling between the two latch outputs. Such two branches is similar to that observed in one-step and
coupling and asymmetries appear in practical circuits and are multistep comparators, that is, ␰D 앜 兩EOS兩. It can be attenuated
responsible for significant errors observed in actual latch op- through separate self-biasing of the two latch branches. The
eration (28). The circuit of Fig. 8(h) captures the latch dy- circuit of Fig. 8(i) employs this strategy (29). Larger offset
namic in the following state equations: attenuation is achieved by using capacitors, instead of just
wires, in the latch coupling branches of this circuit.
dy+ However, dissymmetries between transconductances gm⫹
(Co+ + Cc ) = − go+ y+ − gm+ y− + gm in+ x+
dt and gm⫺ and between the capacitors Co⫹ and Co⫺ produce much
E dy− larger errors for regenerative comparators than for one-step
+ gm+ OS + Cc
2 dt and multistep comparators. The amount of error depends on
the input signal common mode xCM, as Fig. 6(c) illustrates.
and This figure shows the outcome of simulations realized using
Eq. (42) with realistic transconductance mismatches of 10%
dy−
(Co− + Cc ) = − gm− y+ − go− y− + gm in− x− and capacitive coupling of 30%. For zero common mode the
dt (42) figure does not anticipate limitations on ⌬D. On the other
E dy+ hand, as the common mode increases to half of the swing
− gm− OS + Cc
2 dt range, 兩⌬D兩 has to be larger than 앒30 mV for correct codifica-
tion of the input signal polarity. This value increases up to
First assume full symmetry, equal positive and negative pa-
앒50 mV if 10% mismatches are considered for transconduc-
rameters, EOS ⫽ 0, and negligible capacitive coupling. Then,
tances and capacitances. It imposes a strong constraint on
the previous two equations can be substracted so that dynam-
comparator resolution, not shared by either one-step or
ics are represented by a single differential equation:
multistep comparators.
d(y+ − y− ) This problem of regenerative comparators is overcome by
Co = gm (y+ − y− ) − go (y+ − y− ) + gm in (x+ − x− ) placing a preamplifier in front of the regenerative core. This
dt
(43) is actually the role played by transconductances gmin in Fig.
8(h), and resolution improvement is roughly proportional to
The first term on the right-hand side of this equation repre- the ratio gmin /gm. Figure 8(j) shows an actual CMOS circuit
sents positive feedback, the second negative feedback, and the implementation of this concept (25). Alternatively, if the latch
last the input. Assume (gm /go) Ⰷ 1. Then, assuming that the is driven through voltages, a mixed comparator architecture
COMPARATOR CIRCUITS 595

consisting of the cascade of a self-biased one-step comparator example of a practical current comparator belonging to the
and a self-biased latch can be used. Larger accuracy is former class (31), and Fig. 9(d) shows a corresponding exam-
achieved by making the latter a fully differential type, as ple for the latter (32). These two classes display quite differ-
shown in Fig. 8(k) (18,29). ent properties for dynamic resolution ␰D.

About the Resolution of Resistive-Input and


BASIC CURRENT COMPARATORS Capacitive-Input Current Comparators
Building Current Comparators from Voltage Comparators Obviously, the resolution of Fig. 9(b) depends on the sensing
device and on the voltage comparator structure. For compari-
As defined in the first Section, current comparators are used son, consider the simplest case where the latter is realized
to map the difference between two analog currents x⫹(t) and through a one-step architecture with dc gain gmRb and unitary
x⫺(t) onto a digital voltage y, so that the state of the latter time constant ␶u ⫽ Cb /gm as shown at the conceptual level in
codifies the sign of the former. The larger the transimpedance Fig. 9(e). Assume, as shown in Fig. 9(e), that an overdrive
gain kS, the smaller the incremental static sensitivity parame- current step of magnitude ⌬D is applied at t ⫽ 0 and that the
ter ⌬S, and the more sensitive the comparator under dc excita- circuit is at its central point before applying the step. Routine
tion. Hence, the process of current comparator synthesis con- analysis obtains the following expression for the output volt-
sists essentially of finding circuit structures to obtain the age waveform:
largest possible kS. One obvious architecture uses a large re-
sistor for current-to-voltage conversion and a buffer for output
 δ0 δb

voltage isolation [shown at the conceptual level in Fig. 9(a)]. y(t) = gm Ra Rb D 1− e−t/δ a − e−t/δ b , t>0
δa − δb δb − δa
Thus, the transimpedance gain is contributed only by the re- (46)
sistor. For greater design flexibility, the buffer is replaced by
a voltage comparator that also contributes to kS. The front- where ␶a ⫽ RaCa and ␶b ⫽ RbCb. From here the amplification
end current-sensing device can also be replaced by a more time TA and the incremental dynamic sensitivity ⌬D are calcu-
general reactive impedance Za(s) (30), thus leading to the con- lated by using Eq. (3).
ceptual architecture of Fig. 9(b). Consider the resistive-input case first. It yields Ra Ⰶ Rb
For design purposes it is worth considering two extreme and Ca 앒 Cb. The resistance Ra and capacitance Ca in the in-
cases for the architecture of Fig. 9(b), one where the sensing put stage of Fig. 9(e) model the parallel combination of the
device is dominated by the resistive component and one where nominal sensing elements and the parasitics from the driving
the capacitive component is dominant. Figure 9(c) shows an and amplifying stages. This means that in an optimum de-

Buffer
y
+ +
Za(s)
x+(t) x–(t) R = ks ya y
Ra Ca –
x+(t) x–(t)

(a)
(b)

x(t) y x(t) y

(d)
(c)

∆D y
+
Za(s)
0
t=0 ya Rb Cb
x+(t) x–(t) Ra Ca gm ya

Figure 9. Basic current comparator ar-
chitectures and exemplary CMOS imple-
(e) mentations.
596 COMPARATOR CIRCUITS

sign Ca is of the same order of magnitude as Cb and that the Hence, the static resolution parameter is given by
maximum attainable Ra value is limited by the device’s early
1
 EOH + EOL

voltage, similar to what occurs for Rb. Therefore the time con- ζS ≡ |EOS | + S = |EOSa | + |EOSb| + (52)
stant of the input stage is much lower than that of the output Rc 2gm Rb
stage. Taking this into account and assuming t Ⰶ ␶b, Eq. (46)
is simplified to obtain where the larger Ra, the smaller ␰S. Actually, for ideal capaci-
tive input, where RaCI 씮 앝, Eq. (52) yields ␰S 씮 兩EOSa兩. Then,
1 Cb EOH τu EOH any input current x(t) such that 兩x(t)兩 ⬎ 兩EOSa兩, no matter how
D ≈ = (47) small 兩x(t)兩 ⫺ 兩EOSa兩 may be, is integrated by the input capaci-
RI TA gm Ra RI TA Ra RI
tor forcing the input of the voltage comparator to evolve so
that the sign of the input current is correctly coded.
which shows a direct dependence with the unitary time con- Now consider applications involving large currents. Analy-
stant of the voltage comparator and an inverse dependence sis of Eq. (47)–(49) shows that the resistive-input architecture
with TA, similar to that observed for one-step voltage compar- is faster whenever ⌬D ⬎ ⌬*D . Also, because the voltage varia-
ators. tions at the input node ya ⫽ x ⭈ Ra are smaller for resistive-
Now consider the capacitive-input case. The input node of input comparators, this structure can be expected to exhibit
this structure is the high-impedance type and hence, ␶a and smaller interstage loading errors and to perform better under
␶b are of the same order of magnitude. Taking this into ac- overdrive excitations.
count and assuming t Ⰶ ␶b, the dynamic resolution is calcu-
lated by making a Taylor expansion of Eq. (46) and keeping Multistep Current Comparators
the linear and the quadratic terms:
Multistep current comparators are implemented by cascading
τu τa CI EOH a current-sensing device to perform current-to-voltage conver-
∼ 1 C E
D = 2 2 b (Ca Ra CI ) OH ≈2 (48) sion, followed by a multistep voltage comparator. Analysis of
CI TA gm Ra CI TA2 Ra CI
such a structure yields the following expressions for amplifi-
cation time:
where ⌬DCI is directly proportional to the unitary time con-  !1/N
stants of the voltage comparator and the current sensing EOH
front-end and inversely proportional to the square of the am- TA  δu N! , for resistive input
D Ra RI
plification time. RI

Comparative analysis of Eqs. (47) and (48) shows a differ-


and
ent accuracy for speed tradeoff for each current comparator
architecture. The two architectures feature the same speed  !1/(N+1)
τa CI EOH (N + 1)!
(i.e., the same amplification time) for the following value of TA ≈ τu for capacitive input
the dynamic resolution parameter:  D τu Ra CI
CI
(53)
1 τu EOH Ra CI
∗D ≈ (49) Both architectures feature the same speed (i.e., the same am-
2 τa CI Ra RI Ra RI
plification time) for the following value of the dynamic resolu-
tion parameter:
Analysis of Eq. (49) using a feasible set of parameter values,
  R N
namely ␶u ⫽ 10⫺8 s, ␶aCI ⫽ 10⫺7 s, EOH ⫽ 1 V, RaRI ⫽ 105 ⍀, and N! EOH a CI τu
RaCI ⫽ 106 ⍀, yields ⌬*D 앒 5 애A. For ⌬D ⬍ ⌬*D and because ⌬DCI ∗D ≈ (54)
(N + 1)N Ra RI Ra RI τa CI
앜 T⫺2A , capacitive-input architecture yields smaller TA than re-
sistive-input, where ⌬DRI 앜 T⫺1 A . This means that capacitive- Analysis of Eq. (54) using a feasible set of parameter values,
input architecture is faster for applications involving small namely, ␶u ⫽ 10⫺8 s, ␶aCI ⫽ 10⫺7 s, EOH ⫽ 1 V, RaRI ⫽ 105 ⍀,
input currents. RaCI ⫽ 106 ⍀, and N ⫽ 2 results in ⌬*D 앒 2.2 애A which is lower
The advantages of capacitive-input for small currents are than the dynamic resolution term obtained for the one-step
confirmed by calculating the static sensitivity ⌬S and the off- current comparator for the same parameters.
set 兩EOS兩. The former is inversely proportional to the dc trans-
impedance, given as the product of Ra and the dc voltage com-
parator gain. Thus, ADVANCED CURRENT COMPARATORS

The previous section shows that resistive-input and capaci-


1 EOH + EOL
S = (50) tive-input comparators are complementary architectures.
2 g m Ra Rb
This section presents improved architectures that combine
the advantages of these two basic schemes, namely, large sen-
On the other hand, the offset has two components: the input sitivity and reduced amplification time for low-current levels
current offset of the sensing device and the input offset of the and reduced input voltage excursion for large current levels.
voltage comparator attenuated by Ra. Thus,
Current Comparators with Nonlinear Current Sensing
|E |
|EOS | = |EOSa| + OSb (51) Figure 10(a) shows the conceptual block diagram of a current
Ra comparator where the linear resistor Ra of Fig. 9(b) is re-
COMPARATOR CIRCUITS 597

Slope 1/Ra*
xR
a
+
xR +
a Slope 1/Ra
ya y –δ L
Ra ya
x+(t) x–(t) – (0,0) δ H
Ca

(a)
(b)

x(t) xR
a
P2
JH
t ya xN
x(t) –δ L
MP
A
xP MN
– JL P1 δH

(c)

xN (d)
MP MP
x(t) x(t)
A
B A B
xP
MN
MN

Figure 10. Current comparator with non-


(e) (f) linear current sensing.

placed by a nonlinear resistor ᑬa with the driving-point char- where it is assumed that ␶a ⬅ RaCa 앒 ␶b ⬅ RbCb and ␶*a ⬅
acteristics of Fig. 10(b). This characteristic has three seg- R*a Ca Ⰶ ␶b ⬅ RbCb. This results in the following design con-
ments. In the inner one, for low currents, the equivalent straint:
resistance Ra is very large, and the circuit behaves as a capac-
itive-input architecture. On the other hand, for large cur- δH
2
τa
> 2D EOH (56)
rents, the equivalent resistance R*a is much smaller and the Ra τu
circuit behaves as a resistive-input one.
To calculate the incremental dynamic sensitivity, consider where the incremental dynamic sensitivity is given by Eq.
that the voltage comparator has one-step architecture, similar (48). On the other hand, the formula for the static resolution
to Fig. 9(e). Following the application of a current step of am- parameter [Eq. (52)] remains valid.
plitude ⌬D, the input voltage evolves quasi-linearly with time In the more general case of an excitation between two over-
while in the inner segment of the nonlinear resistor and re- drive levels ⫺JL and JH, the dynamic evolution of the input
mains quasi-constant otherwise. Correspondingly, the output node also includes points in the outer segments of the nonlin-
voltage evolves quadratically with time during the first part ear resistor [see the dynamic route of Fig. 10(c)], and calculat-
of the transient and linearly afterward. To keep the speed ing the output waveform is not direct. However, neglecting
advantages of capacitive-input architecture, the restoring delays in the devices used to realize the nonlinear resistor,
logic level EOH should be reached during the first part of the the response time will be a monotonic function of the time
transient, that is, such that, invested for the input voltage to change from ⫺웃L to 웃H.
Hence,
 
TA Ca
ya (TA ) ≈  Ra < δ H TC = f (δ + δL ) (57)
τa D JH H

and where the exact functional relationship depends on the actual


voltage comparator used.
Figures 10(d) and 10(e) show simple CMOS nonlinear re-
1 TA2 sistor realizations. Both yield 웃L ⫽ 兩VTP兩 and 웃H ⫽ VTN. This
y(TA ) = EOH ≈  Ra (55)
2 τu τa D results in a rather large dead zone around 2V, and hence Eq.
598 COMPARATOR CIRCUITS

(57) anticipates rather poor response time. In the case of Fig. to drive the comparator (30). Besides, the aspect ratios of MN
10(e), the dead zone length can be reduced by biasing the and MP must be large enough to reduce R*a .
gates of MN and MP with different voltages, namely, VGN ⫽
VTN ⫺ 웃L and VGP ⫽ 兩VTP兩 ⫹ 웃H. This can be done with the
Current Comparators with Nonlinear Feedback
circuit of Fig. 10(f), which consists of two stacked complemen-
tary, first-generation current conveyors as originally proposed Figure 11(a) shows an improved architecture that reduces the
by Smith and Sedra (33). The circuit is similar to the class central region length. Contrary to Fig. 10(a), the voltage-mode
AB current amplifier proposed in Ref. (34) (see also Ref. 35 comparator of Fig. 11(a) does not operate in open loop but
for an improved version). In any case, 웃H and 웃L should be uses the nonlinear resistor for negative feedback. There are
large enough to guarantee that the central region of the driv- three different operating regions that correspond to the three
ing-point characteristics matches that of the voltage compara- segments of the nonlinear resistor characteristic depicted in
tor under global and local statistical variations of the techno- Fig. 10(b). For small changes around the quiescent point
logical parameters. Such a large central region length may (x⫹ ⫽ x⫺ ⫽ 0), the equivalent resistance of the feedback resis-
induce significant loading errors in operating the stage used tor is large, the voltage amplifier operates practically in open

x
Ra
Ra

A B

+ +

y
Ca ya
x+(t) x–(t) –

E

(a)

x
Ra
MN

Slope
( (
1 + A0
Ra
Slope
( (
1 + A0
Ra *
xN

E +
– ∆L x(t) y
y
∆H –
xp
MP

(b) (c)

IB
MP
xN
MP
E + xN
x(t) y +
E
– y
x(t)
xp –
xp
MN

MN
(d)
IB

Figure 11. Current-mode comparator us-


ing nonlinear feedback. (e)
COMPARATOR CIRCUITS 599

loop, and the circuit preserves the capacitive-input feature the same assumptions as for the circuit of Fig. 11(a), the re-
(the comparator input is the high-impedance type). For x⫹ ⬎ sponse time is given by (36),
x⫺, voltage ya is pulled up and the amplifier decreases y. Thus,
the resistor enters in the rightmost segment of the character-
 2τ C
u s
TC ≈ (VTN + |VTP |) (60)
istic, allowing the input voltage to reach a bounded steady- JH
state (the input of the comparator is a low-impedance node).
A dual situation occurs for x⫹ ⬍ x⫺, where ya is pulled down where ␶u is the unitary time constant of the voltage compara-
and y is high. Consequently, the comparator sees the charac- tor and Cs is the input capacitance.
teristics of Fig. 11(b), where, when E ⫽ 0, To conclude this section, Fig. 11(e) shows a circuit similar
to Fig. 11(c) where transistors MP and MN are swapped and
δL the feedback is positive, instead of negative. It operates as a
L =
1 + A0 CMOS current Schmitt trigger where the positive and nega-
tive threshold values of the hysteretic characteristic are de-
fined by the lower and upper current sources, respectively.
and

δH APPENDIX I. SIMPLIFIED MOST MODEL


H = (58)
1 + A0
MOS transistors exhibit different operation depending on the
current and voltage levels. Throughout this article we consid-
where A0 denotes the amplifier gain and ⫺웃L and 웃H are the
ered only the MOST model under strong channel inversion,
nonlinear resistor breakpoints. Note that the central region
and described its first-order behavior using a model with four
length reduces as the amplifier gain increases. A negative
parameters, namely, zero-bias threshold voltage VT0, the slope
consequence of feedback is that the output signal becomes
factor n, the intrinsic transconductance density 웁0, and the
clamped at ⫺웃L and 웃H, respectively. Hence, it may be neces-
equivalent Early voltage VA (37). Two subregions are consid-
sary to cascade an additional voltage comparator to restore
ered within strong inversion:
the logic level.
Figures 11(c) and 11(d) show practical CMOS realizations • Triode (or ohmic) region. In this regime, the source and
of the nonlinear feedback current comparator. A common fea- drain voltages VS and VD remain below Vp ⫽ (VG ⫺
ture of these circuits is that the transition region of the non- VT0)/n, where VG is the gate voltage (all voltages are re-
linear resistor tracks by construction that of the voltage am- ferred to the local substrate). The drain current takes the
plifier, which means that the operation is insensitive to form
mismatches and hence permits using minimum size transis-
tors. This is important because minimum transistors mean W  n 
minimum parasitic capacitances and hence reduced response ID = 2β0 VG − VT 0 − (VD + VS ) (VD − VS ) (61)
L 2
times.
In the case in Fig. 11(c), simple CInvC or InvC structures where W/L is the aspect ratio of the transistor.
can be used for the voltage comparator, thus leading to very • Saturation region. Assuming forward operation, this re-
compact realizations. However, this structure has the draw- gime is reached when VS ⬍ Vp ⬍ VD and the drain current
back that the transient behavior is largely dominated by the is given by
overlapping capacitance Cf which connects input and output  VD − Vp

terminals of the voltage amplifier. Analysis obtains the fol-
ID = βN (VG − VT0 − nVS )2 1+ (62)
lowing expression for comparison time (30): VA

A0 C where
TC ≈ (V + |VTP |) f (59)
1 + A0 TN JH
β0 W
β≡
n L
which implies that, although the high-resolution properties of
the capacitive-input architecture remain, the quadratic re-
sponse feature is lost due to the Miller effect created around ACKNOWLEDGMENT
Cf , significant even for minimum size feedback transistors.
The circuit of Fig. 11(d), called a current steering compara- This work has been partially supported by Spanish C.I.C.Y.T.
tor, circumvents this problem by decoupling the amplifier in- Project TIC96-1392-C02-02 (SIVA).
put and output nodes. Its static operation follows principles
similar to those used in the circuit of Fig. 11(c). When x(t) ⫽ BIBLIOGRAPHY
0, transistors MP and MN are OFF and the circuit realizes
capacitive-input behavior. Positive currents integrate in the 1. L. A. Glasser and D. W. Dobberpuhl, The Design and Analysis of
input capacitor increasing the node voltage and consequently VLSI Circuits, Reading, MA: Addison-Wesley, 1985.
decreasing y until the transistor MN becomes conductive, ab- 2. R. J. van de Plassche, Integrated Analog-to-Digital and Digital-
sorbing the input current and stabilizing the output. The to-Analog Converters, Boston: Kluwer Academic, 1994.
same occurs for negative currents, where MP is the conductive 3. A. Rodrı́guez-Vázquez, M. Delgado-Restituto, and F. Vidal, Syn-
transistor. For transient behavior, analysis shows, that under thesis and design of nonlinear circuits, in W. K. Chen (ed.), The
600 COMPASSES

Circuits and Filters Handbook, Boca Raton, FL: CRC Press, 1995, latched CMOS sense amplifier, IEEE Trans. Circuits System II:
Sect. VI.32. Analog Digit. Signal Process., 39: 277–292, 1992.
4. L. E. Larson (ed.), RF and Microwave Circuit Design for Wireless 29. W. T. Ng and C. A. T. Salama, High-speed high-resolution CMOS
Communications. Boston: Artech House, 1996. voltage comparator, Electron. Lett., 22: 338–339, 1986.
5. A. Cichocki and R. Unbehauen, Neural Networks for Optimization 30. A. Rodrı́guez-Vázquez et al., High resolution CMOS current com-
and Signal Processing, New York: Wiley, 1993. parators: Design and aplications to current-mode function gener-
6. I. E. Getreu, A. D. Hadiwidjaja, and J. M. Brinch, An integrated ation, Analog Integr. Circuits Signal Process., 7: 149–165, 1995.
circuit comparator macromodel, IEEE J. Solid-State Circuits, 11: 31. D. A. Freitas and W. K. Current, CMOS current comparator cir-
826–833, 1976. cuit, Electron. Lett., 19 (19): 695–697, 1983.
7. K. R. Laker and W. M. C. Sansen, Design of Analog Integrated 32. C. A. T. Salama and D. G. Nairn, Current-mode A/D and D/A
Circuits and Systems, New York: McGraw-Hill, 1994. converters, in C. Toumazou, F. J. Lidgey, and D. G. Haigh (eds.),
Analogue IC Design: The Current-Mode Approach, London: Pere-
8. J. F. Duque-Carrillo, Control of the common-mode component in
grinus, 1990, Chap. 13.
CMOS continuous-time fully differential signal processing, Ana-
log Circuits Signal Process., 4: 131–140, 1993. 33. K. C. Smith and A. S. Sedra, The current conveyor—A new cir-
cuit building block, Proc. IEEE, 56: 1368–1369, 1968.
9. R. L. Geiger, P. E. Allen, and N. R. Strader, VLSI Design Tech-
niques for Analog and Digital Circuits, New York: McGraw-Hill, 34. Z. Wang, Wide-band class AB (push-pull) current amplifier in
1990. CMOS technology, Electron. Lett., 26 (8): 543–545, 1990.
10. M. J. M. Pelgrom, A. C. J. Duinmaijer, and A. D. G. Welbers, 35. E. Bruun, Class AB CMOS first generation current conveyor,
Matching properties of MOS transistors, IEEE J. Solid-State Cir- Electron. Lett., 31 (6): 422–423, 1995.
cuits, 24: 1433–1440, 1989. 36. G. Liñán-Cembrano et al., A robust high-accuracy high-speed
11. J. H. Atherton and J. H. Simmonds, An offset reduction tech- continuous-time current comparator, Electron. Lett., 33: 2082–
nique for use with CMOS integrated comparators and amplifiers, 2084, 1997.
IEEE J. Solid-State Circuits, 27: 1168–1175, 1992. 37. E. A. Vittoz, The design of high-performance analog circuits on
digital CMOS chips, IEEE J. Solid-State Circuits, 20: 657–665,
12. L. R. Carley, Trimming analog circuits using floating-gate analog
1985.
MOS memory, IEEE J. Solid-State Circuits, 24: 1569–1575, 1989.
13. E. Sackinger and W. Guggenbuhl, An analog trimming circuit
based on a floating-gate device, IEEE J. Solid-State Circuits, 23: Reading List
1437–1440, 1988. G. Palmisano and G. Palumbo, Offset compensation technique for
14. C. A. Leme and J. E. Franca, High performance CMOS compara- CMOS current comparators, Electron. Lett., 30 (11): 852–854,
tor for analogue-digital converters, Electron. Lett., 26 (20): 1725– 1994.
1726, 1990. G. Palmisano and G. Palumbo, Offset-compensated low power current
15. S. L. Wong and C. A. T. Salama, Technique for offset voltage comparator, Electron. Lett., 30 (20): 1637–1639, 1994.
cancellation in MOS operational amplifiers, Electron. Lett., 21 (9): G. Palmisano and G. Palumbo, High-performance CMOS current
389–390, 1985. comparator design, IEEE Trans. Circuits Syst. II, Analog Digit.
16. D. J. Allstot, A precision variable-supply CMOS comparator, Signal Process., 43 (12): 785–790, 1996.
IEEE J. Solid-State Circuits, 17: 1080–1087, 1982.
17. Y. S. Yee, L. M. Terman, and L. G. Heller, A 1 mV MOS compara- ANGEL RODRÍGUEZ-VÁZQUEZ
tor, IEEE J. Solid-State Circuits, 13: 63–66, 1978. MANUEL DELGADO-RESTITUTO
18. B. Razavi and B. A. Wooley, Design techniques for high-speed, JOSÉ M. DE LA ROSA
high-resolution comparators, IEEE J. Solid-State Circuits, 27: Institute of Microelectronics of
1916–1926, 1992. Seville
19. J. T. Wu and B. A. Wooley, A 100-MHz pipelined CMOS compara- RAFAEL DOMÍNGUEZ-CASTRO
tor, IEEE J. Solid-State Circuits, 23: 1379–1385, 1988. University of Seville
20. J. K. Roberge, Operational Amplifiers Theory and Practice, New
York: Wiley, 1975.
21. S. Dhar and M. A. Franklin, Optimum buffer circuits for driving
long uniform lines, IEEE J. Solid-State Circuits, 26: 32–40, 1991.
22. L. O. Chua, C. A. Desoer, and E. S. Kuh, Linear and Nonlinear
Circuits, New York: McGraw-Hill, 1987.
23. B. Ginetti, P. G. A. Jespers, and A. Vandemeulebroecke, A CMOS
13-b cyclic RSD A/D converter, IEEE J. Solid-State Circuits, 27:
957–965, 1992.
24. B. S. Song, S. H. Lee, and M. F. Thompsett, A 10-b 15-MHz
CMOS recycling two-step A/D converter, IEEE J. Solid-State Cir-
cuits, 25: 1328–1338, 1990.
25. G. M. Yin, F. Opt’t Eyende, and W. Sansen, A high-speed CMOS
comparator with 8-b resolution, IEEE J. Solid-State Circuits, 27:
208–211, 1992.
26. A. Yukawa, A highly sensitive strobed comparator, IEEE J. Solid-
State Circuits, SC-16: 109–113, 1981.
27. A. Yukawa, A CMOS 8-bit high-speed A/D converter IC, IEEE J.
Solid-State Circuits, SC-20: 775–779, 1985.
28. R. Sarpeshkar et al., Mismatch sensitivity of a simultaneously
402 COUNTING CIRCUITS

C1 C2 C3

I1 T Q T Q T Q

Figure 1. Simple binary counter. A three-bit counter will count from


0 to 7.

ond or the frequency of the signal. In typical simple meters,


this value is converted from its binary form stored in the
counter circuit to a binary coded decimal form used to drive a
set of light-emitting diode (LED) displays.
Digital counters are generally based on a series of binary
storage elements called flip-flops. A flip-flop element stores
either a binary 0 or 1. By logically connecting a set of flip-
flops, it is possible to represent related binary digits and thus
represent numbers.
An elementary binary counter can be constructed by con-
necting a set of T flip-flops as shown in Fig. 1. Each T flip-
flop changes the state of its output Q from 0 to 1 or vice versa
every time the T input changes from 1 to 0. The output wave-
form produced at each stage output C1 through C3 is shown
in Fig. 2. Output C1 represents the lowest-order binary digit
(called a bit), while C3 is the highest-order bit. By examining
the waveform, it can be seen that before the first 1 to 0 transi-
tion on the input I1 signal, the outputs represent the binary
value 000. After the first 1 to 0 transition on input I1, the
outputs represent the value 001. The second 1 to 0 transition
on I1 causes a 010, or binary 2, to be output by the counter.
Counting continues until the value 111 is reached. Once this
maximum counter value of 7 is reached, the next 1 to 0 transi-
tion on input I1 causes the outputs to change to 000 and the
counter begins counting up again.
Each successive stage of the counter in Fig. 1 changes
state, or counts, every second time the preceding flip-flop
changes state. The frequency of the waveform produced at
any output is half the frequency of the stage input. Each stage
is said to be a divide-by-two counter, and the overall effect of
the three-stage counter is to produce an output waveform that
has the frequency of the input waveform divided by eight. An
N-stage counter divides the frequency of the input waveform
by 2N. The binary values actually stored in an N-bit counter
actually range between 0 and 2N⫺1.
COUNTING CIRCUITS
Counting circuits are found in a wide variety of electronic in- 1
strumentation and general digital computing systems. These
I1 0
circuits are used to count events, to accumulate sums, to hold
pointers to memory instructions and data, and to perform
other similar functions which require iterative computations. C1
The term counter is most commonly used to refer to circuits
that perform counting functions and, more specifically, to C2
binary counters used in digital or hybrid digital/analog
systems.
C3
An example of the use of a counting circuit can be seen in
a simple frequency meter. The input waveform is sampled Figure 2. Binary counter output waveforms. The inherent delay in
over a fixed interval. A counter is used to add up the number each flip-flop stage delays the transition of the next higher-order
of times that the signal increases above a certain threshold stage. In the worst case situation, the output of the highest-order
voltage. If the sample interval is 1 s and the waveform is stage will be delayed by an amount proportional to the number of
sinusoidal, the counter contains the number of cycles per sec- stages.

J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
COUNTING CIRCUITS 403

Up

C1 And C2 And C3

Q Q Q
I1 T Or T Or T
Q* Q* Q*
And And

Dn

Figure 3. Three-bit up/down counter. Signals Up and Dn must remain constant during
counting.

The specific interconnection of the feed-forward or feed- A mechanism to relieve this potential error condition is to
back signals within a counter determine its counting charac- design all of the counter flip-flops to be simultaneously trig-
teristics. The counter shown in Fig. 3 is a 3-bit up/down gered by the same clock. That is, the outputs of all flip-flops
counter. Each time the I1 input line transitions from 1 to 0, are directed to change at the same time under the control of
the counter changes states. When the input UP is a 1, the a single clock signal. This type of counter is called a synchro-
counter counts up; when DN is a 1, the counter counts down. nous counter.
Only one of the two inputs UP or DN may be at logic 1 any An example of a synchronous 3-bit binary counter is shown
particular time. in Fig. 4. The T flip-flops in this circuit change state if the T
The counters shown in Figs. 1 and 3 are both said to be input is 1 when the clock input C changes from a 1 to a 0.
asynchronous counters since each of the flip-flops in the The circuit counts input CLK pulses whenever the input
counters operates independent of a central clock. In fact, this COUNT is 1. All outputs of this counter change in synchroni-
type of counter is generally referred to as a ripple counter, zation with the clock signal CLK. The disadvantage of this
indicating that the change of state of the overall counter actu- type of counter is the additional logic gates that must be in-
ally transitions through the counter stage by stage rather cluded in the circuit. For an N-bit counter, each subsequent
than having all flip-flops change state simultaneously. This stage N requires an additional logic gate which must have
ripple effect can cause difficulties in systems that are meant N ⫺ 1 inputs.
to be operated synchronously. For example, as the 3-bit ripple The timing waveform for the synchronous counter of Fig.
counter transitions from state 111 to state 000, there is an 4 is shown in Fig. 5. Notice that this waveform looks very
inherent delay of signals passing from one stage to the next. similar to that shown in Fig. 2. The difference is the lack of
The actual output signals transition from 111 to 110 to 100 ripple delay at each of the individual stage transitions.
and finally to 000. Although the intermediate values of 110 Other variations on the basic counter scheme are found in
and 100 only last for a very short time, their appearance can a wide variety of applications. Counters that are capable of
cause problems. For example, if a logic circuit is monitoring loading arbitrary starting values are typically used to keep
the counter output for the state 110, a false signal will be track of the sequence of instructions being executed in a digi-
incorrectly generated for a short duration when the counter tal computer. The ability to load a new starting value allows
transitions from 111 to 000. the programmer to cause branching within a sequence of in-
For a ripple counter, the amount of delay involved in tran- structions. Some counters are configured to have arbitrary re-
sitioning from one correct state to the next correct state is set or terminal count values. This type of counter contains
dependent upon the delay of the individual flip-flops. Assume external logic that loads a new starting value once a certain
that a T flip-flop exhibits a one-unit delay before a change in termination count has been reached. Counters of this type are
the input signal effect the output signal. A 3-bit ripple called modulo-x counters, where x is the value representing
counter presents its worst-case delay behavior when the state the terminal count. An example of this type of counter is one
of the counter changes from 111 to 000 (or vice versa when which counts from 000 to 101, returns back to 000, and starts
counting down). This worst-case delay amounts to a three- counting again. The ability to reset a counter after a fixed
unit delay. Although this may seem insignificant, when a count sequence is quite handy when nonprogrammable hard-
more typical counter like a 16- or 32-bit counter is considered, ware is being used.
the cumulative delay of 16 or 32 units can become very sig- Counters are also frequently used to apply test vectors to
nificant. a digital circuit. A counter is loaded with an initial count, and

C1 C2 C3

And
Count T Q T Q T Q
Clk Figure 4. Synchronous binary counter.
C C C
More logic gates are needed than in the
ripple counter.
404 CRITICAL PATH ANALYSIS

I1

C1

C2

C3

Figure 5. Synchronous 3-bit counter output waveforms. All outputs


change together after a short flip-flop delay.

a prescribed count sequence applies counter outputs to the


inputs to combinational circuits. The response of the combina-
tional circuit is monitored to determine if errors exist in the
behavior of the circuit. In this situation the counter is not
part of the operational circuit but is rather an ancilliary de-
vice which only comes into play during the circuit testing pro-
cedure. This type of counter must be designed and built to be
highly reliable since it becomes the key link in the testing
chain of an operational circuit.
Implementation of a counter is no different from the imple-
mentation of any other logic circuit. Good very large scale in-
tegration (VLSI) design practices dictate that the clocked
components (the flip-flops) be physically as close as possible
in order to minimize timing anomalies.

BIBLIOGRAPHY

W. I. Fletcher, An Engineering Approach to Digital Design, Englewood


Cliffs, NJ: Prentice-Hall, 1980.
R. J. Feugate, Jr. and S. M. McIntyre, Introduction to VLSI Testing,
Englewood Cliffs, NJ: Prentice-Hall, 1988.
R. L. Geiger, P. E. Allen, and N. R. Strader, VLSI Design Techniques
for Analog and Digital Circuits, New York: McGraw-Hill, 1990.
F. J. Hill and G. R. Peterson, Introduction to Switching Theory and
Logical Design, 3rd ed., New York: Wiley, 1981.
E. J. McCluskey, Logic Design Principles with Emphasis on Testable
Semicustom Circuits, Englewood Cliffs, NJ: Prentice-Hall, 1986.
D. A. Pucknell, Fundamentals of Digital Logic Design with VLSI Cir-
cuit Applications, Englewood Cliffs, NJ: Prentice-Hall, 1990.
N. Weste and K. Eshraghian, Principles of CMOS VLSI Design: A
Systems Perspective, Reading, MA: Addison-Wesley, 1985.

JOSEPH G. TRONT
Virginia Polytechnic Institute and
State University

COUPLERS. See DIRECTIONAL COUPLERS.


COUPLING MEASURES. See SOFTWARE QUALITY.
COVERAGE, INSURANCE. See INSURANCE.
CPM. See MINIMUM SHIFT KEYING.
CRITICAL CURRENT, SUPERCONDUCTING. See
SUPERCONDUCTING CRITICAL CURRENT.
434 CURRENT CONVEYORS

iY 0 0 0 vY
vX 1 0 0 iX
iZ 0 –1 0 vZ

Drain
iD vY iZ
Y
Gate CCII– Z
vG X
iS iX
Source
Figure 2. Comparison of a CCII⫺ and an ideal field effect transistor.

conveyed to Z. Node Z had a very high impedance. Applica-


tions of the CCI included current meters and negative imped-
CURRENT CONVEYORS ance converters (NICs). To increase the versatility of the cur-
rent conveyor, a second-generation current conveyor (CCII)
A current conveyor is an active circuit used to carry out ana- was introduced (2). This design was the same as the previous
log signal processing functions for many different types of ap- except that no current flowed through node Y. This design
plications. In general, this device has two inputs and n out- was introduced in 1968 and is described by the following hy-
puts; however, most applications involve a current conveyor
 i  0 v 
brid matrix:
with two inputs and one output port, as illustrated in Fig. 1
(1). The current conveyor can be thought of as a basic design Y 0 0 Y
building block much like an operational amplifier. During vX = 1 0 0 iX (2)
work on his master’s thesis in 1966, Adel Sedra was devel-
iZ 0 ±1 0 vZ
oping a voltage-controlled waveform generator to be used as
part of a design of a programmable instrument for incorpora-
The current supplied to node X has either a positive or nega-
tion in a system for computer controlled experiments, when
tive polarity resulting in the CCII⫹ or CCII⫺, respectively.
he happened upon a novel circuit (2). He generalized the con-
The CCII⫺ can be thought of as an ideal field effect transistor
cept and developed the current conveyor, a circuit that conveys
(FET) where the gate ⫽ node Y, drain ⫽ node Z, and source
current from one port to another. His original design, now
⫽ node X. This relationship is illustrated in Fig. 2. Tradition-
called a first-generation current conveyor (CCI) is a three-port
ally, the current conveyor has been implemented using low-
device (with ports defined as X, Y, and Z) described by the
frequency bipolar transistors, FETs, or operational amplifiers
 i  0 v 
following hybrid matrix:
(3–5). Two particular applications of the current conveyor are
1 0 active network synthesis and analog signal processing. Table
Y Y
1 (6) illustrates several networks useful in active network
vX = 1 0 0 iX (1)
synthesis. These circuit topologies are by no means the only
iZ 0 1 0 vZ way to implement these functions.
An important application is analog signal processing. Ta-
This circuit exhibited a virtual short circuit at node X, a vir- ble 2 (6) illustrates the use of current conveyors to carry out
tual open circuit at node Y, and the current supplied at X was five different signal-processing functions. For purposes of
analysis, it is much easier to relate the current conveyor to
two ideal one-port networks known as norators and nullators.
Iy
Vy Iz
Y NULLATOR–NORATOR CIRCUIT ANALYSIS AND ITS
Z Vz
Vx
APPLICATION TO CURRENT CONVEYOR DESIGN
X
Ix
(a)
There is good reason to discuss the design of current conveyor
circuits using nullator–norator design techniques. One of the
I z+ strongest motivations is that numerous papers and texts have
Iy Z 1+ V z+ Iz+ = –Iz– = –Ix been written that address circuit synthesis using these ideal
. . 1
Vy Y . . circuit elements (7–11). As a result, the use of this technique
Z N+ V z+ provides the designer with a wealth of information on design-
N
ZN–+1 V z– ing many types of active networks including negative imped-
Vx X . . N+1
. . ance converters, negative impedance inverters, positive im-
Ix Z M– V z–
M pedance inverters, and a myriad of other useful active
I z– networks. All that is required to use this information is a ba-
(b)
sic understanding of circuit analysis using the norator–
Figure 1. Current conveyor schematic definitions: (a) basic current nullator approach and an understanding of how to convert
conveyor and (b) multiple output current conveyor.  1996 IEEE. norator–nullator topologies into current conveyor topologies.

J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
CURRENT CONVEYORS 435

Table 1. Application of Current Conveyors to Active Network Synthesis


Characterization Realization Using Current Conveyors
1 2 x
i1 i2
CC z
2-Port y
v1 v2
Realized

Voltage
2 CCII
controlled 0 0
1 G= 1 +
Voltage 0 1
source

Voltage g
controlled 0 0 CCII
2 Y= 2
g 0 1 —
Voltage
source

Current
1 CCII
controlled 0 0 2
3 H= 1 0 +
Current
source

Current 1 2
controlled CCII CCII
4 0 0
Z= — +
Voltage R 0 R
source

2 2
CCI CCII
5 INIC 0 1
G= + +
1 0
1 1

g2 g1
0 g1 CCII CCII
6 NIV Y= + +
g2 0
1 2

g g
0 g CCII CCII
7 Gyrator Y= — +
g 0
1 2

 1970 IEEE.

When analyzing active linear networks, several distinct nique that can be used to gain insight into active network
types of circuit elements are used. These include resistors, synthesis (7–9). The properties of the nullator and norator
capacitors, inductors, transmission lines, independent voltage will now be introduced.
sources, independent current sources, dependent voltage
sources, and dependent voltage sources. The dependent volt- Definition 1. A nullator is a one port network with v1(t) ⫽
age and current sources tend to be two-port networks that i1(t) ⫽ 0 defining the the voltage and current on its one port
associate a voltage or current from one port to a voltage or (9).
current to the second port. Although analyzing circuits con-
taining these two-port networks is relatively straightforward, Definition 2. A norator is a one port network with v1(t) ⫽
the simultaneous solution of equations that usually results A1(t) and i1(t) ⫽ A2(t) defining the voltage and current on its
from such analysis serves to reduce the designer’s intuition one port. The functions A1(t) and A2(t) are arbitrary, and thus
about the circuit. The use of two ideal one-port network repre- v1(t) and i1(t) are unconstrained resulting in a degree of free-
sentations known as nullators and norators can help to re- dom not found in any other one port network (9).
store some of this lost intuition. Nullators and norators can
replace all dependent voltage and current sources in a net- The schematic symbol used to describe the nullator and
work so as to reduce the primitive elements in a linear net- norator are shown in Fig. 3. When carrying out nodal circuit
work to only one-port networks. This is a very powerful tech- analysis of a circuit that has nullators and norators, Defini-
436 CURRENT CONVEYORS

Table 2. Application of Current Conveyors to Analog


Signal Processing

Realization Using Current Conveyor


x
CC z
Functional y
Element Function

R2
Current CCII I0
amplifier R1
I0 = (R1/R2)II +
Ii

C
Current CCII I0
differentiator dI1 R +
I0 = CR
dt
Ii

R
Current CCII I0
integrator C
I0 = 1  I1 dt +
CR
Ii

I1
Current I0
n I2 CCII
summer I0 = —• Id
i In +

R
Weighted R1 I0
n Rj I1 CCII
current I0 = —• Id R
i R +
summer
Rn
In

 1970 IEEE.

tions 1 and 2 can be easily applied when the node being ana- Several equivalence properties of norators and nullators
lyzed has a norator or nullator connected to it. When a node that allow for network simplification will be described pres-
has a nullator connected to it, the voltage at that node is as- ently (9):
sumed to be equal to the voltage at the other node of the nul-
lator; however, it is assumed that no current can flow through 1. A series or parallel connection of ⫾Rs, ⫾Ls, ⫾Cs, and
the nullator. When a node has a norator connected to it, it is at least one nullator is equivalent to a single nullator.
assumed that current flows through the norator, but the volt- 2. A series or parallel connection of ⫾Rs, ⫾Ls, ⫾Cs, and
ages on either node of the norator are determined by the rest at least one norator is equivalent to a single norator.
of the circuit. Similarly, the current through the norator is 3. A series or parallel connection of ⫾Rs, ⫾Ls, ⫾Cs, and
determined by the rest of the circuit. at least one norator and at least one nullator is equiva-
lent to an open circuit or a short circuit, respectively.
4. A four-terminal circuit composed of two nullators and
i1 i1 two norators all of which have a single terminal tied to
+ +
one node is equivalent to a four-terminal network com-
posed of an uncoupled single nullator and a single
norator.
v1 v1
Another circuit element that is worth mentioning is the nul-
lor. The nullor is a two-port network, which is simply com-
– – posed of a nullator at one port and a norator at the second
Nullator Norator port. Effectively, it is two uncoupled one-port networks. The
v1 = i1 = 0 v1 = i1 arbitrary
schematic symbol for this network is illustrated in Fig. 4. The
reason for its use is that many dependent current and voltage
Figure 3. Norator and nullator schematic representations. sources can be expressed in terms of this unit. It is important
CURRENT CONVEYORS 437

i1 i2 ties depend on the circuit elements used in the network, thus


+ + the name general impedance converter (GIC). This type of cir-
cuit can be used as a positive impedance converter (PIC) or a
Port 1 Port 2 positive impedance inverter (PII). Another useful application
v1 v2 of this circuit is realization of a frequency-dependent negative
v1 = i1 = 0 v2 = i2 arbitrary
resistance (FDNR), sometimes called a D element or an E ele-
ment (9). These are very useful in active filter synthesis as
– – explained by Bruton (9). Filters can be synthesized using only
Figure 4. Nullor schematic representation. FDNRs and resistor elements. The D element has an imped-
ance Z ⫽ k/s2 where k is real and s is the complex frequency
variable of the Laplace transform. Similarly, the E element
to note that the nullor can always be thought of as simply a has the form Z ⫽ ks2 where again k is real.
nullator and a norator and, therefore, provides no additional Note that pairs of norators and nullators from Fig. 6 can
insight into the analysis of a network. It is mentioned here be replaced with second-generation current conveyors. The
merely so that the reader will be familiar with the termi- way in which these current conveyors can be realized in hard-
nology. ware is the subject of the next section.
Given the matrix definition of the negative second-genera-
tion current conveyor (CCII⫺) from Eq. (2), an equivalent rep- CURRENT CONVEYOR CIRCUIT ARCHITECTURE
resentation for the CCII⫺ can be expressed in terms of a sin-
gle nullator and norator as illustrated in Fig. 5. The hybrid Current conveyors can be built using either bipolar or metal
matrices for these two circuits are equivalent. In summary, oxide semiconductor (MOS)–type transistors. Because the
any active network composed of nullators and norators in majority of applications to date have focused on the second-
pairs, as illustrated in Fig. 5, can be fabricated using CCII⫺ generation current conveyor, this type of current conveyor
networks. This fact will prove to be a useful property for syn- will be addressed here. Another motivation for focusing on
thesizing many types of active circuits. the implementation of second-generation current conveyors is
As an example, two useful illustrations of the nullator– that first- and third-generation current conveyors can be real-
norator design technique types will be discussed. First, the ized using multiple output second-generation current con-
impedance inverter is presented. Table 3 (10) illustrates five veyors (1,12). As mentioned earlier, a CCII⫺ can be thought
different methods for carrying out voltage and current inver- of as an ideal FET. Similarly, it can also be thought of as an
sion. It is important to note that these realizations do not ideal bipolar transistor. In reality, FETs and bipolar devices
require a specific hardware realization. By looking for pairs do not behave ideally, and thus more complex circuit imple-
of norators and nullators, we can convert these circuits into mentations result in order to compensate for the imperfec-
current conveyor circuits. Each topology will have its own spe- tions of real devices. In the process of obtaining more ideal
cific performance benefit as explained in Ref. 10. performance, many devices may need to be combined in order
Another useful topology is shown in Fig. 6 and can be used to model more closely the ideal behavior exhibited by an ideal
as a general impedance converter (GIC). Each of the branches device. Implementation of CCIIs with bidirectional current
of the circuit can be a complex impedance. The relationship capabilities require the use of complementary devices (i.e.,
that will hold between impedances is p–n–p and n–p–n bipolars or nMOS and pMOS FETs) (13).
It is difficult to fabricate an integrated circuit (IC) with iden-
Z1 Z3 Z5 = Z2 Z4 Z6 (3) tically performing p–n–p and n–p–n devices. It is much eas-
ier to support complementary structures using MOS devices,
The way the network is used is to remove one of the complex and thus many IC current conveyor designs are based on
load impedances illustrated in Fig. 6 and solve for its value MOS implementation. Figures 7 and 8 (13) illustrate CMOS
in terms of the remaining five impedances. The relationship implementation of CCII⫹ and CCII⫺ current conveyors, re-
in Eq. (3) will define the effective impedance at the circuit spectively. In Fig. 7 current is transferred to the output using
port where the complex impedance was removed. This process complementary current mirrors. To fabricate a CCII⫺ (Fig.
allows for the transformation of one of the five remaining load 8), two additional current mirrors are required.
impedances to an effective output impedance whose proper- A new approach to designing current conveyors at micro-
wave frequencies has been proposed by Sinsky and Westgate
(14). This approach uses a GaAs monolithic microwave inte-
grated circuit (MMIC) to closely approximate the required hy-
v1
i2 P1 brid matrix parameters of Eq. (2) over a specified band of mi-
v1
P1 crowave frequencies. This technique was developed to support
CCII– P2 the design of tunable synthetic microwave circuit elements
P3 using negative impedance converters (NICs) and positive im-
P3 P2 pedance converters (PICs). Such circuits can be used to design
i3
i3 i2 tunable microwave filters and matching networks.
i3 = –i2
v1 = v3
NOISE CONSIDERATIONS
Pi denotes the ith port
In many applications, it is necessary to have a current con-
Figure 5. Nullator–norator representation of a CCII⫺. veyor that has good noise performance. Current mode circuits
438 CURRENT CONVEYORS

Table 3. Voltage and Current Inversion Using Nullators


and Norators

Type Voltage Inversion Current Inversion

V2 = —V1 I2 = I 1 —I2 = —I1 V2 = V 1

G1 G2

II R1 R2

V2 = —(R2/R1)V1 —I2 = I1 —I2 = —(G2/G1)I1 V2 = V 1

R1 R2

III G1 G2

V2 = —(R2/R1)V1 —I2 = I1 —I2 = —(G2/G1)I1 V2 = V 1

R1 R2 G1 G2

IV

V2 = —(R2/R1)V1 —I2 = I1 —I2 = (G2/G1)I1 V2 = V 1

V R1 R2 G1 G2

V2 = [1 — (R2/R1)]V1 I2 = I1 —I2 = [1 — (G2/G1)]I1V2 = V1

 1970 IEEE.

(such as the current conveyor) are candidates for use in low-


voltage analog signal processing because large current swings
can be obtained even with small voltage excursions. Unfortu- VDD
nately, for low-noise performance in such circuits, low-noise
bias circuitry, which tends to require higher voltages for the
required low-transconductance devices, is required. This pre-
sents a design challenge when trying to obtain low-noise, low-

iZ = –iX
Y +
Z
Z2 3
A(s) Z
X –

iX

Z1 Z4

Z
6 Z5 VSS

Figure 7. Positive second-generation current conveyor using MOS


Figure 6. Norator–nullator immitance converter/inverter topology. devices and OP amp.  IEE 1990.
CURRENT CONVEYORS 439

voltage current conveyors. The problem of designing low-noise dv2yeq


Iy
current conveyors is best addressed by Bruun (1,12) who has + –
developed a way to look at the problem and drawn some im- Vy Y
portant conclusions. Z
Ix
Vx X Iz
Ideal Current Conveyor Noise Analysis
2 2 2
To address noise issues in the CCI, CCII, and CCIII type cur- diyeq dixeq dizeq
rent conveyors, it is sufficient to analyze the multi-output
CCII current conveyor as shown by Bruun (1,12). The general
noise analysis requires addressing the multi-output current Figure 9. Second-generation current conveyor with equivalent
conveyor illustrated in Fig. 1. Because this device has multi- noise sources.
ple outputs, noise contributions cannot be modeled using only
an equivalent noise input voltage and current as done on con-
ventional amplifiers. The multiple outputs may have corre-
9). The dominant source of error in this formulation is the
lated and uncorrelated noise contributions, and thus noise
finite input and output impedances to the current conveyor.
sources must be assumed at each of the output ports as well
as the input ports. For more details on the mathematical for-
CMOS Current Conveyor Noise Considerations
mulation of the noise for the multioutput second-generation
current conveyor, see Refs. 1 and 12. Because most applica- A detailed analysis of noise optimization for CMOS current
tions simply require single output CCII⫹ or CCII⫺ current conveyors has been carried out by Bruun (1,12). For class A
conveyors, the noise modeling for this type of device will be designs, it was found that the optimal signal-to-noise ratio is
emphasized here. For an ideal two-input single-output sec- proportional to the maximum output terminal current (the Z
ond-generation current conveyor whose input X terminal is terminal of Fig. 1 is the output terminal). This is because the
terminated in an impedance RX and whose Y input is termi- output noise power of the current conveyor is proportional to
nated in an impedance RY where RSX Ⰷ RSY, Bruun (1,12) has the bias current and the output signal power is proportional
shown that to the square of the output terminal current. Interestingly,
the class AB-biased current conveyor can provide better per-
formance than the class A circuit because the output signal
dv2yeq 4kT swing is not limited by the bias current. In summary, the use
di2z = di2xeq + di2z eq + + df (4) of low-noise bias circuitry and current mirrors are essential
R2SX RSX
in the design of low-noise CMOS current conveyors.

where k is Boltzmann’s constant, T is the absolute tempera-


ture, and df is the frequency bandwidth considered (see Fig. CONCLUSION

The current conveyor is a very powerful building block that


can be used in a myriad of applications ranging from power
supply control circuits to the design of high-frequency active
VDD
filters. Despite the many different applications and imple-
mentations of this circuit, there is one important point to re-
member: the current conveyor is a fundamental building
block that can be used in circuit synthesis in much the same
way as the operational amplifier. It allows the circuit designer
to concentrate on a higher level of circuit functionality. The
current conveyor has the capability to revolutionize the cir-
cuit design industry in much the same way as the operational
iZ = –iX
Y + amplifier has. With much interest in current mode circuits, it
A(s) Z is inevitable that the current conveyors time has finally come.
X –

iX BIBLIOGRAPHY

1. E. Bruun, Noise Properties of CMOS Current Conveyors, IEEE


MTT-S Symp. Des., 1996, pp. 144–147.
2. A. Sedra, G. Roberts, and F. Gohh, The current conveyor: history,
progress, and new results, IEE Proc., Part G, 137 (2): 78–87,
1990.
3. R. Senani, Novel circuit implementation of current conveyors us-
VSS
ing an O.A. and an O.T.A., Electron. Lett., 16 (1): 2–3, 1980.
Figure 8. Negative second-generation current conveyor using MOS 4. K. Pal, New inductance and capacitor flotation schemes using
devices and OP amp.  IEE 1990. current conveyors, Electron. Lett., 17 (21): 807–808, 1981.
440 CURRENT-MODE LOGIC

5. M. Higashimura and Y. Fukui, Novel methods for realising loss-


less floating immitance using current conveyors, Electron. Lett.,
23 (10): 498–499, 1987.
6. A. Sedra and K. Smith, A second-generation current conveyor
and its applications, IEEE Trans. Circuit Theory, CT-17: 132–
134, 1970.
7. R. Senani, Floating immittance realisation: Nullor approach,
Electron. Lett., 24 (7): 403–405, 1988.
8. R. Senani, New tunable synthetic floating inductors, Electron.
Lett., 16 (10): 382–383, 1990.
9. L. Bruton, RC-Active Circuits, Englewood Cliffs, NJ: Prentice-
Hall, 1980.
10. J. Braun, Equivalent NIC networks with nullators and norators,
IEEE Trans. Circuit Theory, CT-12: 441–442, 1965.
11. V. Pauker, Equivalent networks with nullors for positive immit-
tance inverters, IEEE Trans. Circuit Theory, CT-17: 642–645,
1970.
12. E. Bruun, Analysis of the noise characteristics of CMOS current
conveyors, Analog Int. Circuits Signal Process., 12: 71–78, 1997.
13. C. Ioumazou et al., Analogue IC design: The current-mode ap-
proach, London, UK: Peregrinus, 1990.
14. J. H. Sinsky and C. R. Westgate, A new approach to designing
active MMIC tuning elements using second-generation current
conveyors, IEEE Microw. Guided Wave Lett., 6 (9): 326–328, 1996.

JEFFREY H. SINSKY
Bell Laboratories—Lucent
Technologies
CHARLES R. WESTGATE
Johns Hopkins University

CURRENT-FEEDBACK AMPLIFIERS. See ANALOG INTE-


GRATED CIRCUITS.
CURRENT MEASUREMENT. See AMMETERS; ELECTRIC
CURRENT MEASUREMENT.
CURRENT-MODE CIRCUITS. See TRANSLINEAR CIR-
CUITS.
44 DC AMPLIFIERS

cations, necessitate high-performance dc amplifiers with a


wide bandwidth (5).
The amplifier gain and the dc operating point depend on
multiple parameters, such as transconductance, load resistor
values, bias currents, and power supplies. Inherent fabrica-
tion process variation, thermal drifts, and component sensi-
tivity inevitably introduce amplification and biasing errors.
The predominant errors result from voltage and current off-
sets corresponding to the input voltage and input current
DC AMPLIFIERS which must be applied to force the output voltage of the am-
plifier to zero.
The term direct coupled amplifier, or dc amplifier, means di- Considering the high process sensitivity of transistor pa-
rect coupling between the amplifier and the input signal to rameters, offsets, and thermal drifts, the use of a single tran-
be amplified. Basically, a directly coupled amplifier has no sistor input stage to build a dc amplifier is unreasonable. In
capacitive or inductive coupling between the input source and contrast, an input stage built from a differential pair of
the amplifier. Consequently, the dc amplifier, as opposed to matched transistors allows considerable reduction of these ef-
capacitively coupled or ac amplifiers, allows amplification of fects. This is the case, for example, of voltage-feedback opera-
continuous and low-frequency signals (1,2). Direct coupled tional amplifiers (VFOA), usually called op-amps. So, a high-
amplifiers appeared at the same time as amplifiers. But they gain voltage-feedback amplifier allows designing an accurate
have performed better ever since, and with the introduction dc amplifier. In this case, with a very low common mode rejec-
of integrated circuits (ICs), it was possible to use a truly dif- tion ratio (CMRR), the gain of the dc amplifier depends only
ferential input stage implemented from a pair of matched on the feedback ratio that can be easily kept invariable.
transistors (2,3). Figure 1 illustrates the direct and capacitive
coupling amplifications with alternating current (ac) and di-
GENERAL SCHEMA FOR DC AMPLIFIERS
rect current (dc) input voltage sources. For proper operation,
it is necessary to have the same reference voltage level for the
Basic dc Amplifiers
amplifier and the input signal. In most cases the ground is
used as the reference. Figure 2 shows the building blocks of a classical dc amplifier.
A large number of applications require dc amplifiers to am- It is composed of three main stages. The first block corre-
plify low-frequency signals down to dc. They are, for example, sponds to a differential input stage and is followed by a sec-
used in dc–dc voltage down converters in which it is neces- ond which consists of a high-gain voltage amplifier. The last
sary to amplify a dc voltage reference (4). Directly coupled stage is a voltage follower. Usually, the dc amplifier is biased
amplifiers are also used in linear feedback loops to control between positive (Vcc) and negative voltages (Vee ⫽ Vcc).
speed or position of dc motors. There are many measurement Considering the various gain stages, the output voltage
transducers, such as temperature sensors, or load transduc- VO in the case of Fig. 2 is given by
ers used to measure weights. These exhibit a very low dc out-
put voltage and are often directly coupled to a high-gain dc VO = AGV Vid (1)
amplifier (2). Direct coupled amplifiers are predominantly
used in monolithic integrated circuits where using coupling This expression clearly shows the dependence of the amplifier
capacitors would necessitate a large and expensive silicon gain on the parameters A and GV. Because these parameters
area (1). In addition, at very low frequencies, dc feedback am- are sensitive to process variation and thermal drift, amplifier
plifiers are also preferred to ac coupled feedback amplifiers, gain varies from one component to the other. The differential
which can induce ‘motorboating’ or stability problems due to
the phase shift caused by several capacitive coupled stages
(2). Other high-speed applications, such as optical communi- +Vcc

Direct Input Voltage gain


Rs coupling stage
A S(t) = A [V + e(t)] Out

(a) e+
ac e(t) Output
A Gv 1
e–
dc V V0
Capacitive
coupling Differential
input stage Voltage follower
A S(t) = A [ + e(t)]

(b) –Vee

Figure 1. Different kinds of coupled amplifiers: (a) dc amplifier; (b) Figure 2. Schema block for a dc amplifier showing the various
ac amplifier using coupling capacitor. stages.

J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
DC AMPLIFIERS 45

R2 R2
R1
Dc input
e– e–
R1 Dc input AGV VI AGV
e+ e+
VO VO
VI
Figure 3. The two configurations for dc
feedback amplifiers: (a) noninverting am-
(a) (b) plifier; (b) inverting amplifier.

input stage behaves as a preamplifier, and it amplifies offset rents Ib1 and Ib2, so that their effects are negligible, then the
and drift voltages and currents. Consequently, the character- collector currents are given by (6–9)
istics of this preamplifying stage define the performance and
limits of the dc amplifier. Another important parameter is the IC1 = (αF I0 )/[1 + exp(−Vid /VT )] (3)
input noise that also limits the minimum detectable signal. IC2 = (αF I0 )/[1 + exp(+Vid /VT )] (4)
In the next section describing the characteristics of the differ-
ential input stage, we show that its components have to be
In these equations 움F ⫽ ⫺(Ic /Ie)VBC⫽0 is the common-base for-
well matched to obtain the smallest error signal possible.
ward, short-circuit current gain. Thus the expression of the
differential output voltage of this stage can directly be de-
Main Effects of Feedback Loop
duced from Eqs. (3) and (4):
The feedback loop is used mainly to prevent amplification
from the variation of the dc amplifier gain (AGV). Figures 3(a) Vod = RC (IC2 − IC1 ) = −αF RC I0 tanh(Vid /2VT ) (5)
and 3(b) describe the feedback loop technique in both nonin-
verting and inverting amplifiers. In these cases, considering As a result, for 兩Vid兩 Ⰶ 2VT, the differential output voltage has
a dc amplifier with infinite gain (AGV 씮 앝) and infinite input a 180⬚ phase difference with respect to Vid, and the amplifier
resistance gain, the transfer functions VO /Vi is given as fol- gain is given by A ⫽ Rc ⫻ I0 (as 움F 앒 1). In this way, A is
lows: increased by increasing either the value of load resistors RC

VO
 R
 or the bias current I0. Nevertheless, increasing I0 leads to a
rise in power consumption. However, the value of RC is artifi-
Noninverting amplifier = 1+ 2 (2a)
Vi R1 cially enlarged by using active loads (current mirrors), which
VO R are easier to fabricate in IC form than high-value resistors.
Inverting amplifier =− 2 (2b) Figure 5, which shows the variation of output voltage as a
Vi R1
function of Vid, illustrates the nonlinear effects that appear as
soon as 兩Vid兩 approaches 2VT. For an ideal differential stage,
When resistors R1 and R2 are accurate, this system consti-
this reveals that the output voltage Vod is zero for Vi1 ⫽ Vi2.
tutes an excellent directly coupled amplifier. Thus, this tech-
nique is a good solution for removing some of the shortcom-
Real Differential Stage
ings of the dc amplifier.
For a real differential stage implemented in IC form, the base
currents of Q1 and Q2, the component mismatches (amplifier
ANALYSIS OF THE BIPOLAR DIFFERENTIAL INPUT STAGE
dissymmetry), the equivalent input noise, and the thermal
In this section, the relationship between input and output
voltages is determined for an ideal stage implemented from
+Vcc
bipolar transistors. Then, the mismatch effects (offsets and
drift sensitivities) are analyzed for a real dc amplifier.
Rc2 Rc1
Ideal Differential Stage Ic1 Ic2
Vod
Figure 4 shows the schema of an ideal differential input stage Vo1 Vo2
(or emitter-coupled pair), implemented from bipolar transis- Ib1 Ib2
Q1 Q2
tors. Vid ⫽ Vi1 ⫺ Vi2 is the differential input voltage, and
Vod ⫽ Vo1 ⫺ Vo2 is the differential output voltage of the circuit. Vi1 Vi2
For an ideal amplifier, i.e., including perfectly matched ele-
ments, transistors Q1 and Q2 are assumed identical, and resis-
tors RC1 and RC2 have the same value of RC. I0
For forward-biased transistors Q1 and Q2 (i.e., Vbe Ⰷ VT;
VT ⫽ kT/q is the thermal voltage), the reverse saturation cur- –Vee
rents of the collector–base junctions can be ignored. Neglect-
ing the Early effect and assuming very low values for cur- Figure 4. Bipolar differential input stage using matched devices.
46 DC AMPLIFIERS

Perfectly matched equivalent to the ideal differential stage previously discussed,


stage with Vos and Ios connected on input.
Differential stage Input Offset Voltage. This voltage results principally from
with mismatches the dissymmetries between transistors Q1 and Q2: mis-
Vod matches in the base widths, in the base and collector doping
levels, and in emitter areas. It is also caused by mismatch in
collector resistors RC1 and RC2. By definition Vos is the ideal
equivalent generator voltage, which applied to the input,
+ α F I0 Rc drives the output voltage (Vod) to zero. Then Vos ⫽ Vbe1 ⫺ Vbe2
(Fig. 6).
Differential stages Perfectly matched Assuming that the relationship between the base-emitter
with mismatches stage
voltage and collector current for a forward biased transistor
is VBE ⫽ VT ln(IC /IS),
– 4VT – 2VT VS 2VT 4VT Vid
Vos = VT ln[(IC1 /IC2 )(IS2 /IS1 )] (6)

Now the saturation and collector currents can be written as


– α F I0 Rc IS1 ⫽ IS ⫽ IS2 ⫺ ⌬IS and IC2 ⫽ IC ⫽ IC1 ⫺ ⌬IC. In addition, with
RC1 ⫽ RC ⫽ RC2 ⫺ ⌬RC, which represents the resistor dissym-
metry,

Figure 5. Differential output voltage as a function of input voltage RC2 IC2 = RC1 IC1
showing the curves for matched and mismatched stages.
Finally, assuming that ⌬IS /IS Ⰶ 1 and ⌬RC /RC Ⰶ 1, Vos can be
drifts must be taken into account to determine the minimum described by
differential dc voltage detectable (amplifier sensitivity).  
RC IS
Vos = VT + (7)
Base Input Bias Currents. The dc input bias currents (Ib1 앒 RC IS
Ib2 in Fig. 4, for Vod ⫽ 0) required for biasing the transistors
are taken from the driving generators connected to the in- Input Offset Current. This current results principally from
puts. Their value, Ibias ⫽ (Ib1 ⫹ Ib2)/2, is directly related to the the mismatched values of the current gains 웁 of Q1 and Q2.
design of the differential stage. A very low base current value When Vod is zero, Ios is defined by Ios ⫽ Ib1 ⫺ Ib2. Assuming
requires high current gain (웁). This can be obtained, for ex- that 웁1 ⫽ 웁 ⫽ 웁2 ⫺ ⌬웁, from the previous equations
ample, by using Darlington or super ⫺웁 transistors. It can this gives
also be noted that the influence of the base currents is re-  
duced to the minimum, if both inputs are driven by genera- IC RC β
Ios = + (8)
tors with the same equivalent output resistance. β RC β

Component Mismatch Effects. All of the effects due to ampli- Now we can deduce that the offset current is directly propor-
fier dissymmetry can be characterized with only two continu- tional to the base input bias current. Then a low value for Ios
ous generators: a voltage generator (Vos) and a current gener- necessitates either a low I0 or a high 웁. High RC values also
ator (Ios). These two generators are discussed in the next reduce this unwanted current Ios.
paragraphs. Thus, as indicated in Fig. 6, the real amplifier is Thermal Drift. We define thermal drift as the variation of
component electrical parameters with temperature. So, for a
given differential amplifier design, the drift of Ib, Vos, and Ios
+Vcc characterizes the influence of temperature on the output volt-
age Vod. Thus, the drift of the bias current Ib determines the
Rc2 Rc1 output stability for different values of the driving generator
resistance. The thermal drift of Vos can be directly calculated
Ic1 Ic2
Vos Vod
from Eq. (7). Assuming that RC and IS are temperature-inde-
Vo1 Vo2 pendent,
Ib1 Ib2
Q1 Q2 dVos Vos
= (9)
Vi1 Vi2 dT T
Ios
Equation (9) shows a direct proportionality to Vos. Moreover,
I0 a low drift for Ios is necessary to obtain low output variation
when the internal resistances of the driving generators have
–Vee high values.
Long-Term Drift Variation. All of the previous values of drift
Figure 6. Mismatched differential stage. Equivalent circuit with a given by manufacturers are rigorously valid for fresh compo-
perfectly matched amplifier and offset voltage and current sources. nents, but drift changes as components age.
DC AMPLIFIERS 47

Voltage Input Noise. Low-frequency input noise is an im-


Vod
portant limitation in precision dc application, as, for example,
instrumentation measurements. + R dI 0

ANALYSIS OF MOS DIFFERENTIAL PAIR I0


K
Now we analyze a differential stage implemented from MOS
I0 Vid
transistors to compare their performance to bipolar ones. – K

Ideal Input Stage


An NMOS source-coupled pair is shown in Fig. 7. We assume – R dI 0
that both M1 and M2 are matched transistors with equal
W/L ratios (W is the channel width and L is the channel
Figure 8. The dc transfer characteristic of the ideal source-coupled
length) and equal threshold voltage Vth. We neglect the body pair.
effect and the channel modulation length. The load resistors
Rd are assumed identical. As usual we suppose that the drain
current is related to the gate-source voltage Vgs and the
threshold voltage Vth by the well-known approximate square- Note that for the MOS differential pair, the Vid range for
law relationship Id ⫽ K(Vgs ⫺ Vth)2, where the gain factor K ⫽ linear operation depends on the biasing current I0 and the
1/2애Cox W/L (애 is the electron-mobility and Cox is the gate W/L ratio of the transistors. In contrast, for the bipolar dif-
capacitance per unit). From Kirchhoff ’s laws it follows that ferential pair this range is about 2VT and is independent of
the differential input voltage is given by Vid ⫽ Vi1 ⫺ Vi2 ⫽ transistor size and bias current. The gain of the source-cou-
兹Id1 /K ⫺ 兹Id2 /K, and at the source node, Id1 ⫹ Id2 ⫽ I0. Com- pled pair depends on bias current I0, load resistance Rd, and
bining these expressions yields (8) transistor dimensions (W/L). In contrast, the gain for the bi-
 s  V 2  polar differential pair depends only on the biasing current I0
I0  2 and load resistance Rc.
Id1 = 1 + KVid − id  (10)
2 KI0 I0 The dc transfer characteristic of the source-coupled pair is
shown in Fig. 8. When 兩Vid兩 ⬎ 兹I0 /K, either M1 or M2 is com-
 s  V 2  pletely turned off, and Vod is equal to RdI0. An increase in I0
I0  2 
Id2 = 1 − KVid − id
(11) increases the linear operating region, whereas an increase in
2 KI0 I0 the W/L ratio causes the opposite effect (8).

Consequently the differential output voltage Vod is expressed Real Input Stage
by
r 2I Technological Constraints. Until now we have studied the
behavior of the ideal MOS amplifier. A real differential pair
0
Vod = Rd (Id2 − Id1 ) = −Rd KVid − (Vid )2 (12) presents some dc errors that produce basic limitations for
K
many analog systems.
This expression is valid as long as both transistors M1 and In dc and low frequencies, the threshold voltage Vth and
M2 are in the saturated mode which is proved by 兩Vid兩 Ⰶ the gain factor K represent the predominant sources of static
兹I0 /K. When this condition is satisfied, the gain A of the dif- errors in a source-coupled pair (3). The deviations in the
ferential amplifier is given by Vod /Vid ⫽ Rd 兹2I0K. threshold voltage and the gain factor are due to technological
parameters. The difference in Vth between two matched tran-
sistors results mainly from differences in oxide thickness and
bulk doping. Actually the oxide thickness in VLSI MOS pro-
+Vdd
cessing is so reproducible that it has only a negligible effect
on Vth. Consequently, changes in substrate doping are the
Rd2 Rd1 principal source of threshold voltage mismatch Vth which is
typically 10 mV to 25 mV. The gain factor includes two pa-
Vod rameters, the factor K⬘ ⫽ 1/2애Cox and the gate dimensions W
Vo1 Vo2
and L. The changes in mobility in K⬘ depend on deviations in
M1 M2 bulk doping. Deviations in W and L result from photolithogra-
phy variations. The latter variations represent the main
Vi1 Vi2 source of deviations in the gain factor. The differences be-
tween the load resistances, whose typical values depend on
I0 size, also contribute to the dc errors in the source-coupled
pair (3).
– Vss The effects of mismatches on dc performance in MOS am-
plifiers are represented only by the input offset voltage due to
Figure 7. The NMOS source-coupled pair using matched devices. high impedance of the gate of MOS transistors (6).
48 DC AMPLIFIERS

Input Offset Voltage. As mentioned earlier, the input offset R2


voltage Vos is the input voltage required to force the differen-
tial output voltage to zero. Summing voltages around the
source loop in Fig. 9 gives Vos
I I R1
– +

Vos = Vth1 − Vth2 + d1
− d2
K1 K2 Vo
R3
To make the differential output Vod exactly zero requires that +
Rd1Id1 ⫽ Rd2Id2. Using the last expression, we find that (3)
I0S
 
Vgs − Vth Rd K
Vos = Vth + − − (13)
2 Rd K

where the difference quantities are given by ⌬Vth ⫽ Vth1 ⫺


Figure 10. Schematic form of the feedback implementation including
Vth2, ⌬Rd ⫽ Rd1 ⫺ Rd2, and ⌬K ⫽ K1 ⫺ K2, and the average offset generators.
quantities are given by

Vth1 + Vth2 R + Rd2 K1 + K2


Vth = , Rd = d1 , and K = GENERAL CRITERION FOR IMPLEMENTING DC AMPLIFIERS
2 2 2

The offset voltage in Eq. (13) consists of two parts. One equals Comparison Between Bipolar and MOS Stages
the threshold voltage mismatch and the other contains mis- Generally bipolar input stage amplifiers have much better
matches in load resistances and gate dimensions. Note that long-term stability than MOS devices can offer. Very good
Vos mismatch depends on differences and also on biasing matching between the input transistors is obtained with bipo-
points. When the transistors are biased at small values of lar differential pairs. Indeed for bipolar transistors the trans-
(Vgs ⫺ Vth), the influence of ⌬Rd and ⌬K becomes smaller. Con- conductance parameters do not depend on both the area of
sequently, at weak inversion the main factor influencing the the transistor and the technology. In contrast, for MOS differ-
input offset voltage is ⌬Vth. ential stages the transconductance is strongly dependent on
The main difference between bipolar and MOS differential the W/L ratio and the fabrication processes. As a result bipo-
stages is the mismatch in the threshold voltage. For a MOS lar stages exhibit lower offset voltage and temperature drift.
stage, this results in a constant offset component that is inde- In addition for the same value of the bias current, they will
pendent of bias current. Consequently the MOS differential be smaller than MOS to have the same value for the transcon-
stage displays a higher offset voltage than the bipolar pair. ductance. Nevertheless bipolar stages exhibit large input bias
currents. In contrast, MOS input stages take advantage of a
Offset Voltage Drift. The drift of the input offset voltage of high input impedance resulting in low offset current and low
a MOS differential pair is given by ⌬Vos /⌬T (8). Contrary to bias current. This makes them ideal for portable systems and
the bipolar case, the offset voltage drift in MOS stages is not micropower applications.
directly correlated with the offset voltage.
The temperature drift of the offset value depends on varia- Feedback Loop Effects
tions of Vth and K. The variation of Vth is as high as some mV/
⬚C. But the changes in K are considerably larger because K Figure 10 shows the circuit that can be used to calculate the
includes mobility. output offset voltage of an amplifier in its usual feedback con-
figuration. This is valid for both the inverting and nonin-
verting amplifier configurations, shown in Fig. 3. The value
of R3 is assumed to be (R1 //R2) and to cancel the effects of the
+Vdd
bias current Ib.
Calculation gives
Rd2 Rd1  R2

Vo = − 1 + Vos + R2 Ios (14)
Vos Vod R1
Vo1 Vo2

M1 M2 This reveals that the coefficient of Vos can be expressed di-


rectly in terms of the closed loop gain. Consequently the input
Vi1 Vi2 offset voltage becomes preponderant for low values of R2.

I0 GENERAL TECHNIQUES USED TO REDUCE


DC ERRORS IN AMPLIFIERS
–Vss
As shown previously, dc offset limits numerous applications
Figure 9. The NMOS source-coupled pair with the dc offset voltage in linear IC. For example, offset voltage is a particularly im-
source. portant parameter for precision instrumentation amplifiers
DC AMPLIFIERS 49

+Vcc +Vcc +Vcc +Vcc

External
Zener diode
variable
resistor

...

...
VO Current
injection

Q1 Q2
VO
Vi

Q1 Q2
Vi

–Vee

Figure 11. Conventional method for canceling dc offset voltage using


a variable resistor to adjust the collector resistors ratio.
–Vee

Figure 12. Zener-zap trimming technique. Zener diodes are placed


and for applications where rail-to-rail output signal swing is in parallel with load resistors, and must be shorted with a current
required. In these latter cases, the dc offset reduces the dy- injection to adjust the offset voltage to zero.
namic range, which is, in turn, very restrictive when design-
ing in low-voltage technology (CMOS). Several techniques,
however, can be used to compensate for this nonideal effect.
Two ways to proceed are addressed in this section. We have, 12. Injecting a current pulse into the diode permanently
on the one hand, what we call ‘‘offset trimming techniques’’ shorts its parallel resistor. In practice the series resistors
and, on the other, improved circuit designs tending to elimi- have different values for refining the adjustment. In the OP-
nate the dc offset. 07A from Precision Monolithic Inc., this ‘‘Zener-zap trim-
ming‘‘ technique reduces the offset voltage to 10 애V and the
Various Adjustment Possibilities drift to 0.2 애V/⬚C (9).
We demonstrated previously that in the bipolar, emitter-
Because the input differential stage generates the dc offset, it coupled pair, the dc offset voltage and drift are given by Eqs.
is exclusively on this stage that any corrections need to be (7) and (9). Thus in theory, if we null Vos, then the drift is also
performed. The emitter-coupled pair (or source-coupled pair) nulled. In practice, however, introducing the potentiometer
analyzed previously, is the most commonly used input stage. creates a new drift factor, which cannot be eliminated simul-
One solution for canceling the offset is to adjust the collector
taneously (10).
resistor ratio (which should be equal to one) with an external
In conclusion it is important to see in these offset trimming
(out of chip) variable resistor, as shown in Fig. 11. This vari-
techniques that adjusting the resistors is performed at a sin-
able resistor is controlled by a potentiometer which adjusts
gle fixed temperature. In consequence, for applications over a
its value for canceling the dc offset voltage. Thus Vod ⫽ 0
wide range of temperature, the drift will be important (11).
when Vid ⫽ 0. The well-known operational amplifier 741 uses
In the next part we see more ways to improve the perfor-
this technique to eliminate the dc offset which is typically
mance when designing specific circuits to correct dc errors.
about 2 mV without correction. The offset drift is about 70
애V/⬚C. Moreover, this resistor adjustment can also be realized
with a laser beam. This automated process is performed di-
rectly on the wafer, and the laser adjusts the resistor size
Vos Ibias
on the chip. This has the possible disadvantage of being an
– +
irreversible process. The precision operational amplifier Vi1 +
OPA177 from Burr–Brown combines both of these methods:
Ios/2 Vo
laser-trimming offset and an optional connection to an exter-
nal potentiometer. Then the offset achieved is about 10 애V, Vi2 –
and the drift is only 0.3 애V/⬚C. Ibias
Another nulling technique consists of replacing each of the
two load resistors Rc with several resistors in series. Then Figure 13. An amplifier with dc offset sources; input offset voltage
each resistor is in parallel with Zener diodes, as shown in Fig. (Vos), and input offset current (Ios).
50 DC AMPLIFIERS

Amplitude
+Vcc +Vcc +Vcc Clock
Φ1 Φ1

Time
Vi Vo

Vo

Ib3
Ib3 ≈ Ib2 Time
Q4 Q3

I≈ 0
Vi1 Q1 Q2
Ib2 Vi2

Figure 16. Input, output, and clock signals in a basic autozero am-
plifier. The discontinuities in output signal must be eliminated with
a low-pass filter.
–Vee

Figure 14. A method for canceling the input bias current in a bipolar
differential pair. The base current of Q3 is mirrored to the base of Correcting the dc Offset Voltage. In this section we address
Q2. It reduces considerably Ibias current and Ios current. a widely used method for designing low-offset voltage and
low-drift amplifiers. This technique, based on the ‘‘autozero’’
concept (AZ) (12), in addition, allows canceling low-frequency
noise (12,13). An AZ amplifier is shown in Fig. 15. This tech-
Circuits with Improved Design
nique consists of sampling the dc offset of the amplifier and
Correcting the dc Offset Current and Input Bias Current. We subtracting it from the signal. The sample operations, more-
can represent an amplifier with different offset sources as over, are performed with switched-capacitor circuits. This
shown in Fig. 13. The advantage of the MOS differential pair, brings us to the functioning of such a configuration. Two
compared with the similar bipolar input stage, is the very low phases are needed. In a first stage (⌽1), the switch shorts the
(about 100 pA) input bias current (Ibias). In addition, the MOS input, and the dc offset appears at the output. Then this
differential pair results in very low input offset current (Ios), quantity is sampled, held by capacitor Cn, and applied at an
about 10 pA. The isolated gate of the MOS allows this charac- auxiliary nulling input (N), to eliminate it. In the second
teristic. Nevertheless, this advantage practically disappears stage (⌽1), the amplifier is ridded of the offset and is con-
when diodes are included to protect the gates from electro- nected back to the input signal for amplification. Figure 16
static discharges. In the case of the bipolar differential pair, shows the input and output signals and the clock which
the values of Ibias and Ios are directly proportional to the base drives the nulling operations. It is easy to see that the output
currents of the transistors, as explained previously.
A method for canceling the input bias current is shown in
Fig. 14. The base currents of Q2 and Q3 are practically identi-
cal because the same current flows through their collectors. V+ +
Then the base current of Q3 is mirrored to the base of Q2. The Main amp Vo
same applies for Q4 and Q1. As a result, the Ibias current is N
nulled, and Ias is considerably reduced. For example, using V– –
this method, the precision operational amplifier OPA177 from Φ1
Burr–Brown provides 0.5 nA for Ibias and 0.1 nA for Ios. C2

Φ2 Φ1

N Φ2
Φ1
+

C1

Figure 15. A basic autozero amplifier. The offset voltage is sampled,


held in capacitor Cn, and injected at a nulling input (N). This correc- Figure 17. Continuous time autozero amplifier using a main ampli-
tion is controlled by a clock (⌽). fier and a basic auto-zero amplifier.
DC AMPLIFIERS 51

Table 1. Integrated Circuits Used for dc Applications and Main Characteristics at 25ⴗC
Input Offset Input Bias Input Offset
Designation Manufacturer Function Voltage Voltage Drift Current Current
LM741C National Semiconductor op amp 2 mV 80 nA 20 nA
OP07A PMI ultra low offset op amp 10 애V 0.2 애V/⬚C 0.7 nA 0.3 nA
AD708S Analog Devices ultra low offset op amp 5 애V 0.1 애V/⬚C 0.5 nA 0.1 nA
OPA177F Burr–Brown Precision op amp 10 애V 0.3 애V/⬚C 0.5 nA 0.1 nA
ICL7650 Maxim Chopper 0.7 애V 10 nV/⬚C 1.5 pA 0.5 pA
LTC1100ACN Linear Technology Chopper op amp 1 애V 5 nV/⬚C 2.5 pA 10 pA
TLC2652AM Texas Instrument Chopper op-amp 0.5 애V 3 nV/⬚C 4 pA 2 pA

signal displays discontinuities due to phase ⌽1. Hence it must applies it at the nulling input of the main amplifier. Because
be low-pass filtered to reduce continuity of the signal. Note the offset is constantly corrected even during temperature
that the clock frequency must have at least twice the signal variations, chopper amplifiers feature very good performance.
frequency to fulfill the Shannon criterion. Consequently this For instance, the LTC110 precision chopper instrumentation
reduces the application of autozero amplifiers to the low-fre- amplifier from Linear Technology reduces the offset voltage
quency domain. to typically 1 애V and the drift to 5 nV/⬚C. Therefore such
Nevertheless, some applications require continuous time amplifiers are very useful for precision applications. Table 1
amplification. In these cases the amplifier should not be dis- lists the main dc characteristics at 300 K of different amplifi-
connected from the input signal. An improved schema is pro- ers used for dc operation (9,14–16).
posed in Fig. 17: the ‘‘continuous time AZ amplifier’’ or ‘‘chop-
per amplifier.’’ Generally designed with MOS transistors, OTHER AVAILABLE IMPLEMENTATIONS
such amplifiers incorporate two amplifiers internally, a main
amplifier and an AZ amplifier. Two phases are needed to de- Dc amplifiers can also be designed from basic building blocks
scribe the operation. During the first phase (⌽1), the AZ amp other than operational amplifiers. Various possible implemen-
corrects its own offset, as addressed previously. Then, during tations and their particularities are addressed in this section.
the second phase (⌽2), the offset-free AZ amplifier senses the Their typical dc input errors are listed in Fig. 18. This figure
main amplifier’s offset, stores this value in capacitor C2, and also displays the errors of classical IC amplifiers.

1,00E-01
High-speed bipolar
EL2211
1,00E-02 Current feedback O.A

FET / CMOS LT1206


AD509
EL2166C
Input offset voltage, Vos (V)

AD549
1,00E-03 EL2165C EL2165C
TLC2272 Bipolar O.A
AD820

TLC2252 Inst O.A TLE2021 AD829


1,00E-04 AD795 OPA627
INA2128
OPA77

Chopper INA101SM
1,00E-05 OPA177
TLC2654C

1,00E-06
TLC2654C

1,00E-07
1,00E-14 1,00E-13 1,00E-12 1,00E-11 1,00E-10 1,00E-09 1,00E-08 1,00E-07 1,00E-06 1,00E-05 1,00E-04
Input bias current, Ib (A)

Figure 18. Typical voltage and current offsets for various IC amplifier families.
52 DC AMPLIFIERS

Vi1 +
R2
A2


RB R1

RA A1 Vo
+
RB R1
R2

A2

Vi2 +

Figure 19. Instrumentation amplifier.

Instrumentation Amplifiers tions are realized as shown in Fig. 3. But in that case the
output voltage is given by
Such integrated amplifiers incorporate three operational am-
plifiers in a single chip (1,7). Matched resistors obtained with
Vo = −ZT × i− (17)
laser trimming are also included to cancel typical dc errors.
The resulting circuit is shown in Fig. 19. A1 is connected as a
with ZT ⫽ RT储CT which is the output impedance at port Z of
difference amplifier. A2 and A⬘2 are in a noninverting configu-
the CCII. The CFOA exhibits higher bandwidths than classi-
ration. Thus the output voltage is given by
cal operational amplifiers. Indeed the bandwidths are propor-
R2
 2RB
 tional to 1/R2CT (R2 is the feedback resistor in Fig. 3). The
Vo = (Vi1 − Vi2 ) 1+ (15) slew rate is also greatly increased (about 1000 V/애s). Never-
R1 RA
theless, dc errors are particularly prevalent in CFOA designs.
So, the amplifier gain can be easily adjusted with RA con- The dissymmetries between the inputs imply that their bias
nected out of chip. currents do not match or cancel.

Current Feedback Operational Amplifiers High-Speed Operational Amplifiers


In current feedback operational amplifiers (CFOA) also called The input stage of high-speed operational amplifiers is gener-
transimpedance op-amps, the input cell is different from that ally identical to the one of classical op-amps. Their output is
used in voltage-feedback op-amps (VFOA) (see Figs. 4 and 7) nevertheless constituted from the difference between two out-
(17). This cell is basically a second-generation current con- put currents. This improves the speed and bandwidth to the
veyor (CCII) as shown in Fig. 20, which is commonly de- detriment of input errors.

 iy  0  vy
scribed by the following matrix relation:

0 0
vx = 1 0 0 × ix (16)
iz 0 1 0 vz
+
+
Figure 21 represents the equivalent electrical circuit for a I =o
CFOA (17–21). The inverting and noninverting configura- 1

1 Vo
Rx
Y 1 Z ZT
I– = ix –
ix
Rx Ix Rz
Ix
X

Figure 20. Equivalent macromodel of the second generation cur- Figure 21. Current feedback operational amplifier obtained from a
rent conveyor. second generation current conveyor and a voltage follower.
DC–DC POWER CONVERTERS 53

CONCLUDING REMARKS AND PROSPECTIVE DEVELOPMENTS 16. D. Soderquist, The OP-07 ultra low offset voltage op amp; A bipo-
lar op amp that challenges choppers, eliminates nulling, applica-
In this article we have inspected the mismatches and drift tion note 13 in Precision Monolithics Inc. Linear and Conversion
effects on the performance of dc amplifiers implemented from Applications Handbook, 1986.
op-amps. Bipolar and MOS differential inputs stages have 17. C. Toumazou, F. J. Lidgey, and D. G. Haigh, Analog IC Design:
successively been analyzed. NPN and NMOS transistors have The Current Mode Approach, London: Peter Peregrinus, 1990.
only been considered above. Nevertheless differential ampli- 18. A. Fabre, O. Saaid, and H. Barthelemy, On the frequency limita-
tions of the circuits based on 2nd generation current conveyors,
fiers can also be implemented from PNP or PMOS elements.
Analog Integrated Circuits Signal Process., 7: 113–129, 1995.
In these cases note that PNP transistors exhibit lower 웁 val-
ues and the mobility of PMOS transistors is about three 19. A. Fabre, Gyrator implementation from commercially available
transimpedance operational amplifiers, Electron. Lett., 28: 263–
times smaller.
264, 1992.
The general criterion to consider for implementing dc am-
20. A. D. Wang, The Current-feedback op amp, a high-speed building
plifiers and the most commonly used techniques to reduce dc
block, in Applications Handbook, Tucson, AZ: Burr–Brown, 1994.
errors have been investigated.
21. B. Harvey, Current feedback op-amp limitations: a state of the
As indicated, dc amplifiers can also be designed from other
art review, Conf. Proc. Int. Symp. Circuits Syst., 1993.
available building blocks: Traditionally all these dc amplifier
implementations use voltage input signals. Rushing into the
ALAIN FABRE
opening created by the introduction of the CFOA, another de-
FABRICE SEGUIN
sign approach could consist in using current input signals in
HOUNAIDA ZOUAOUI ABOUDA
place of voltages. Université de Bordeaux I
Second-generation current conveyors can be driven from
HERVE BARTHELEMY
current signals and these could certainly be used advanta-
Institut Supérieur d’Electronique de
geously in designing dc amplifiers. la Méditerranée

BIBLIOGRAPHY
DC CONVERTERS. See HVDC POWER CONVERTERS.
1. A. S. Sedra and K. Smith, Microelectronics Circuits, 4th ed., New
York: Oxford Univ. Press, 1998.
2. Horowitz and W. Hill, The Art of Electronics, 2nd ed., New York:
Cambridge University Press, 1989.
3. R. Laker and W. M. C. Sansen, Design of Analog Integrated Cir-
cuits and Systems, New York: McGraw-Hill, 1994.
4. S. J. Jou and T. L. Chen, On-chip voltage down converter for LV/
LP digital, IEEE Int. Symp. Circuits Syst., Hong-Kong, 1996–
1999.
5. S. Kimura, Y. Imai, and Y. Miyamoto, Direct-coupled distributed
baseband amplifier ICs for 40-Gb/s optical communication, IEEE
J. Solid State Circuits, 31: 1374–1379, 1996.
6. J. F. Gazin, Manuel d’Applications C.I.L., Les Amplificateurs Op-
erationnels, 2nd ed., Arcueil, France: Thomson-CSF, Tome 1,
1974.
7. J. Millman and A. Grabel, Microelectronics, New York: McGraw-
Hill, 1988.
8. P. R. Gray and R. G. Meyer, Analog Integrated Circuits, 2nd ed.,
New York: Wiley, 1984.
9. D. A. Johns and K. Martin, Analog Integrated Circuit Design, New
York: Wiley, 1997.
10. Anonymous, Low Drift Amplifiers, National Semiconductor, Lin-
ear Brief 22, June 1973.
11. Robert J. Widlar, Reducing DC Errors in Op-Amp, Santa Clara,
CA: National Semiconductor, Technical Paper 15, December
1980.
12. C. C. Enz and G. C. Temes, Circuit techniques for reducing the
effects of op-amp imperfections: Autozeroing, double sampling,
and chopper stabilisation, Proc. IEEE 84: 1584–1614, 1996.
13. G. Erdi, Chopper versus bipolar op amps; an unbiased compari-
son, design notes n⬚ 42 in Application Handbook, 1993, volume
II, Milpitas, CA: Linear Technology, 1993.
14. Analog Devices, Linear Product Data Book, Norwood, MA, 1990.
15. Analog Devices, Amplifier Applications Guide, Norwood, MA,
1992.
Integrated sensors are used in many applications, includ-
ing automotive, manufacturing, environmental monitoring,
avionics, and defense. In the past few years, integrated sen-
DATA ACQUISITION AND CONVERSION sors that monolithically combine the sensor structure and
some signal-processing interface electronics on the same sub-
Data acquisition and conversion pertain to the generation of strate have begun to emerge. By combining microsensors and
signals from sensors, their conditioning, and their conversion circuits, integrated smart sensors increase accuracy, dynamic
into a digital format. In this article we describe typical sen- range, and reliability and at the same time reduce size and
sors that generate signals and examples of data converter to- cost. Some examples of semiconductor sensors are pressure
pologies suitable for sensor interfaces. We restrict ourselves sensors used in pneumatic systems, magnetic sensors used
to integrated implementations of sensors and sensor interface in position control, temperature sensors used in automotive
circuits. In particular, we target sensors and sensor interfaces systems, chemical sensors used in biological diagnostic sys-
that are compatible with CMOS fabrication technologies. tems, and acoustic emission sensors used in structural diag-
This article is organized as follows. First, we describe some nostics.
examples of sensors and sensor interfaces; then we describe In the next two sections we illustrate the use of sensors
some sample data converter topologies. After that, we provide and sensor interfaces with the two most common types of sen-
two complete design examples. sors: resistive and capacitive sensors. We then describe two
complete sensor systems that include an acoustic emission
sensor and a temperature sensor.
SENSORS
Resistive Sensors
Sensors are devices that respond to a physical or chemical
stimulus and generate an output that can be used as a mea- Sensors based on the variation of electric resistance are called
sure of the stimulus. The sensed inputs can be of many types: resistive sensors. They can be further classified according to
chemical, mechanical, electrical, magnetic, thermal, and so the physical quantity that they measure: thermal, magnetic,
on. The input signal sensed by the sensor is then processed optical, and so on.
(amplified, converted from analog to digital, etc.) by some sig- A potentiometer is a simple resistance measurement device
nal conditioning electronics, and the output transducer con- in which the resistance is proportional to its length. However,
verts this processed signal into the appropriate output form. the linearity of a potentiometer is limited because its resis-
The primary purpose of interface electronics is to convert the tance is not perfectly uniform. The resistance value also drifts
sensor’s signal into a format that is more compatible with the with temperature. Applications of potentiometers are in the
electronic system that controls the sensing system. The elec- measurement of linear or rotary displacements.
tric signals generated by sensors are usually small in ampli- Another simple and commonly used resistive sensor is the
tude. In addition to this, sensors often exhibit errors, such as strain gauge, which is based on the variation of the resistance
offsets, drift, and nonlinearities, that can be compensated for of a conductor or semiconductor when subjected to a mechani-
with the correct interface circuitry. Analog elements have cal stress. The variation in the resistance of a metal is given
been improved substantially to achieve high speed and high (2) by
accuracy; however, for many applications digital is still the
preferred format. The sensors yield a wide variety of electric R = R0 (1 + G) (1)
output signals: voltages, currents, resistances, and capaci-
tances. The signal conditioning circuitry modifies the input where R0 is the resistance when there is no applied stress, G
signal into a format suitable for the follow-on data converter. is the gauge factor, and ⑀ is the strain. There are a number of
Figure 1 shows the system architecture for a sensor– limitations on strain gauges, such as temperature depen-
actuator-based control system. The sensor(s) senses the exter- dence, light dependence, and inaccuracies in the measure-
nal physical and chemical parameters and converts them into ment of a nonuniform surface; but in spite of these limita-
an electrical format. The sensed data are processed and digi- tions, they are among the most popular sensors because of
tized using integrated circuitry and transmitted to the host their small size and linearity.
controller. The host uses this information to make the appro- Some of the applications of the strain gauge are in measur-
priate decisions, and information is fed back to the external ing force, torque, flow, acceleration, and pressure. Figure 2
environment through a set of actuators (1). These micropro- shows a micromachined piezoresistive cantilever beam used
cessor-based controllers have revolutionized the design and as a strain gauge sensor. Strain gauges are capable of de-
use of instrumentation systems by allowing system operation tecting deformations as small as 10 애m or lower.
to be defined in software, thus permitting a substantial in- A resistance temperature detector (RTD) is a temperature
crease in signal-processing and user-interface features. In detector based on the variation in electric resistance. An in-
general, a power supply is also connected to these blocks but crease in temperature increases the vibrations of atoms
is not explicitly shown in Fig. 1. If a sensor can provide a around their equilibrium positions, and this increases the re-
signal without a power supply, it is referred to as a self-gener- sistance in a metal: Thus there is a positive temperature coef-
ating sensor. ficient of resistance. The complete temperature dependence
493
J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
494 DATA ACQUISITION AND CONVERSION

Control parameters Sensed parameters temperature dependence of thermistors is given (2) by


  
1 1
Actuators Sensors RT = R0 exp B − (3)
T T0

Driver Amp where T0 is the reference temperature, R0 is the resistance at


T0, and B is the characteristic temperature of the material,
DAC ADC which itself is temperature-dependent. The limitations and
advantages of a thermistor are similar to those of a RTD, ex-
cept that the thermistor is less stable. There are many types
Microcomputer control
of thermistors available, and each type has its own applica-
tions. The foil and bead types are suitable for temperature
measurement, whereas the disk and rod types are suitable for
Higher-level control
temperature control. Some of the applications of thermistors
Figure 1. Overall system architecture of a sensor–actuator control are in the measurement of temperature, flow, level, and time
system. delay. Two simple applications of thermistors are discussed
below.
Light-dependent resistors, or LDRs, are devices whose re-
sistance varies as a function of the illumination. LDRs are
can be expressed (2) as
also known as photoconductors. The conductivity is primarily
dependent on the number of carriers in the conduction band
R = R0 (1 + α1 T + α2 T 2 + · · · + αn T n ) (2)
of the semiconductor material used. The basic working of the
photoconductor is as follows. The valence and conduction
where T is the temperature difference from the reference and bands in a semiconductor are quite close to each other. With
R0 is the resistance at the reference temperature. increased illumination, electrons are excited from the valence
The main advantages of these sensors are their high sensi- to the conduction band, which increases the conductivity (re-
tivity, repeatability, and low cost. There are some limitations duces the resistance). The relation between resistance and op-
too. Firstly, to avoid destruction through self-heating, the tical radiation or illumination is given (2) by
RTD cannot measure temperatures near the melting point of
the metal. Secondly, the change in temperature may cause
R = AE −α (4)
physical deformations in the sensor. Additionally, for each
metal there is only a small range over which the RTD is lin-
ear. The most common metals used for RTDs are platinum, where A and 움 are process constants, R is the resistance, and
nickel, and copper. E is the illumination.
Thermistors are also temperature-dependent resistors but An important limitation of LDRs is their nonlinearity.
are made of semiconductors rather than metals. The tempera- Also, their sensitivity is limited by fluctuations caused by
ture dependence of the resistance of a semiconductor is due to changes in temperature. Finally, the spectral response of
the variation in the available charge carriers. Semiconductors LDRs is very narrow and primarily depends on the type of
have a negative temperature coefficient, as the resistance is material used.
inversely proportional to the number of charge carriers. The Some of the most common LDRs are made of PbS, CdS,
and PbSe. Some applications of LDRs are shutter control in
cameras and contrast and brightness control in television re-
ceivers.

Measurement Techniques for Resistive Sensors. Various mea-


surement techniques can be used with resistive sensors. The
basic requirement for any measurement circuitry is a power
supply to convert the change in resistance into a measurable
output signal. In addition, it is often necessary to custom-
build interface circuits for some sensors. For example, we may
be required to add a linearization circuit for thermistors.
Resistance measurements can be made by either the de-
flection method or the nulling method. In the deflection
method the actual current through the resistance or the volt-
age across the resistance is measured. In the nulling method
a bridge is used.
The two-readings method is a fundamental approach to re-
sistance measurement. A known resistance is placed in series
Figure 2. Micromachined piezoresistive cantilever beam used as a with the unknown resistance as shown in Fig. 3. The voltage
strain gauge sensor. is then measured across each of them. The two voltages can
DATA ACQUISITION AND CONVERSION 495

θ1
RK VK CS CI
Vref p
V θ1
θ2

Cref + ADC
CI
RU VU
Vref n
θ1
θ2

Figure 3. Two-readings method for resistance measurement. Figure 5. Capacitive sensor interface.

be written as The Wheatstone bridge can also be used for deflection mea-
surement. In this case, instead of measuring the change
V needed to balance the bridge, the voltage difference between
VK = R (5)
RK + RU K the bridge outputs is measured or the current through the
center arm is measured. This method is shown in Fig. 4.
V
VU = R (6) When the bridge is completely balanced (i.e., x ⫽ 0), k is de-
RK + RU U fined as follows:

where V is the supply voltage, VK and RK are the known volt- R1 R


age and resistance, and VU and RU are the unknown voltage k= = 2 (9)
R4 R0
and resistance. Thus from the above equations RU can be writ-
ten as follows: Thus the voltage difference between the outputs can be writ-
VU ten as follows.
RU = RK
VK
(7)  R3 R4
 kx
V0 = V − =V (10)
R2 + R3 R1 + R4 (k + 1)(k + 1 + x)
A similar method is the voltage divider, in which the un-
known resistance is once again calculated from known volt-
ages and resistances. It is easier to resolve small voltage The maximum sensitivity for very small changes in x is ob-
changes for low voltages than it is for high voltages. Thus to tained when k ⫽ 1.
measure small changes in resistance, another voltage divider
is placed in parallel to the one with the sensor. The parallel Capacitive Sensors
voltage dividers are designed to give the same voltage for no Recently capacitive sensor have gained popularity. They gen-
input. Thus the signal obtained by taking the difference be- erally exhibit lower temperature sensitivity, consume less
tween their output signals is totally dependent on the mea- power, and provide an overall higher sensor sensitivity with
sured signal. This method of measuring small changes using higher resolution than resistive sensors. For these reasons
parallel voltage dividers is called the Wheatstone bridge they have begun to show up in areas where resistive sensors
method (2–5). A simple Wheatstone bridge measurement were the norm. They are used in many applications such as
method is shown in Fig. 4. pressure sensors and accelerometers. Capacitive sensors typi-
The Wheatstone bridge is balanced with the help of a feed- cally have one fixed plate and one moving plate that responds
back system, which adjusts the value of the standard resistor to the applied measurand. The capacitance between two
until the current through the galvanometer is zero. Once this plates separated by a distance d is given by C ⫽ ⑀A/d, where
is done, the value for R3 is given by ⑀ is the dielectric constant and A is the area of the plate. It is
easily seen that the capacitance is inversely proportional to
R2
R3 = R4 (8) the distance d.
R1 For capacitive sensors there are several possible interface
schemes. Figure 5 shows one of the most common capacitive
Thus the resistance R3 is directly proportional to the change sensor interfaces. The circuit is simply a charge amplifier,
required in R4 in order to balance the circuit. which transfers the difference of the charges on the sensor
capacitor CS and the reference capacitor Cref to the integration
capacitor CI. If this interface is used in a pressure sensor, the
sensing capacitor CS can be written as the sum of the sensor
R1 R2
capacitor value CS0 at zero pressure and the sensor capacitor
variation ⌬CS(p) with applied pressure: CS ⫽ CS0 ⫹ ⌬CS(p). In
V
G many applications CS0 can be 5 to 10 times larger than the
full-scale sensor capacitance variation ⌬CS(p)max; the reference
R4 R3 = R0(1+x) capacitor Cref is used to subtract the nominal value of the sen-
sor capacitor at half the pressure range, which is Cref ⫽
Figure 4. Simple Wheatstone bridge measurement method. CS0 ⫹ ⌬CS(p)max /2. This ensures that the transferred charge is
496 DATA ACQUISITION AND CONVERSION

the charge that results from the change in the capacitance. During the first clock period the input is compared with
This results in a smaller integration capacitor and increased the most significant bit (MSB). For this, the MSB is temporar-
sensitivity. ily raised high. If the output of the comparator remains high,
This type of capacitive interface is insensitive to the para- then the input lies somewhere between zero and Vref /2 and
sitic capacitance between the positive and negative terminals the MSB is reset to zero. However, if the comparator output
of the opamp, since the opamp maintains a virtual ground is low, then the input signal is somewhere between Vref /2 and
across the two terminals of the parasitic capacitor. This type Vref and the MSB is set high. During the next clock period the
of interface is also much faster than most other capacitive MSB-1 bit is evaluated in the same manner. This procedure
interfaces; its speed of operation is determined by the opamp’s is repeated so that at the end of N clock periods all N bits
settling time. This technique also allows for the amplifier’s have been resolved.
offset and flicker noise to be removed very easily by using The charge-redistribution implementation of the succes-
correlated double sampling or chopper stabilization. The reso- sive approximation methodology is the most common topology
lution of this interface is in most cases limited by kT/C noise in metal–oxide–semiconductor (MOS) technologies (7). The
and charge injection due to the switches. circuit diagram for a 4 bit charge redistribution converter is
There are a number of other sensor types, and two more shown in Fig. 7. In this circuit the binary weighted capacitors
will be discussed later in this article. However, we first de- 兵C, C/2, . . ., C/8其 and the switches 兵S1, S2, . . ., S5其 form the
scribe the most common data converters that are used as part 4 bit scaling DAC. For each conversion the circuit operates as
of sensor interfaces. a sequence of three phases. During the first phase (sample),
switch S0 is closed and all the other switches S1, S2, . . ., S6
are connected so that the input voltage Vin is sampled onto all
DATA CONVERTERS
the capacitors. During the next phase (hold), S0 is open and
the bottom plates of all the capacitors are connected to
The analog signals generated and then conditioned by the sig-
ground, i.e., switches S1, S2, . . ., S5 are switched to ground.
nal conditioning circuit are usually converted into digital
The voltage Vx at the top plate of the capacitors at this time
form via an analog-to-digital converter (ADC). In general,
is equal to ⫺Vin, and the total charge in all the capacitors is
most of the signals generated by these sensors are in the low
equal to ⫺2CVin. The final phase (redistribution) begins by
frequency region. For this reason, certain data converter to-
testing the input voltage against the MSB. This is accom-
pologies are particularly well suited as sensor interface sub-
plished by keeping the switches S2, S3, . . ., S5 connected to
blocks. These include the charge redistribution implementa-
ground and switching S1 and S6 so that the bottom plate of
tion of the successive approximation converter, along with
the largest capacitor is connected to Vref . The voltage at the
incremental and sigma–delta converters (6). In the following
top plate of the capacitor is equal to
subsections we shall briefly describe successive approxima-
tion (incremental) and sigma–delta converters. Incremental Vref
and sigma–delta converters are very similar and the details Vx = − Vin (11)
2
of the former are later described extensively as part of a sam-
ple system design. If Vx ⬎ 0, then the comparator output goes high, signifying
that Vin ⬍ Vref /2, and switch S1 is switched back to ground. If
Successive-Approximation Converter the comparator output is low, then Vin ⬎ Vref /2, and S1 is left
A block diagram for the successive approximation converter connected to Vref and the MSB is set high. In a similar fashion
is shown in Fig. 6. The successive approximation topology re- the next bit, MSB-1, is evaluated. This procedure is continued
quires N clock cycles to perform an N bit conversion. For this until all N bits have been resolved. After the conversion pro-
reason, a sample-and-held (S/H) version of the input signal is cess the voltage at the top plate is such that
provided to the negative input of the comparator. The compa-  Vref V V V

rator controls the digital logic circuit that performs the binary Vx = −Vin + b3 + b2 ref + b1 ref + b0 ref (12a)
search. This logic circuit is called the successive approxima- 21 22 23 24
tion register (SAR). The output of the SAR is used to drive V
− ref < Vx < 0 (12b)
the digital-to-analog converter (DAC) that is connected to the 24
positive input of the comparator.
where bi is 0 or 1 depending on whether bit i was set to zero
or one, and LSB is the least significant bit.
One of the advantages of the charge-redistribution topol-
Vin S/H –
Successive approximation ogy is that the parasitic capacitance from the switches has
Control
register little effect on its accuracy. Additionally, the clock feed-
+
through from switch S0 only causes an offset, and those from
switches S1, S2, . . ., S5 are independent of the input signal
because the switches are always connected to either ground
DAC
or Vref . However, any mismatch in the binary ratios of the
capacitors in the array causes nonlinearity, which limits the
accuracy to 10 or 12 bits. Self-calibrating (8) techniques have
been introduced that correct for errors in the binary ratios of
N bit output
the capacitors in charge redistribution topologies. However,
Figure 6. Successive approximation converter: block diagram. these techniques are fairly complex, and for higher resolu-
DATA ACQUISITION AND CONVERSION 497

S0
+

C C C C C –
2 4 8 8
S1 S2 S3 S4 S5

Vin
Figure 7. Charge-redistribution implementation of
Vref S6 the successive approximation architecture.

tions sigma–delta converters are the preferred topology. We As can be seen from Eq. (16) below, the output is a delayed
now briefly describe sigma–delta converters. version of the input plus the quantization noise multiplied by
the factor 1 ⫺ z⫺1. This function has a high-pass characteristic
Sigma–Delta Data Converters with the result that the quantization noise is reduced sub-
stantially at lower frequencies and increases slightly at
Oversampling converters sample the input at a rate larger higher frequencies. The analog modulator shown in Fig. 8 is
than the Nyquist frequency. If f S is the sampling rate, then followed by a low-pass filter in the digital domain that re-
f S /2f 0 ⫽ OSR is called the oversampling ratio. Oversampling moves the out-of-band quantization noise. Thus we are left
converters have the advantage over Nyquist rate converters with only the in-band (0 ⬍ f ⬍ f 0) quantization noise. For
that they do not require very tight tolerances from the analog simplicity the quantization noise is usually assumed to be
components and that they simplify the design of the antialias white with a spectral density equal to erms兹2/f s. Further, if
filter. Sigma–delta converters (9) are oversampling single-bit the OSR is sufficiently large, then we can approximate the
converters that use frequency shaping of the quantization root-mean-square (rms) noise in the signal band by
noise to increase resolution without increasing the matching
requirements for the analog components.
π
 2 f 3/2
Figure 8 shows a block diagram for a general noise-shap- N f ≈ erms 0
(15)
ing oversampled converter. In a sigma–delta converter both
0 3 fs
the ADC and DAC shown in Fig. 8 are single-bit versions and
as such provide perfect linearity. The ADC, a comparator in As the oversampling ratio increases, the quantization noise
the case of a sigma–delta converter, quantizes the output of in the signal band decreases; for a doubling of the oversam-
the loop filter, H1. The quantization process approximates an pling ratio the quantization noise drops by 20(log 2)3/2 앒 9 dB.
analog value by a finite-resolution digital value. This step in- Therefore, for each doubling of the oversampling ratio we ef-
troduces a quantization error Qn. Further, if we assume that fectively increase the resolution of the converter by an addi-
the quantization noise is not correlated to the input, then the tional 1.5 bits.
system can be modeled as a linear system. The output voltage Clearly, H1 can be replaced by other, higher-order func-
for this system can now be written as tions that have low-pass characteristics. For example, in Fig.
9 we show a second-order modulator. This modulator uses one
Qn V H forward delay integrator and one feedback delay integrator to
V0 = + in 1 (13) avoid stability problems. The output voltage for this figure
1 + H1 1 + H1
can be written as
For most sigma–delta converters H1 has the characteristics of
a low-pass filter and is usually implemented as a switched- V0 = Vin z−1 + Qn (1 − z−1 )2 (16)
capacitor integrator. For a first-order sigma–delta converter
H1 is realized as a simple switched-capacitor integrator, H1 ⫽ The quantization noise is shaped by a second-order difference
z⫺1 /(1 ⫺ z⫺1). Making this substitution in Eq. (13), we can equation. This serves to further reduce the quantization noise
write the transfer function for the first-order sigma–delta at low frequencies, with the result that the noise power in
converter as the signal bandwidth falls by 15 dB for every doubling of the
oversampling ratio. Alternatively, the resolution increases by
V0 = Vin z−1 + Qn (1 − z−1 ) (14) 2.5 bits for every doubling of the oversampling ratio. In gen-
eral, increasing the order of the filter will reduce the neces-
sary oversampling ratio for a given resolution. However, for
stability reasons, topologies other than the simple Candy-
+ style (10) modulator discussed above are required for filter
Vin Σ H1 ADC V0
orders greater than two. Topologies that avoid this stability
– problem include the MASH and interpolative topologies (6).
For low-frequency inputs, the white noise assumption for
DAC the quantization noise breaks down. This results in tones
which reduce the effective resolution of lower-order sigma–
Figure 8. Figure for a general noise-shaping oversampled converter. delta converters. Incremental converters utilize this observa-
498 DATA ACQUISITION AND CONVERSION

Qn

+
Vin Σ + + + z–1 + V0

z–1
Figure 9. Modulator for second-order
oversampled converter.

tion to simplify the low-pass filter that follows the sigma– Crystalline quartz (SiO2) is a natural piezoelectric sub-
delta converter. Details for the incremental converter are dis- stance. Some other commonly used piezoelectric materials are
cussed below. ferroelectric single-crystal lithium niobate (LiNbO3) and thin
We now consider two system design examples. The first is films of ZnO and lead zirconium titanate (PZT). Recently, ad-
an acoustic emission sensor system and the second is a tem- vances have been made in sensor technology with ultrasonic
perature measurement system. sensor configurations such as the surface acoustic wave
(SAW) and acoustic plate mode (APM). In SAW devices the
acoustic waves travel on the solid surface, and in an APM
SYSTEM DESIGNS EXAMPLES
arrangement they bounce off at an acute angle between the
bounding planes of a plate. The main types of acoustic wave
We illustrate the sensor and sensor interface scenario with
sensors are shown in Fig. 10 (11).
two examples. The first uses a piezoelectric acoustic emission
Piezoelectric thin films are particularly well suited for mi-
sensor interfaced with a charge amplifier and a data con-
crosensor applications that require high reliability and supe-
verter. The second describes an integrated temperature
rior performance. When prepared under optimal conditions
sensor.
piezoelectric thin films have a dense microstructure without
cracks and holes, good adherence, and good electrical proper-
Acoustic Emission Sensing System
ties. The three most popular materials used for thin films in-
Acoustic emission sensors are microsensors that are used for clude ZnO (zinc oxide), AlN (Aluminum nitride), and PZT
the detection of acoustic signals. These devices use elastic (lead zirconium titalate). Deposition, sputtering, and sol–gel
acoustic waves at high frequencies to measure physical, are some of the methods used for preparing piezo films; the
chemical, and biological quantities. Typically, integrated choice depends on the material and substrate used. ZnO thin
acoustic sensors can be made to be extremely sensitive and films are prepared using laser-assisted evaporation and are
also to have a large dynamic range. The output of these sen- often doped with lithium. Such films have excellent orienta-
sors is usually a frequency, a charge, or a voltage. tion. AlN thin films maintain a high acoustic velocity and are
The piezoelectric effect is one of the most convenient ways able to withstand extremely high temperatures. PZT thin
to couple elastic waves to electrical circuits. Piezoelectricity is films have a much higher piezoelectric coefficient than ZnO
caused by the electric polarization produced by mechanical and AlN.
strain in certain crystals. Conversely, an electric polarization Recently, it has become possible to generate piezoelectric
will induce a mechanical strain in piezoelectric crystals. As a thin films with extremely good properties through the sol–gel
consequence, when a voltage is applied to the electrodes of a process. This process consists of the following steps: synthesis
piezoelectric film, it elongates or contracts depending on the of a metal–organic solution, deposition of this solution by spin
polarity of the field. Conversely, when a mechanical force is coating, and a final heating that helps to crystallize the ce-
applied to the film, a voltage develops across the film. Some ramic film. A cross-sectional view of a thin film PZT sensor is
properties of a good piezoelectric film are wide frequency shown in Fig. 11. The advantages of thin film PZT sensors
range, high elastic compliance, high output voltage, high sta- include their small size, which allows them to be positioned
bility in wet and chemical environments, high dielectric virtually anywhere, and their ability to operate at high fre-
strength, low acoustic impedance, and low fabrication costs. quencies.
Piezoelectric materials are anisotropic, and hence their elec-
trical and mechanical properties depend on the axis of the Measurement Techniques. The different modes of use for an
applied electric force. The choice of the piezoelectric material acoustic sensor are summarized in Fig. 12. Using either a res-
depends on the application. onator-transducer or a delay line, measurements can be made

TSM SAW FPW APM


Top Top
Gold
PZT
;

;;

Side Side Ti/Pt electrode


Poly
Top Nitride
;

Side
Si
Bottom
End
Side
Figure 10. Types of acoustic wave sensors. Figure 11. Cross-sectional view of a thin film PZT sensor.
DATA ACQUISITION AND CONVERSION 499

Elastic wave propagation R2

Delay line Transducer


R1
Vin –
Passive Active Active Passive V0
device device device device
+

Measure Measure
phase Measure oscillation
f, Q, Zin
shift frequency

Figure 12. Different measurement techniques for acoustic sensors. Figure 14. Voltage amplifier.

on the device itself or incorporated into an oscillator circuit. There are two basic methods of interfacing to this sensor. We
There are basically two ways to implement this measurement can use either a voltage amplifier (Fig. 14) or a charge ampli-
technique: active or passive. In the case of passive bulk-wave fier (Fig. 15).
resonators, we measure the resonant frequency to infer the In general, the charge amplifier interface provides a num-
wavelength and hence the velocity. Likewise, for passive de- ber of advantages. First, it is not affected by parasitic capaci-
lay lines the phase shift between the input and the output of tances at the input of the amplifier. Second, the output volt-
the transducer, which are separated by a known distance, age at the piezoelectric sensor is very small. This is because
yields the velocity. On the other hand, for active resonators the piezoelectric material, PZT, that is used for its high piezo-
or delay-line oscillators, the frequency can be directly mea- electric coefficient also has a very high dielectric constant. As
sured with the help of a digital counter. shown below, the output voltage is proportional to the charge
As an example, let us consider the complete design and and inversely proportional to the dielectric constant:
implementation of an integrated acoustic emission sensor
with low-power signal-conditioning circuitry for the detection Q Q eSA eSd
V = = = = (17)
of cracks and unusual wear in aircraft and submarines. C A/d A/d 
Within a health and usage monitoring system, it is necessary
by some means, either directly or indirectly, to monitor the [The output voltage can also be written in terms of the strain
condition of critical components, e.g., airframe, gearboxes, S, the distance d, the electron charge e, and the dielectric
and turbine blades. The overall aim is to replace the current constant ⑀ as shown in Eq. (19) below.] For these and other
practice of planned maintenance with a regime of required reasons the charge amplifier interface was selected for our
maintenance. Typical parameters used include stress (or design example.
strain), pressure, torque, temperature, vibration, and crack The charge amplifier circuit shown in Fig. 15 is in its sim-
detection. In this example, acoustic emission sensors are used plest form. The charge Q and capacitance CS are used to
for crack detection. The thin film piezoelectric sensor, coupled model the sensor charge and sensor capacitance. The in-
to an aircraft component, senses the outgoing ultrasonic verting terminal of the operational amplifier is a virtual
waves from any acoustic emission event as shown in Fig. 13. ground, and no charge flows into the operational amplifier in-
The magnitude of the output signal is proportional to the puts. Therefore, any charge that is generated across the sen-
magnitude of the acoustic emission event. For our example sor has to flow into the feedback capacitance Cf . The output
design, the acoustic emission signal bandwidth varies from 50 voltage developed across the feedback capacitor is inversely
kHz to approximately 1 MHz. Mixed in with the desired proportional to the value of this capacitance. The voltage gain
acoustic emission signal is vibration noise due to fretting of of the circuit is given by the ratio of CS to Cf , and hence, to
the mechanical parts. However, this noise is limited to about obtain high gain, Cf can be made much smaller than CS. This
100 kHz and is easily filtered out. basic topology has a number of limitations, including low-fre-
Due to the acoustic emission event, the piezoelectric sensor quency flicker noise of the amplifier, operational amplifier off-
generates a charge on the top and bottom plates of the sensor. set, and long-term drift. Traditionally, correlated double sam-

Ti–Pt Cf
Output charge
or voltage 0.3 µ m to 1 µm PZT
TiO2–Ti–Pt
Si3N4
Si

Package
wear plate V0
Outgoing
ultrasonic waves +
Q Cs
Acoustic emission event

Figure 13. Acoustic emission sensor.


Figure 15. Charge amplifier.
500 DATA ACQUISITION AND CONVERSION

Cf proportional to the square of the signal bandwidth and sen-


sor capacitance.
If, however, bipolar transistors are used to implement the
operational amplifier, the minimum power requirements is
– given by
V0
Q Cs
+ P = V I = V · 2π BW UTC (21)

V Here, UT is the thermal voltage, which is equal to 26 mV at


room temperature. From this equation it is clear that in the
case of bipolar transistors, the power is linearly proportional
gm to the signal bandwidth and sensor capacitance. This differ-
ence in the power consumption between bipolar and MOS im-
Figure 16. Modified charge amplifier circuit. plementations for a signal frequency of 1 MHz is shown in
Fig. 17. Here we note that the power consumption for both
MOS and bipolar implementations increases with increased
sensor capacitance. However, for very low frequencies, the
pling and chopper stabilization are used to remove low-
MOS devices can be operated in weak inversion (WI). In WI,
frequency noise and offset. However, as noted earlier, our sig-
MOS devices behave very similarly to bipolar devices, and
nal band does not include the frequencies from dc to 50 kHz,
hence the slopes for weak inversion and bipolar devices are
and our maximum signal frequencies are fairly high. There-
initially very similar. However, at higher frequencies MOS
fore, an alternative design topology shown in Fig. 16 was se-
devices are forced to operate in strong inversion and hence
lected to circumvent the problem.
consume more power for the same performance.
Here, low-frequency feedback is provided to reduce the ef-
Next, we consider the design tradeoffs in connection with
fects of offset, long-term drift, and low-frequency noise. In the
device noise.
modified circuit, a transconductor is connected in negative
feedback. The transfer function of the modified circuit is
given by Noise Analysis. The power spectral density for the wide-
band gate-referred noise voltage for MOS transistors is given
V0 (s) s · ( gm a − gm − Cf · s) by
=− (18)
Qin (s) Cs · Cf · s2 + s(Cs · gm + gm a · Cf ) + gm a · gm
8 kT
2
VnT = (22)
3 gm
In this equation, Cs is the sensor capacitance, Cf is the feed-
back capacitance of the operational amplifier, gma and gm are
the transconductances of the operational amplifier and the Here, k is Boltzmann’s constant, T is the temperature, gm is
transconductor. If the higher-order terms are neglected, then the transconductance. Likewise, for bipolar transistors the
Eq. (18) can be simplified to power spectral density for the wide band input-referred noise
voltage is given by
V0 (s)
=−
s
·  1
Cs · s
 (19) 2
VnT = 2qIC (23)
Qin (s) gm
1+
gm a
For both MOS and bipolar implementations the total rms
From Eq. (19) it is clear that the circuit has the characteris- input referred noise is independent of frequency and inversely
tics of a high-pass filter, that is, none of the low-frequency
noise or offsets affect the circuit performance.
Next, we perform a power analysis to analyze the effects 1
of different design tradeoffs. Both MOS and bipolar transistor
technologies are considered, and power and noise analysis
and design tradeoffs for both technologies are presented. MOS
0.1 SI
Power (mW)

Bipolar
Power Analysis. If MOS transistors in strong inversion (SI)
are used to implement the operational amplifier, then the
minimum power requirement is given by WI
0.01
V (2π BWC)2
P = VI = (20)
2K · W/L
0.001
where BW is the signal bandwidth, C is the sensor capaci- 20 40 60 80 100
tance, K is the transconductance factor, V is the output volt- Sensor capacitance (pF)
age, I is the supply current, and W/L is the aspect ratio of the Figure 17. Minimum power requirements versus sensor capacitance
transistor. From this equation it is clear that the power is for a MOS or bipolar design.
DATA ACQUISITION AND CONVERSION 501

1000 capacitors were used. As expected, the signal band gain is


given by the ratio of the sensor to the feedback capacitance,
which is equal to 20 dB. Both measurement and simulation
results agree fairly well with this value. The primary differ-
RMS noise ( µV)

100 ence between the measurement and simulation results is in


the low-frequency and high-frequency poles. It is expected
that this is largely a result of parasitic capacitances and pos-
sibly a lower realized transconductance in comparison with
10 the simulated value.
The charge amplifier circuit design just described converts
the sensor charge into a voltage. This amplified signal voltage
is then converted to digital form using an ADC. For our imple-
1 mentation a 10-bit fourth-order sigma–delta implemented as
1 10 100 a MASH topology was used. The fourth-order topology was
Sensor capacitance (pF) used to keep the oversampling ratio low, as the signal fre-
Figure 18. Noise power spectral density versus capacitance. quency is fairly high. Details of this implementation are not
included here; interested readers are referred to Ref. 6 for
more information.
proportional to the sensor capacitance as shown in Fig. 18. Next, we describe a complete temperature sensor system.
Here, we note that the ratio of the noise spectral density for
the MOS and the bipolar implementations is a constant equal Temperature Sensing System
to four. In many control systems, temperature sensors are used as the
In summary we note that: For a MOS implementation the primary sensor. Additionally, as most electronic components
power consumption is proportional to the square of the sensor and circuits are affected by temperature fluctuations, temper-
capacitance, whereas for a bipolar implementation it is lin- ature sensors are often needed in microsensor systems to
early proportional to the sensor capacitance. On the other compensate for the temperature variations of the primary
hand, the input-referred noise for both the MOS and bipolar sensor or sensors.
implementations is inversely proportional to the sensor ca- Because integrated sensors can be manufactured on the
pacitance. Thus, there is a clear tradeoff between the mini- same substrate as the signal-processing circuitry, most recent
mum power consumption and the maximum input-referred temperature measurement schemes concentrate on integrated
noise. If the sensor capacitance is increased, then the input- silicon temperature sensors. The resulting smart sensor is ex-
referred noise decreases, but the power increases, and vice tremely small and is also able to provide extremely high per-
versa. Using the equation above, we can calculate the mini- formance, as all the signal processing is done on chip before
mum bound on the power requirements for our application. the data is transmitted. This avoids the usual signal corrup-
For 10 bits of accuracy and a signal bandwidth of 1 MHz, the tion that results from data transmission. The disadvantage of
minimum sensor capacitance size is 5 pF and the minimum the smart sensor is that since all the processing is done on
power consumption is around 500 애W. chip, it is no longer possible to maintain the signal prepro-
Next, we provide some simulation and measurement re- cessing circuits in an isothermal environment. The on-chip
sults for our acoustic emission sensor system. sensor interface electronics must therefore be temperature-
insensitive or be compensated to provide a temperature-in-
Results. Simulation and measurement results for the sensitive output.
charge amplifier with a sensor capacitance of 100 pF and a A smart temperature sensor is a system that combines on
feedback capacitance of 10 pF are shown in Fig. 19. For this the same chip all the functions needed for measurement and
measurement, discrete versions of the sensor and feedback conversion into a digital output signal. A smart temperature
sensor includes a temperature sensor, a voltage reference, an
ADC, control circuitry, and calibration capabilities. A block
25 diagram for a smart temperature sensor is shown in Fig. 20.
The use of p–n junctions as temperature sensors and for the
20
generation of the reference voltage signals has been reported
15 extensively (12,13). A bandgap voltage reference can be gener-
Gain (dB)

10
Simulated
5 Measured Temp.
sensor Digital
Bitstream Digital output
0 ADC
filtering
Reference
–5 CS = 100 pF, Cf = 10 pF voltage
–10
101 102 103 104 105 106 107
Control and calibration
Frequency (Hz)
Figure 19. Small-signal frequency response of the charge amplifier. Figure 20. Smart temperature sensor.
502 DATA ACQUISITION AND CONVERSION

Therefore, the difference between the two base–emitter volt-


V ages (⌬Vbe) is given by
Ic Vref = Vbe1 + G∆Vbe
nkT I I nkT R I
Vbe = Vbe1 − Vbe2 = ln 1 s2 = ln 2 s2 (25)
Vbe1 q I2 Is1 q R1 Is1
G∆Vbe
Vref
+ Vbe2 This voltage appears across R3. Since the same current that
PTAT cell flows through R3 also flows through R2, the voltage across R2
∆Vbe G ∆Vbe is given by
generator
Temperature R2 R nkT R I
VR = Vbe = 2 ln 2 s2 (26)
2 R3 R3 q R1 Is1
Figure 21. Principle of bandgap reference.

as desired.
ated with the help of a few p–n junctions. The basic principle The output voltage is the sum of the voltage across R1 and
for the operation of a bandgap voltage reference is illustrated the voltage across Q1. Since the voltage across R1 is equal to
in Fig. 21. the voltage across R2, the output voltage is equal to
The base–emitter voltage Vbe of a bipolar transistor de-
creases almost linearly with increasing temperature. The R2 nkT R I
Vout = Vbe1 + ln 2 s2 = Vbe1 + G Vbe (27)
temperature coefficient varies with the applied current, but is R3 q R1 Is1
approximately ⫺2 mV/⬚C. It is also well known that the dif-
ference between the base–emitter voltages of two transistors, Therefore, this circuit behaves as a bandgap reference, where
⌬Vbe, operated at a constant ratio of their emitter current den- the gain factor G is set by the ratios R2 /R3, R2 /R1, and Is2 /Is1.
sities, possesses a positive temperature coefficient. At an In many designs R2 ⫽ R1 and Is2 ⫽ 8Is1. Since the reverse
emitter current density ratio of 8, the temperature coefficient saturation current Is is proportional to the emitter area, to
of this PTAT (proportional to absolute temperature) source is make Is2 ⫽ 8Is1 we let the emitter area of Q2 be 8 times as
approximately 0.2 mV/⬚C. Amplifying this voltage (G⌬Vbe) and large as the emitter area of Q1.
adding it to a base-emitter voltage Vbe produces a voltage ref- The operational amplifier’s input-referred voltage offset is
erence that is independent of temperature. Many circuits the largest error source in this type of voltage reference. This
have been developed to realize bandgap voltage references us- voltage offset is highly temperature-dependent and nonlinear,
ing this principle (14,15). A circuit diagram for one of the making an accurate calibration of such a reference virtually
early bandgap reference implementations is shown in Fig. 22 impossible. It is therefore necessary to use some type of offset
(16). cancellation technique such as autozero or chopper stabiliza-
For an ideal operational amplifier, the differential input tion (17).
voltage is equal to zero, so that resistors R1 and R2 have equal Another source of error is the nonzero temperature coeffi-
voltages across them. Since the voltage across the resistors is cient of the resistors. Usually on-chip resistors are used, in
the same, the two currents I1 and I2 must have a ratio that is the form of polysilicon resistors or well resistors. Both of these
determined solely by the ratio of the resistances R1 and R2.
resistor implementations tend to occupy very large amounts
The base–emitter voltage of a diode-connected bipolar tran-
of chip area if low power is desired. Low-power implementa-
sistor is given by Eq. (24) below, where T is the absolute tem-
tions demand the use of large-value resistors, which unfortu-
perature of the junction, Is is the reverse saturation current,
nately require large areas. Though well resistors have a much
Id is the current through the junction, k is Boltzmann’s con-
larger resistivity than polysilicon resistors, they also have a
stant, q is the electronic charge, and n is a constant that de-
very nonlinear temperature coefficient, which makes for dif-
pends on the junction material and fabrication technique. To
ficult calibration.
see this, we write
nkT I + Is nkT I
Vbe = ln d ≈ ln d (24)
q Is q Is θ1 θ1

C2 θ2 θ2 C2

+
R1 R2 Vref
C3 θ2 –
+
Vref

I1 I2
θ1 CF
R3
1× 8×
CT CT
Q1 Q2
Gnd
Figure 23. A switched-capacitor implementation of the bandgap ref-
Figure 22. Example bandgap voltage reference circuit. erence.
DATA ACQUISITION AND CONVERSION 503

S6
CB
Vin
S1 CA
Vref – II Digital
S2 S5 + ai Up– output
S4 + down
S3 – counter

S1 to S1
Switch control
logic
Figure 24. Incremental ADC.

A solution to these problems is to use switched-capacitor mV/⬚C), it is almost always amplified to a much larger value
circuits to implement the resistors in the voltage reference (앒10 mV/⬚C) for increased sensitivity. Since we already have
circuit. A switched-capacitor implementation makes offset re- an amplified value of ⌬Vbe in the voltage reference (G⌬Vbe), all
moval simple and also reduces the power consumption, as the that needs to be done is to subtract Vbe1 from the voltage refer-
area occupied by large-value switched-capacitor resistors is ence to obtain an amplified value of ⌬Vbe. If more sensitivity
significantly smaller than the area occupied by continuous- is needed, the additional amplification can be incorporated in
time resistors. In fact, the area occupied by switched-capaci- the ADC by simply adjusting the capacitor ratio of CA and CB
tor resistors is inversely proportional to the value of the resis- as shown in Fig. 24. Additionally, the subtraction of Vbe1 from
tance desired. Another advantage is that the temperature co- the voltage reference can be easily accomplished with the cir-
efficient of on-chip poly–poly capacitors is much smaller than cuit shown in Fig. 25, where Vin1 is the output of the voltage
that of on-chip resistors, making design and calibration eas- reference, Vin2 is equal to Vbe1, and VG is the negative input of
ier. A switched-capacitor implementation of the bandgap volt- the operational amplifier in the follow-on data converter. Dur-
age reference is shown in Fig. 23. ing clock cycle ␪1 the capacitor C is charged to the input volt-
The structure of this voltage reference is similar to the one age Vin2. During clock cycle ␪2, the charge (Vin1 ⫺ Vin2)/C is
shown in Fig. 22, except that the continuous time resistors transferred. This circuit effectively does the voltage subtrac-
have been replaced by switched-capacitor resistors, and ca- tion that is needed to obtain the amplified temperature-de-
pacitors CT and CF have been added. The switched capacitors pendent output voltage (G⌬Vbe).
emulate resistors with an effective resistance value given by Incorporating the voltage reference and temperature-sens-
ing circuitry shown in Figs. 23 and 25 into a smart tempera-
1 ture sensor system involves some additional circuitry. Since
Reff = (28)
f CC switched capacitors are already being used for the voltage ref-
erence and the sensing circuitry, it makes sense to use
where f C is the clock frequency of the switch. The feedback switched-capacitor technology for the ADC. A simple ADC
capacitor CF is designed to be very small and is added to en- that utilizes oversampling techniques is the incremental con-
sure the operational amplifier is never in an open-loop mode verter (18). The advantage of this data converter topology,
of operation. The capacitors located in parallel with the diodes shown in Fig. 24, is its low power consumption, small area,
act as tank capacitors to ensure that current is constantly and insensitivity to component mismatch. Additionally, in
supplied to the diodes. The output of this voltage reference comparison with sigma–delta converters the postquantization
can similarly be calculated and is given by digital low-pass filter is much simpler. It consists of just an
up–down counter instead of a more complicated decimation
C3 nkT C I filter. Unfortunately, the first-order incremental ADC has a
Vref = Vbe1 + ln 1 s2 = Vbe1 + G Vbe (29)
C2 q C2 Is1 relatively long conversion time, making this converter suit-
able only for very slow signals such as temperature.
which is the desired bandgap voltage reference. The first-order incremental ADC shown in Fig. 24 is com-
Most temperature-sensing devices also use the difference posed of a stray-insensitive switched-capacitor integrator, a
between two diodes (⌬Vbe) as the sensing element of the sys- comparator, switch control logic, and an up-down counter. A
tem. Since the temperature coefficient of ⌬Vbe is small (앒0.2 four phase nonoverlapping clock as shown in Fig. 26 consti-

Vin2 θ1
θ1 C
VG θ2
θ2
θ3
Vin1
θ2 θ1
θ4

Figure 25. Switched-capacitor subtraction circuit. Integration period


Figure 26. Four-phase nonoverlapping clock.
504 DATA ACQUISITION AND CONVERSION

θ1 θ1

C2 θ2 θ2 C2
+ VRef
C3 θ2 –

θ1 CF

Q1 Q1
CT CT

S6
CB
S1 CA
+ VI Digital
S2 S5 + ai Up– output
S4 – down

counter
Vbe1
Ssub
S1 – S6 Switch control
logic

Figure 27. Smart temperature sensor circuit.

tutes an integration period. The integrator output voltage is Also during ␪4, the integrator output voltage VI[i, 4] is given
designated by VI[i, j], where i corresponds to the current inte- by
gration period and j to the clock cycle (1, 2, 3, or 4).
During clock cycle ␪1, S1 and S4 are closed, charging CA to CA
the input voltage Vin. During ␪2, S3 and S5 are closed, transfer- VI [i, 4] = VI [i, 1] + (V − aiVref ) (30)
CB in
ring the charge that was stored on CA to CB. At the end of the
charge transfer from CA to CB the comparator output is de-
The final N bit output code, denoted by Dout, that results from
noted by
the up–down counter is obtained by evaluating the quantity

1 if VI [i, 2] > 0
ai = 1 n
−1 if VI [i, 2] < 0 Dout = a (31)
n i=1 i
During ␪3, S4 is closed, and if:
Here n is the number of integration periods, and is a function
ai = 1, S3 is closed of the resolution that is required of the ADC.
ai = −1, S2 is closed The complete smart temperature sensor is shown in Fig.
27. The subtraction circuit of Fig. 25 is incorporated into the
During ␪4, S5 is closed, and if: ADC by simply adding switch Ssub. The only difference in the
operation of the incremental converter shown in Fig. 27 from
ai = 1, S2 is closed
the one shown in Fig. 24 is that now during ␪2, S3 is not closed
ai = −1, S3 is closed but instead Ssub is closed.

1.4 0.85

1.35 0.80
0.75
Vref – Vbel (V)

1.3
Vref (V)

0.70
1.25
0.65
1.2
0.60
1.15 0.55
1.1 0.50
220 240 260 280 300 320 340 360 380 220 240 260 280 300 320 340 360 380
Temperature (K) Temperature (K)
Figure 28. Measurement results for the (a)
voltage reference, (b) the temperature sensor. (a) (b)
DATA ACQUISITION AND CONVERSION 505

system was a smart temperature sensor. As feature sizes con-


tinue to decrease and integrated sensor technologies progress,
it is likely that extremely smart and high-performance sys-
tems will be integrated on single chips. Additionally, signifi-
cant reduction in power and area as a result of smaller fea-
ture sizes will make such systems ubiquitous.

BIBLIOGRAPHY

1. W. Gopel, Sensors in Europe and Eurosensors: State-of-the-


art and the science in 1992, Sensors Actuators A, 37–38: 1–5,
1993.
2. R. Pallás-Areny and J. G. Webster, Sensors and Signal Condition-
ing, New York: Wiley-Interscience, 1991.
Figure 29. Measurement results for the analog-to-digital converter.
3. K. Najafi, K. D. Wise, and N. Najafi, Integrated Sensors, in S. M.
Sze (ed.), Semiconductor Sensors, New York: Wiley, 1994.
4. D. H. Sheingold (ed.), Transducer Interfacing Handbook, Nor-
wood, MA: Analog Devices, 1980.
The calibration of this system is done in two steps. First
5. R. J. van de Plassche, J. H. Huijsing, and W. M. C. Sansen, Ana-
the voltage reference is calibrated by adjusting the ratio of log Circuit Design—Sensor and Actuator Interfaces, Norwell, MA:
C3 and C2; next the amplified sensor voltage is calibrated by Kluwer, 1994.
adjusting the ratio of CA and CB. Adjusting the ratios of the 6. R. Harjani, Analog to digital converters, in Wai-Kai Chen (ed.),
capacitors is done with the use of a capacitor array that is The Circuits and Filters Handbook, New York: IEEE/CRC
controlled digitally. The output is an N bit digital word. Press, 1995.
In Fig. 28 we show measurement results for the voltage 7. J. L. McCreary and P. R. Gray, All-MOS charge redistribution
reference and final temperature output. For these results a analog-to-digital conversion techniques—part I, IEEE J. Solid-
first-pass design of the circuit in Fig. 27 was used. This design State Circuits, 10: 371–379, 1975.
was not completely integrated and included external resistors 8. H. S. Lee, D. A. Hodges, and P. R. Gray, A self-calibrating 15
to obtain gain. We expect final integrated results to behave bit CMOS A/D converter, IEEE J. Solid-State Circuits, 19 (6):
similarly. Figure 28(a) shows the reference voltage obtained 813–819, 1984.
as a sum of a Vbe and an amplified ⌬Vbe as described in Eq. 9. F. Wang and R. Harjani, Design of modulators for oversampled
(29). The x axis shows the temperature in kelvin and the y converters, Norwell, MA: Kluwer, 1998.
axis shows the measured output reference voltage in volts. 10. J. C. Candy and G. C. Temes (eds.), Oversampling Delta–Sigma
The measured value is fairly close to the expected value ex- Data Converters—Theory, Design and Simulation, New York:
cept for some small experimental variations. We suspect IEEE Press, 1992.
these variations are a result of the length of time used to 11. S. M. Sze (ed.), Semiconductor Sensors, New York: Wiley, 1994.
stabilize the temperature between temperature output mea- 12. A. Bakker and J. Huijsing, Micropower CMOS temperature sen-
surements. The graph in Fig. 28(b) shows the output voltage, sor with digital output, IEEE J. Solid-State Circuits, SC-31 (7):
which is Vref ⫺ Vbe. As expected, this voltage varies linearly 933–937, 1996.
with temperature. Figure 29 shows the expected 1 bit output 13. G. Meijer, An IC temperature transducer with an intrinsic refer-
stream (ai shown in Fig. 24) of the sigma–delta converter be- ence, IEEE J. Solid-State Circuits, SC-15 (3): 370–373, 1980.
fore the digital low-pass filter. This output corresponds to an 14. S. Lin and C. Salama, A Vbe(T) model with applications to band-
input voltage equal to one-eighth of the reference voltage. gap reference design, IEEE J. Solid-State Circuits, SC-20 (6):
We have provided detailed designs for two complete data 1283–1285, 1985.
acquisition systems, namely an acoustic emission sensor sys- 15. B. Song and P. Gray, A precision curvature-compensated CMOS
tem and a smart temperature sensor system. We provide both bandgap references, IEEE J. Solid-State Circuits, SC-18 (6): 634–
measurement and simulation results to show their perfor- 643, 1983.
mance. 16. K. Kuijk, A precision reference voltage source, IEEE J. Solid-
State Circuits, SC-8 (3): 222–226, 1973.
17. C. Enz and G. Temes, Circuit techniques for reducing the effects
CONCLUSION of op-amp imperfections: Autozeroing, correlated double sam-
pling, and chopper stabilization, Proc. IEEE, 84 (111): 1584–
In this article we have provided brief descriptions of data ac- 1614, 1996.
quisition and data conversion systems. In particular, we pro- 18. J. Robert and P. Deval, A second-order high-resolution incremen-
vided some general descriptions of integrated capacitive and tal A/D converter with offset and charge injection compensation,
resistive sensors. This was followed by descriptions of two of IEEE J. Solid-State Circuits, 23 (3): 736–741, 1988.
the most common data converter topologies used in sensor
interface systems, namely successive approximation and KAVITA NAIR
sigma–delta. Finally, these were followed by detailed descrip- CHRIS ZILLMER
tions of two complete acquisition systems. The first system DENNIS POLLA
was based on a piezoelectric acoustic emission sensor inter- RAMESH HARJANI
faced to a charge amplifier and data converter. The second University of Minnesota
506 DATA ANALYSIS

DATA ACQUISITION SYSTEMS. See MICROCOMPUTER


APPLICATIONS.
DELAY CIRCUITS 127

A delay circuit shifts an input signal in time by a specific


magnitude. In other words, the output of a delay circuit is a
replica of the input, occurring a specific length of time later.
In many situations arising in practice, the specifications (com-
ing from magnitude or bandwidth, for example) are better
met by cascading identical delay circuits. A delay line is so
obtained. Other applications require generating a number of
shifted replicas at arbitrary intervals. This is generally done
by taps placed at the output of every stage of a delay line,
and then a tapped delay line is obtained.
According to this definition, the class of circuits which
must be considered ranges from the most simple resistance-
capacitance (RC) stages to finite impulse response (FIR) or
infinite impulse response (IIR) filters able to delay a discrete-
time signal by a magnitude which is not a multiple of the
sampling interval. Given this wide circuit scope, there is in
consequence a possible overlap with the contents of other arti-
cles in this Encyclopedia. In order to minimize this overlap,
the design and implementation of some of these circuits will
be more extensively treated than others.
The article is structured in two well-differentiated sec-
tions, as the continuous-time and the discrete time ap-
proaches are dealt with separately. Each section has been or-
ganized in several subsections. In both cases we address
mathematical modelling, system implementation, and circuit-
level implementation issues. Continuous amplitude signals
(analog signals) and discrete amplitude signals (digital sig-
nals) have a very distinct nature and it is well established
that depending on the signal type, the implementation of de-
lay elements follows very different circuit approaches. In con-
sequence, we differentiate the analog and digital domain
when required.

CONTINUOUS-TIME APPROACH

Delay Models
The building of a device which delays a continuous-time sig-
nal, xc(t), by an amount, tD, as shown in Fig. 1, is conceptually
simple. There is nothing physically unreasonable with such a
device if tD is positive (the response occurs after the excita-
tion). If we only require that the response be a scaled (by k)
replica without distortion of the excitation occurring tD time
units later, we can define a linear operator, Lc, which yields
its output, yc(t), as:

yc (t) = Lc {xc (t)} = kxc (t − tD ) (1)

The delayed signal output response must be zero for 0 ⱕ t ⬍


tD because we analyze the behavior from t ⫽ 0 onward. In Eq.

DELAY CIRCUITS
y(t) = xc(t – tD)
There are two forms in which delays can appear in circuits. y(t) = xc(t)
First, there are inevitable delays associated with wiring and
physical devices, which are not at the invitation of the de-
signer. However, delays can also be included for very different
T
purposes and with distinct applications. In this article, we
shall describe the circuits or systems employed for generating t tD t
these intentional delays. We will refer to them with the ge-
neric term of delay circuits. Figure 1. Delaying a continuous-time signal.

J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
128 DELAY CIRCUITS

(1), k is a constant which represents amplification or attenua- functions for first- and second-order all-pass filters. Both fil-
tion, and perhaps polarity reversal. ters satisfy the amplitude condition: they have an amplitude
Delaying continuous-time signals can be considered in a of 1 for all frequencies. The group delay condition, is only par-
suitable transform domain provided by the Laplace trans- tially fulfilled: the associated group delay is only approxi-
form. The ideal transfer function of such a device can be eas- mately constant if (웆/웆0)2 Ⰶ 1 in a first-order all-pass filter,
ily derived as: with the specific properties of this function being controlled
 ∞  ∞ by Q and 웆0 in a second-order all-pass filter. Hence, for band-
Yc (s) = yc (t)e−st dt = k xc (t − tD )e−st dt limited signals, all-pass filters can perform as delay sections.
0 0
 ∞ Two or more of these sections can be cascaded to obtain delay
Yc (s) = k xc (µ)e−s(µ+t D ) dµ where µ = t − tD lines. Delay lines using low-pass filters (first- or second-order
0 (2) follower-integrator sections) have also been proposed for spe-
 ∞
−st D −sµ −st D cific applications (2). For such lines, the response at high fre-
Yc (s) = ke xc (µ)e dµ = ke Xc (s)
0
quencies will decrease sharply, in a very steep way, but in the
Hid (s) = ke−st D range of application in which they are used, the group delay
is approximately constant in the same conditions as the all-
From Eq. (2), we obtain for ideal distortionless transmis- pass filters are.
sion that the transfer function Hid(s), is Hid(s) ⫽ ke⫺stD. This The field of filter design and implementation is the subject
condition is frequently more useful when expressed in the of many other articles in this work, so we do not deal with
frequency domain (웆-domain) by setting s ⫽ j웆. It gives the circuit and physical level implementation issues here. We
Hid( j웆) ⫽ (ke⫺stD)s⫽j웆 ⫽ ke⫺j웆tD, which expressed in terms of mod- will just mention that time constants depend on parameters
ulus 兩Hid( j웆)兩, and argument arg兵Hid( j웆)其 ⫽ ⌰id(웆) allows us to such as capacitors and transistors which are temperature-
obtain two properties for the transfer function: first, a con- and process-dependent; therefore, some extra circuitry is re-
stant modulus for all frequencies is required, and second, a quired to control the delay time. Solutions to this problem
phase shift depending linearly on the frequency, ⌰id(웆), is resort either to control the delay time by an external voltage
needed in order to provide a frequency-independent group de- or, more commonly, to locking it to an external reference fre-
lay ␶g(웆): quency.
|Hid ( jω)| = k constant
 Delay Circuits for Digital Signals
id (ω) = −ωtD linear with ω
A different point of view is taken in many applications that
τg (ω) = − ∂  (ω) = t constant
∂ω id D require delaying digital signals. These delay circuits are a key
component of phase locked loops (PLLs) and delay locked
Two models of delays are widely used for digital signals. Pure loops (DLLs), which find wide application in wireless and
delays shift the input signal in time by a specific magnitude communication circuits, high-speed digital circuits, disk drive
tD. This model corresponds to the mathematical operator in- electronics, and instrumentation, because a number of design
troduced at the beginning of this section. A second useful problems can be efficiently solved with them. The main prob-
model is the inertial delay. An inertial delay of magnitude tD lems that can be solved are jitter reduction, skew suppres-
shifts the input signal in time by tD and filters out pulses sion, frequency synthesis, and clock recovery. A different and
(both positive and negative) of duration less than tD. familiar application area is the control of the timing of a data
Delay Circuits for Analog Signals sampling or of a generation process. Very fine resolution is
often required in these types of applications. Very large-scale
A device implementing distortionless transmission condition integration (VLSI) automated test equipment and time mea-
cannot be a finite, lumped linear constant element network, surement systems for nuclear instrumentations are some ex-
because its transfer function is transcendental and not ratio- amples. Finally, another interesting application of these delay
nal in s. Ideal transmission lines have such transfer functions circuits is that of self-timed circuits. Following, a brief review
and they are described by partial differential equations. of the relevant issues and options for these delay circuits is
Hence physical realizations of ideal delay-transfer functions presented.
do exist, although not as networks. Neither pure nor inertial delays can be perfectly realized
Good approaches for devices implementing distortionless in the real world. A real inertial delay of magnitude tD, when
transmission, however, can be obtained, for example, with all- stimulated with pulses of width varying from tD ⫹ e to tD ⫺ e
pass filters (1). Table 1 shows the transfer and group delay for some small value e ⬎ 0, produces a continuum of wave-
forms between a pulse of width tD ⫹ e to no pulse at all. Real
Table 1. Transfer and Group Delay Functions for First- delays are often better modelled as combinations of the two
and Second-Order All-Pass Filters types (3). The introduced models produce output waveforms
Order Transfer Function Group Delay Function similar to the input waveforms. This is because both rising
and falling edges are propagated with the same delay. In
s ⫺ 웆0 2/웆0 practice, delay circuits which have different delays for each
First H(s) ⫽ ␶g(웆) ⫽
s ⫹ 웆0 1 ⫹ (웆/웆0)2
transition are useful for many applications. Also, there is an-

s2 ⫺ 0 s ⫹ 웆20 other type of application for which only one transition polarity
Q 2 1 ⫹ (웆/웆0)2
Second H(s) ⫽ ␶g(웆) ⫽ is significant. The delay is then used to initiate events at arbi-
웆 Q웆0 1
s2 ⫹ 0 s ⫹ 웆20 [1 ⫺ (웆/웆0)2]2 ⫹ 2 (웆/웆0)2 trary times. The response to an input edge or pulse is a fixed-
Q Q
width pulse after a given time.
DELAY CIRCUITS 129

R2
Vref
Vref –
+ Output R3
Ramp
Input generator Vramp +
Ramp – Output
Input Vin C1
Vref
Vramp
Output R1

(a) (b)

Input 1 2 3 ..... N –1 N
Output
(c)

Input + Output – Figure 2. Generic schemes for delaying digital sig-


1 2 3 ..... N –1 N
Input – Output + nals: (a) The ramp and comparator approach; (b) con-
ventional RC delay element; (c) single-ended gate
(d) chain; and (d) differential gate chain.

Because of the impossibility of ideal delay elements, a set signal, an RC stage with input and output buffers, can be
of figures of merit (4) are used to specify delay circuits in ad- viewed as a particular case of this generic scheme. The refer-
dition to nominal delay: ence voltage is now the threshold voltage of the output buffer.
Another variation substitutes the comparator for a mono-
1. Bandwidth or maximum usable input signal frequency stable circuit.
(In many cases it is not limited by the functional failure Logic gates are an obvious and almost universally avail-
of the delay but by the accuracy degradation due to able delay medium. Chains of logic gates are widely applied
what is called history effects or pulse memory. The de- to delay signals as shown in Figs. 2(c) and 2(d). The nominal
lay of a signal edge is perturbed by the presence of other delay depends both on the delay of each cell and on the num-
edges in the recent past.) ber of stages. When single-end gates are the basic cell of the
2. Tolerance of nominal delay chain, inverting gates are usually used in order to balance the
3. Temperature coefficient of nominal delay propagation time of rising and falling edges which can affect
4. Voltage coefficient of nominal delay the accuracy of the delay element. Differential circuits tech-
niques [Fig. 2(d)] are extensively used for several reasons.
5. Jitter or random variation in the delay of different
First, differential gates achieve a high rejection of noise; sec-
edges due to noise (It can be evaluated by maximum
ondly, they reduce edge dependency. In general, reduced
error or by the standard deviation of errors.)
swing differential circuit techniques are a good choice because
they also allow maximize bandwidth. Finally, the functional-
Basically, continuous digital signals can be delayed using
passive transmission lines which behave roughly as a pure ity of the basic delay cell can be other than that of a buffer,
delay element, RC delays or logic gates. Transmission lines depending on the application. For example, exclusive OR
can be used at the PC board and hybrid levels. Here, we focus (XOR) gates and latches have been used in pattern generation
on delay circuits to be included with other elements within an and time measurements systems (5,6).
integrated circuit (IC). Figure 2 shows different generic ways Programmable or adjustable delays, that is, delays de-
of realizing delays together with common ways of implement- pending on one or more control inputs, are interesting for
ing their building blocks. The ramp and comparator approach many reasons. Devices that can satisfy a wide range of appli-
is depicted in Fig. 2(a). A transition of the input signal makes cations and that can be of manual or automatic calibration
a voltage ramp, Vramp, start from a stable initial level. The are the main ones. Control inputs can be digital or analog.
ramp and a control voltage, Vref , are applied to the inputs of The specification of these delays requires additional variables
a high-speed comparator, which switches at a time propor- such as range of available delays, resolution, tolerance and
tional to the control level. Fig. 2(b) shows a conventional RC- stability of range, and linearity (4).
delay circuit for implementing the approach just described. There are three different strategies for realizing controlla-
When the input signal Vin rises, the node Ramp starts to dis- ble delays which are summarized in Fig. 3. The first one con-
charge through R1. The simplest method for delaying a digital sists of selecting one of several fixed delay paths between in-
130 DELAY CIRCUITS

Input Fixed delay Input Fixed Fixed


D1 delay delay
MUX D1 D2 MUX
Fixed delay Output Output
D2

Sel Sel

(a) (b)

Input
Variable delay
Output

Delay control

(c)

Input Fixed delay


D1
Figure 3. Generic methods for realizing +
variable delays: (a) Selectable path ap- Fixed delay Output
proach, parallel implementation; (b) se- D2
Weights' control
lectable path approach, serial implemen-
tation; (c) variable delay fixed path
approach; (d) delay interpolation. (d)

put and output. Figures 3(a) and 3(b) show two possibilities. of transistor M1 is reduced. It can exhibit a poor linearity if a
Care must be taken to match the delays in all paths in the wide delay range is required. Figure 4(d) employs a voltage-
selector logic. This can be critical if selectable delays differ controlled capacitor. This capacitor can be a reversed biased
little or in certain applications. In the second approach [Fig. p–n junction diode. A different option with digital control (10)
3(c)], the physical path of the signal remains constant but its is depicted in Fig. 4(e). Node OUT is loaded by m pairs of
delay is varied. A third approach, called delay interpolation, p–n load devices. When the ith enable line is low, the capaci-
uses two paths with different delays in parallel. The total de- tance load that the pair of devices present to node OUT is
lay is an adjustable weighted sum of the delays of the two minimal because inversion layer cannot be formed for any
paths as shown in Fig. 3(d). voltage on OUT. When the ith enable line is high, the capaci-
In Fig. 3(c), the delay is tuned by the control input. The tive load that the pair presents is maximal, because an inver-
Vref input of the ramp and comparator delay circuit can be sion layer can be formed under the gate of one or both of the
used as the control input in order to build a variable delay p–n devices.
element. This approach is popular because of its flexibility Resistive tuning approaches use variable resistances to
and naturally linear response. A different strategy is used in control the current available to charge and discharge the
the circuit shown in Fig. 4(a) (2), where the control input, load capacitance. A classical example is the current-starved
Vctrl, affects the slew rate at node V1 instead of the triggering inverter shown in Fig. 4(f). Voltage Vctrl controls the ON
voltage. Starting with Vout low, when Vin becomes active, cur- resistance of transistor M1 and through a current mirror,
rent Iin charges C1 until Vout triggers. The delay is inversely the transistor M2. Delay decreases as Vctrl increases,
proportional to Iin which depends on Vctrl. This element has allowing a large current to flow. A Schmitt trigger followed
been used in an adaptative delay block which exhibits a high by a buffer can be included to achieve fast rising and fall-
pull-in frequency range partially because the transistor M1 ing outputs (11). If a simple current mirror is used, the
operates in the subthreshold region (7). delay is a very nonlinear function of the control voltage.
In gate-based delay generators, control can be accom- Moreover, its high gain coefficient (steep slope of delay
plished through capacitive tuning or resistive tuning of the characteristic) makes it sensitive to noise on the control
basic delay stages which form the chain. Figures 4(b)–4(e) voltage line. The linearity of the delay characteristic in the
show different methods of implementing capacitive-tuned current-starved inverter can be improved by using more
variable-delay elements. These techniques vary the capaci- complex current mirror configurations (12).
tance at the output node. The generic circuit shown in Fig. Resistive tuning of differential delay elements is also pos-
4(b) uses a voltage-controlled resistor to control the amount sible. Other parameters such as the logic swing or dc gain are
of effective load capacitance seen by the driving gate. Figure controlled in addition to the effective load resistance. Figure
4(c) shows a metal oxide semiconductor (MOS) implementa- 4(g) depicts the generic structure of a number of reported cir-
tion of this approach (8,9). Transistor M2 has its source and cuits (5,13–15). Clearly, the delay of the generic differential
drain shorted together forming an MOS capacitor. The effec- gate can be changed with the voltage Vc1, since the effective
tive capacitance is larger as Vctrl increases and the resistance resistance of the load changes with this control voltage. Also,
DELAY CIRCUITS 131

Vin
Iin V1
Vout
M1

Vctrl C1

Vbias

(a)

Vin Vout Vin Vout

Vctrl Vctrl

(d)
C1

(b)

Vin OUT

Vin Vout

M1
Vctrl Enable[1, m]

M2
m cells

(c) (e)

Differential gate

Vctrl
M2
Vc1
Load Load

Vin Vout Biasing


circuit
Vin+ Vin–

Vc2
M1
Vctrl

(f) (g)

Figure 4. Voltage-controlled variable delay elements: (a) Variation of the ramp-and-comparator


technique; (b) capacitive tuning using voltage-controlled resistor; (c) MOS implementation for
(b); (d) capacitive tuning using voltage-controlled capacitance; (e) digitally controlled MOS imple-
mentation for (d); (f) conventional resistive tuning circuit or current-starved inverter; (g) resistive
tuning of differential gates.
132 DELAY CIRCUITS

Vc2 can vary the delay of the gate as it adjusts the tail current. have a wide range and fine control of rising and falling delays.
The biasing circuit generates Vc1 and Vc2 from Vctrl. One of the Other schemes can be used to improve the resolution of a
two voltages, Vc1 or Vc2, may be nominally equal to Vctrl. The chain of delay elements, which is limited to the basic delay of
biasing block produces the appropriate value for the other one or two (if single-ended, inverting gates are used) of its
bias in order to control the parameters previously mentioned. stages. They include delay interpolation performing an analog
This can be done by implementing the replica biasing concept sum of consecutive taps. Precise delay interval generators
in which a copy of the delay cell, a differential amplifier, and with subgate resolution have been proposed based on a series
feedback are used. Also, the noise insensitivity of the delay of coupled ring oscillators (19) and using an array of similar
cell is improved with this technique, as the appropriate bias DLLs with a small phase shift between them (12).
voltage values are generated independently of supply voltage
variations. Finally, resistive tuning allows fully differential
DISCRETE-TIME APPROACH
approaches. That is, the control path is also differential. Par-
tially because of this feature, resistive tuning has been identi-
Delay Models
fied as the most suitable for implementing voltage-controlled
ocillators (VCOs) (16). Discrete-time signals are obtained by sampling a continuous-
An important consideration in designing accurate delay el- time signal at discrete times [Fig. 5(a)] or they are directly
ements is to compensate for variations in process, tempera- generated by a discrete-time process. Delaying a uniformly
ture, and supply voltage. Some of the delay circuits described sampled bandlimited (baseband) signal presents several ma-
use an RC time charge constant and generate delays almost jor differences when compared with the continuous time ad-
independent of MOS transistor characteristics. The delay de- dressed previously. If we simply convert Eq. (1) into discrete
viations due to these ambient and process conditions are time by sampling the continuous signal at time instants t ⫽
lower than those of a chain of single-ended conventional in- nT, where n is an integer and T is the sampling interval, then
verters (17). This sensitivity has been further reduced by we obtain:
making the charging current proportional to the reference
voltage, Vref (18). Thus, even if the reference voltage fluctuates y[n] = L{x[n]} = kx[n − D] (3)
as the result of supply-voltage, temperature, and device pa-
rameter variations, the current charging the capacitor com- If D is an integer (when tD is a multiple of the sampling inter-
pensates it, so the delay is constant. val), the output value is one of the previous signal samples,
In general, there are several ways to improve the stability
of delay circuits. Actions can be taken at different levels in
order to achieve the desired stability. In the architectural do-
main, a useful approach is to use adjustable delay elements y(t) = xc(t) x[n]
and continuously control them with a feedback mechanism.
Phase and delay locked loop techniques have been widely
used. For example, a DLL can be used to maintain the accu-
racy of a chain of delay elements through which a periodic T
signal (clock) is propagating (5,6,8,9). The effect of the DLL
approach is that two taps of the voltage-controlled delay line t n
(VCDL) driven by a clock reference are examined, and the (a)
delay element control voltage (Vctrl) is adjusted until the two
taps are in phase. Different delay values within the range y(t) = xc(t – tD)
of the delay elements can be maintained with different clock y(n) = x[n – D]
frequencies and different selections of the taps. This concept
has been applied to tuning in production, calibration, and ac-
tive delay regulation. In some cases, a pair of matched VCDLs
that depend on a common Vctrl are used. A feedback circuit
can make the delay of a reference chain match an external
time signal. The second line is the functional delay generator tD t D n
which is also stabilized. Physical design techniques which can (b)
reduce the effect of process and temperature gradients within
the chip, on-chip voltage, and temperature regulation and op-
timization of the gates for delay insensitivity can also be con- y[n] = x[n – D]
y(t) = xc(t)
sidered.
Noise is common to all electrical systems and it appears in
digital circuits primarily as timing jitter. Jitter can be re-
duced by careful application of the standard noise decoupling
and isolation techniques: guard rings, for example. Also, the
use of differential circuits and replica biasing circuits helps tD t n
(c) D
reduce the sensitivity to noise.
Delays can be combined in various ways in order to extend Figure 5. Delaying a discrete-time signal: (a) Sampling a continuous-
the range or the resolution. A serial connection of a selected- time signal at discrete times; (b) delaying a discrete-time signal by an
path delay and a constant-path variable-delay stage may integer D; (c) delaying a discrete-time signal by a noninteger D.
DELAY CIRCUITS 133

and consequently, we have a delay of D samples [Fig. 5(b)]. and so, the ideal impulse response is obtained as:
But if D is not an integer, Eq. (3) has no formal meaning
because the output value would lie somewhere between two sin[π (n − D)]
samples, and it is impossible [Fig. 5(c)]. Other important dif- hid [n] = k for all n (6)
π (n − D)
ferences with the continuous time problem are related to the
necessity of clocking and the occurrence of aliasing effects.
In a similar way to the continuous-time case, delaying dis- The impulse response in Eq. (6) is now an infinitely long,
crete-time signal can be considered in a suitable transform shifted, and sampled version of the sinc function. We have
domain: the z-domain. An ideal transfer function in this do- here a fundamental difference with the continuous-time ap-
main can be obtained formally as: proximation problem. Causal continuous-time delays are al-

∞ ways causal and bounded input-bounded output (BIBO) sta-
Y (z) = k x[n − D] z−n ble whereas in the discrete-time problem for fractional sample
n=−∞ delays, neither of these properties hold: hid[n] is noncausal
∞
and is not absolutely summable. This noncausality makes it
Y (z) = k x[m] z−(m+D) where m = n − D impossible to implement it in real-time applications.
m=−∞ (4)
The output of the system for an input x[n] can be formally


Y (z) = kz−D x[m] z−m = kz−D X (z) obtained as:
m=−∞

Hid (z) = kz−D


 sin[π (n − D)]

y[n] = x[n] ∗ hid [n] = x[n] ∗ k
π (n − D)
which strictly holds only for integer values of D. The term ∞ (7)
sin[π (n − l − D)]
kz⫺D represents an ideal discrete-time delay system in the z- y[n] = k x[l]
domain, which performs the bandlimited delay operation at l=−∞
π (n − l − D)
the specified sampling rate.
As the specifications are usually given in the frequency do-
that is, input samples spread over all the discrete-time values
main, it is interesting to obtain the response frequency (Fou-
weighted by appropriate values of the sinc function. Results
rier transform) of the ideal delaying system we are concerned
obtained in Eq. (7) have important consequences: ideal frac-
with. It is determined from Eq. (4) by setting z ⫽ ej웆, where
tional delays are impossible to implement and any system in-
웆 ⫽ 2앟f T is the normalized angular frequency, which give us
tending to do an emulation of this delay must be alike to the
Hid(ej웆) ⫽ ke⫺j웆D. This system has constant magnitude re-
ideal response in some meaningful sense. Ideal fractional de-
sponse, linear phase, and constant group delay:
lays can be approached by using finite-order causal FIR or
|Hid ( jω)| = k constant IIR filters. An excellent tutorial on fractional delays can be
id (ω) = −ωD linear with ω, |ω| < π found in Ref. 20.

τg (ω) = − id (ω) = D constant in the whole frequency
∂ω
band Unit Delay Circuits

with periodicity 2앟 in 웆 assumed. This section is mainly devoted to the implementation of the
The inverse Fourier transform of Hid(e j웆) is the impulse re- z⫺1 term, identified in the previous section with the bandlim-
sponse. In case of a delay D taking an integer value, the im- ited unit delay operation at the sampling rate we are inter-
pulse response is a single impulse at n ⫽ D: that is, hid[n] ⫽ ested in. This term is a basic block in the realization of any
k웃[n ⫺ D], where 웃[ ⭈ ] is the Kronecker delta function. The discrete delay. In the case of integer delays, z⫺N can be imple-
system simply shifts (and scales by k) the input sequence by mented by cascading N unit delay elements. In case of a frac-
D samples: tionary delay, this must be approximated by a filter whose
realization also needs these integer delays (besides arithme-
y[n] = x[n] ∗ hid [n] = x[n] ∗ kδ[n − D] = kx[n − D] (5) tic elements).
Analogous to the continuous-time case, approximations to
When D is a noninteger value, appropriate values of y[n] on the implementation of the z⫺1 term depend on the type of ap-
the sampling grid must be found via bandlimited interpola- plication we are interested in. Thus, digital and analog ap-
tion. This problem has a straightforward interpretation as a proximations will be treated separately.
resampling process: the desired solution can be obtained by
first reconstructing the bandlimited signal, shifting it, and
finally resampling it. Digital Implementations. Delays are realized using digital
To obtain the impulse response corresponding to a system storage devices or memory cells to store data during a sam-
able to give us the frequency response required, we use the pling period. There are different ways of implementing these
inverse discrete-time Fourier transform: delay operators depending on both architectural and circuit
 π choices.
1
hid [n] = H (e jω )e jωn dω for all n From an architectural point of view, a widely used ap-
2π −π id
 π proach for implement a delay line of N clock cycles employs a
k
hid [n] = e− jωD e jωn dω shift register. A shift register is a linear array of storage de-
2π −π vices, such as flip-flops or latches, with the capability of exe-
134 DELAY CIRCUITS

Din .... Out cause it acts as an inverter (transistors M3 and M4 are ON).
1D 1D 1D 1D
C1 C1 C1 C1 With ␾ low it is in the hold or high-impedance mode and so
φ Q retains its previous value stored in the output capacitor,
(a) CL. This structure presents advantages over both the pseu-
dostatic and the fully dynamic latches. These two require the
Din 1D 1D 1D 1D
.... 1D 1D Out availability of two nonoverlapping clocks (four if complemen-
C1 C1 C1 C1 C1 C1 tary transmission gates are used) for correct operation of a
φ1 cascaded configuration. Ensuring the nonoverlapping condi-
φ2
tion might involve making ␾12 large, which has a negative
(b)
impact on circuit performance, or generating the required
Figure 6. Implementation of z⫺N with shift register: (a) one-phase clocks locally, which increases area. The operation of a cas-
clock; (b) two-phase clock. caded pair of C2MOS latches controlled by ␾1 and ␾2, respec-
tively, is insensitive to overlap as long as the rise and fall
times of the clock edges are small enough. The C2MOS latch
cuting right shifts of one position. Figure 6 shows shift regis- is useful for high speed as, in that case, it is hard to avoid
ter structures for different clocking strategies. The one in Fig. clock overlap.
6(a), employs flip-flops as basic units in a one-phase clock Finally, memory elements with a single clock have also
scheme. In Fig. 6(b), the architecture when using a two-phase been proposed. Figure 7(f) shows a single clock version of the
clock scheme and latches is shown. Data present at the Din circuit depicted in Fig. 7(e). With ␾ high, it corresponds to a
input of the registers (Fig. 6) will be available in the output cascade of two inverters and so it is transparent. With ␾ low,
OUT after N cycles and so OUT[n] ⫽ Din[n ⫺ N] as required. no signal can propagate from its input to its output. This cir-
We briefly summarize the different available approaches cuit is called a true single-phase clock latch (TSPC latch) and
to the circuit realization of memory cells. An excellent treat- is the basis for the TSPC logic design methodology. Figure
ment can be found in Ref. 21. The memory cells can be imple- 7(g) depicts a positive edge-triggered flip-flop built using p
mented as static or dynamic circuits. The first approach uses versions of the TSPC latch in Fig. 7(f).
positive feedback or regeneration. That is, one or more output Another approach to implement a delay line is based on
signals are connected to the inputs. A second approach uses a multiport random access memory (RAM) which is used to
charge storage as a means of storing signal values. This ap- simulate a shift register. The selection of the most convenient
proach, which is very popular in MOS designs, has the disad- technique (shift-register or multiport RAM memory) depends
vantage that the charge tends to leak away in time. Thus, on the application.
there are restrictions on the sampling frequency used: it must
be high enough so that the state is not lost. Figure 7 shows Analog Implementations. We must essentially consider two
several CMOS memory cells suitable for register architec- approaches to analog discrete-time signal processing:
tures. Note that flip-flops suitable for one-phase register ar- switched-capacitor (SC) and switched-current (SI) techniques.
chitectures can be realized by cascading two latches operating Switched-capacitor techniques are extensively used in mixed-
on complementary clocks, in what is called a master–slave mode designs and SC delay-stages circuits have found appli-
configuration. cations in the implementation of sampled analog filters based
The circuit depicted in Fig. 7(a) is a static latch. It consists on digital filter architectures as well as in the realization of
of a cross-coupled inverter pair. The extra transistors are interpolators and decimators. The high-quality capacitors
used to store the value of Din when the clock ␾ is high. Let us needed are generally implemented using two polysilicon lay-
consider the case when Q is high and D is zero: in this situa- ers. Recently, the SI technique has appeared as an alternative
tion with ␾ high, and the appropriate sizing of transistors to SC techniques that is fully compatible with digital CMOS
M1, M2, and M3, Q is brought below the threshold of the in- standard processes. More details about these techniques can
verter M5 –M8. Then, the positive feedback forces Q to be zero. be found in Refs. 22 and 23.
Although these latches have reduced noise margins and re-
quire careful design, they are small and can be very fast. Switched-Capacitor Techniques. If SC techniques are em-
In Fig. 7(b) a pseudostatic latch is shown. The feedback ployed, delay elements can be realized in a simple way: by
loop is closed when ␾ is high. In this mode, the circuit be- cascading two sample-and-hold (S/H) elements provided there
haves as a biestable element. When the clock ␾ goes high, the are complementary clocking phases. If the output is sampled
loop opens and the input value is stored in the internal capac- at the clock phase ␾1 and the input signal at the beginning of
itor. It is called pseudostatic because frequently ␾1 and ␾2, as the phase ␾1, then it is possible to use only one S/H element.
shown in the figure, are used to control the pass transistors in Figure 8(a) shows the simplest configuration of an S/H ele-
order to avoid overlapping of both phases even if clock routing ment in which a voltage signal vin is sampled and held in a
delays occur. During ␾12 the circuit employs dynamic storage. linear capacitor Ch through the switch controlled by clock ␾.
A fully dynamic approach is less complex, as illustrated in Noise and unbalanced charge injection are the major sources
Fig. 7(c). Only three transistors are required to implement a of error in this configuration, and some compensatory tech-
latch. Possible variants for circuits in Figs. 7(b) and 7(c) in- niques can be employed to reduce the switch-induced error.
clude using complementary transmission gates instead of The signal source can be isolated from the capacitor load
NMOS pass transistors. Also, versions of these latches can be by using an op-amp as a voltage follower. Avoiding any
built adding level-restoring devices, as illustrated in Fig. 7(d). loading of the holding capacitor by an output circuit can be
Figure 7(e) shows the C2MOS latch. This circuit operates realized in a similar way. Configurations following this idea
in two modes. With ␾ high, it is in the evaluation mode be- are sensitive to the offset voltages of the amplifiers. A feed-
DELAY CIRCUITS 135

M5 M1

Q
Q

φ M6 M2 φ
M8 M4

Din M7 M3

(a)

Din Q φ1

φ2
φ φ 12
(b)
φ

M2

φ M4
Din Q Din Q Din Q

φ M3 CL
φ φ

M1
(c) (d) (e)

φ
Q Q

Din φ φ φ
φ
Din

(f) (g)

Figure 7. CMOS memory cells suitable for register architectures: (a) Static latch; (b) pseu-
dostatic latch; (c) fully dynamic latch; (d) fully dynamic latch with level restoring device; (e)
C2MOS; (f) TSPC latch; (g) positive edge-triggered TSPC flip-flop.

back loop around the hold capacitors can be used to reduce shown in Fig. 8(c), when the second op-amp is connected
this offset error, as shown in Fig. 8(b), where offset and as an integrator.
common mode error of the output follower are reduced by Figure 8(d) shows a configuration with an autozeroing fea-
the gain of the first op-amp. However, the offset of the first ture which can be used to solve the problems related with the
op-amp appears at the output. Further improvements in offset voltage. This S/H circuit also has unity-gain and is off-
both speed and accuracy are obtained in the configuration set free and parasitic capacitance insensitive. Another inter-
136 DELAY CIRCUITS

R φ Vout – Vout
+1 φ φ Ch
– + +
+ Vin + Vin – –
Vin + Vout
– Ch Ch

(a) (b) (c)

φ
φ φ
φ
φ Ch Ch2

φ V Vin φ φ φ V
out out
Vin A – +
+
Voff A=1–ε
+ Ch1
– Voff
Cs

(d) (e)

φ1 φ1

φ2 φ2

C1 CF φ1 φ1 C1 CF φ1
φ1 φ2
φ2 –

Vin A Vin A
+ Vout + Vout
φ2 φ2

C2 = C1 + CF
φ1
(f)
(g)

Figure 8. Switch capacitor configurations: (a) Elementary sample-and-hold (S/H) element; (b)
S/H amplifier configuration with feedback loop; (c) S/H amplifier configuration with integrator;
(d) offset and parasitic free unity gain S/H stage; (e) offset- and gain-compensated unity gain
S/H stage; (f) basic configuration S/H gain stage; (g) switch-induced error compensated S/H
gain stage.

esting configuration is shown in Fig. 8(e), where the voltage is independent of the op-amp input offset voltage. Improve-
amplifier has approximate unity-gain (⑀ denotes the gain er- ments in eliminating the switch-induced voltage error at the
ror) and an auxiliary hold capacitor Ch2 is used to provide expense of doubling the total amount of required capacitance
compensation of the gain and the offset voltage of the ampli- can be obtained with the configuration shown in Fig. 8(g),
fier. Both structures use a technique usually known as corre- where CDS technique has been again applied. It adds an ap-
lated double sampling (CDS): the offset voltage of the op-amp propriate network (capacitor C2 and switch controlled by ␾1)
is measured in one of the clock phases, stored in the capaci- to the noninverting input of the op-amps in order to cancel
tors, and then substracted in the subsequent signal amplifi- the signal injected at the inverting terminal by the clock feed-
cation clock phase. This technique eliminates the offset volt- through. However, a switch-induced voltage error remains,
age and additionally reduces the low-frequency 1/f noise and which is determined by the mismatching of the switches and
the power supply noise. Switch-induced errors and other par- capacitors and the common mode rejection ratio (CMRR) of
asitic effects such as power supply noise and common-mode the op-amp.
signals can be drastically reduced by employing fully differen- To obtain an analog delay line of N clock periods we only
tial configurations. need to connect in cascade N delay elements. The cascading
These configurations provide unity gain. If an S/H stage of delay elements transfers errors due to such effects as gain
with arbitrary positive gain is required, we can resort to the mismatch, offset voltage, or clock feedthrough from stage to
circuit shown in Fig. 8(f), which also uses the CDS technique. stage, accumulating them and limiting the maximum possible
Assuming there is an infinity op-amp gain, the circuit opera- number of S/H stages in the cascade.
tion is as follows: During clock phase ␾1, it operates as a Another approach employs a parallel of N S/H elements
unity-gain voltage follower (both inverting input and output rather than a cascade implementation, as shown in Fig. 9.
are short circuited). Capacitors CF and C1 are charged to the It is composed of N channels, each one containing an S/H
offset voltage and to the input voltage minus the offset volt- stage with a unity gain buffer and an array of switches
age, respectively. Next, during clock phase ␾2, the capacitor controlled by the clock sequence shown in the figure. The
C1 is discharged through CF, giving an output voltage which S/H stages sequentially sample the input signal and hold
DELAY CIRCUITS 137

vin(nτ) φ1 φ1
+ 1 vin(nτ)
– φ2
vin(nτ – τ)
φ1 C1 φ3
. . vin(nτ – 2τ)
. φN .
. .
vin(nτ – (N – 1)τ)
φ2 φ1
1
φ2
φ2 C2 φ3 ...
.
. φN
.

. φ1
.
. φ2
τ
φN φ1 φ3
1
φ2
φN CN φ3 φN
.
. φN Figure 9. SC delay line and clock sequence
.
controlling it.

it for the next N clock cycles: thus, the errors are added when it exceeds its threshold voltage, T1 conducts. Eventu-
only once. Errors caused by the unity gain buffer are mini- ally, when Cgs1 is fully charged, all of the current J ⫹ iin flows
mized by connecting the S/H stages in a feedback loop of in the drain of T1. On phase ␾2, switch S1 is opened and the
a single time-sharing op-amp. Errors in the S/H stages are end value of Vgs when ␾1 finishes is held on capacitor Cgs1 and
greatly reduced because they are divided by the gain of the it sustains the current J ⫹ iin flowing in the drain of T1. As
op-amp. Errors due to the offset voltage and the finite gain the input switch is open and the output one closed, there is a
of the op-amp are not compensated but they likewise affect current imbalance which forces an output current, iout ⫽ ⫺iin,
all the outputs. to flow throughout phase ␾2.
A delay cell comprises two cascaded current memory cells
Switched-Current Techniques. In SI techniques, delay ele- with the phase reversed on alternate memory cells. A delay
ments are simply made by cascading memory cells. Topologies line of N clock periods could be generated by cascading N de-
used for delay elements are included in one of two categories: lay cells (2N memory cells), as shown in Fig. 10(d). Another
the current-mode track-and-hold (T/H) and the dynamic cur- approach uses an array of N ⫹ 1 memory cells in parallel, as
rent mirror. The current-mode T/H delay is shown in Fig. shown in Fig. 10(e). By using the clock sequence shown in the
10(a). A digital clock signal switches ON and OFF switch S, figure, on clock phase ␾i, memory cell Mi acquires the input
which, when ON, shorts the gates of transistors T1 and T2, current and memory cell Mi⫹1 releases its output, for i ⫽ 0,
and the circuit functions as a current mirror: with an input . . ., N ⫺ 1. On phase ␾N, cell MN receives its input signal
iin applied to the drain of T1, the output iout tracks such input
and cell M0 delivers its output.
current. When the switch is turned off, the gates of T1 and
Actual operation of the basic memory cell deviates from
T2 are disconnected, and the gate voltage of T1, corresponding
the ideal behavior due to transistor nonidealities which de-
to the input current value in this moment, is sampled on
grade the performance of the cell. Previous structures for the
Cgs2. Voltage Vgs2 remains constant while the switch is open,
delay line inherit these problems: if the memory cell has
and so the output current is held at a constant value which
transmission errors (which occur through conductance ratio
is the input current value in the instant when the switch
errors and charge injection errors) or signal-to-noise ratio er-
was opened.
An important drawback of this circuit refers to the exact rors, then the serial solution increases both by a factor of
reproduction of the input current at the output: it depends 2N; in the other solution, errors are the same as those of the
on the matching of the two transistors T1 and T2 and the memory cell, but two extra problems arising from the parallel
two bias current sources J1 and J2. This disadvantage is nature of the structure can be found. One problem comes from
solved by the second generation of memory cells, the dy- unequal path gains and the other from nonuniform sampling.
namic current mirror or current copier, by using only one The degree of importance of both problems is different: very
transistor for both input and output of current, as ex- close path gains are obtained as transmission accuracy is not
plained in the following. achieved by component matching. Nonuniform sampling
The conventional SI memory cell is shown in Fig. 10(b). It could be carefully considered if the cell is used for the sample
can achieve current memory in the transistor T1 when driven and hold function. An additional drawback of that structure
by the clock waveforms of Fig. 10(c). Its operation is as fol- results from leakage which discharges Cgs during the N clocks
lows: on phase ␾1, switch S1 is closed and current iin adds to between sampling and output.
the bias current J flowing into the circuit. Current J ⫹ iin Some of these error sources can be controlled by a precise
begins to charge the initially discharged capacitor Cgs1. As choice of transistor sizes and currents, in particular those
Cgs1 charges, the gate-source voltage Vgs of T1 increases and coming from mismatching, charge injection, conductance ra-
138 DELAY CIRCUITS

Vdd Vdd Vdd

J
φ2
J1 J2
φ1
iin iout iin iout

φ1 φ1 S1 φ1
T1 T2
S T1 φ2
Cgs2 Cgs1
T

(a) (b) (c)

Unit delay #1 Unit delay #N

J J J J
φ2 φ2
φ1 φ1 φ1
iin iout

φ1 φ2 φ1 φ2

M11 M12 MN1 MN2

(d)

Vdd

J J J
φ0 φN

φ1 φ0

iin iout
φN φN – 1

φ0

φ0 φ1 φN
φ1

φN T
M0 M1 MN

(e)

Figure 10. Switch current (SC) configurations: (a) Track-and-hold delay; (b) memory cell with
a single transistor; (c) clock waveforms; (d) serial delay line; (e) parallel delay line.
DELAY SYSTEMS 139

tios, settling, and noise. However, if we are interested in 9. M. G. Johnson and E. L. Hudson, A variable delay line PLL for
achieving a performance in terms of precision, dynamic range, CPU-coprocessor synchronization, IEEE J. Solid-State Circuits,
and linearity, which is competitive with state-of-the-art SC 23: 1218–1223, 1988.
circuits, a different approach must be taken by resorting to 10. M. Bazes, R. Ashuri, and E. Knoll, An interpolating clock synthe-
circuit techniques. sizer, IEEE J. Solid-State Circuits, 31: 1295–1301, 1996.
At present, main circuit techniques use either negative 11. D. K. Jeong et al., Design of PLL-based clock generation circuits,
feedback techniques or fully differential structures. Feedback IEEE J. Solid-State Circuits, 22: 255–261, 1987.
techniques are specially indicated to reduce conductance ratio 12. J. Christiansen, An integrated high resolution CMOS timing gen-
errors and increase dynamic range. Two groups can be consid- erator based on an array of delay locked loops, IEEE J. Solid-
State Circuits, 31: 952–957, 1996.
ered depending on how negative feedback is applied in the
memory cell. The first one includes the op-amp and the 13. J. G. Maneatis, Low-jitter process-independent DLL and PLL
based on self-biased techniques, IEEE J. Solid-State Circuits, 31:
grounded-gate active memory cells, which use feedback to in-
1723–1732, 1996.
crease the input conductance by the creation of a virtual earth
14. M. Mizuno et al., A GHz MOS adaptive pipeline technique using
at the input. Op-amp option can make monotonic settling dif-
MOS current-mode logic, IEEE J. Solid-State Circuits, 31: 784–
ficult to achieve, and this behavior is improved if the op-amp 791, 1996.
is substituted by a grounded-gate amplifier. Conductance er-
15. I. A. Young, J. K. Greason, and K. L. Wong, A PLL clock genera-
ror improvement is similar but the dynamic range is a little tor with 5 to 110 MHz of lock range for microprocessors, IEEE J.
better than that of the basic memory cell. In the second group, Solid-State Circuits, 27: 1599–1607, 1992.
negative feedback is used to decrease the output conductance. 16. B. Razavi, Design of monolithic phase-locked loops and clock re-
Monotonic settling is also achieved in simple and folded cas- covery circuits—A tutorial, in B. Razavi (ed.), Monolithic Phase-
codes, but it may be necessary to use of compensation in regu- Locked Loops and Clock Recovery Circuits: Theory and Design,
lated cascode memory cells. More details can be found in Piscataway, NJ: IEEE Press, 1996.
Ref. 22. 17. Y. Watanabe et al., A new CR-delay circuit technology for high-
Fully differential structures are able to reduce errors com- density and high-speed DRAMs, IEEE J. Solid-State Circuits, 24:
ing from charge injection and improve noise immunity. Com- 905–910, 1989.
pared with basic cells of the same supply voltage and current, 18. T. Tanzawa and T. Tanaka, A stable programming pulse genera-
much lower charge injection errors and similar bandwidth, tor for single power supply flash memories, IEEE J. Solid-State
dynamic range, and chip area are obtained with fully differen- Circuits, 32: 845–851, 1997.
tial cells designed with half-width transistors. Additionally, 19. J. G. Manneatis and M. Horowitz, Precise delay generation using
any of the cascode variations previously cited may be used coupled oscillators, IEEE J. Solid-State Circuits, 28: 1273–1282,
with this approach. 1993.
Finally, if quiescent power consumption is a basic concern, 20. T. I. Laakso et al., Splitting the unit delay, IEEE Signal Process.
then the class AB SI technique can be indicated, because an Mag., 13: 30–60, 1996.
important reduction of it is obtained. Additionally, delay cells 21. J. M. Rabaey, Digital Integrated Circuits: A Design Perspective,
using class AB memory cells are generated without duplicat- Upper Saddle River, NJ: Prentice-Hall, 1996.
ing the entire cell; instead the output of the first stage is sim- 22. C. Tomazou, J. B. Hughes, and N. C. Battersby (eds.), Switched-
ply cross-coupled to a second stage of memory cells. Simula- Currents, An Analogue Technique for Digital Technology, London:
tion results in some applications have shown how charge Peregrinus, 1993.
injection can produce significant errors. 23. R. Unbenhauen and A. Cichocki, MOS Switched-Capacitor and
Continuous-Time Integrated Circuits and Systems, Berlin:
Springer-Verlag, 1989.
BIBLIOGRAPHY
JOSÉ M. QUINTANA
1. K. Bult and H. Wallinga, A CMOS analog continuous-time delay
MARÍA J. AVEDILLO
line with adaptative delay-time control, IEEE J. Solid-State Cir- Universidad de Sevilla
cuits, 23: 759–766, 1988.
2. C. Mead, Analog VLSI and Neural Systems, Reading, MA: Addi-
son-Wesley, 1989. DELAY LINE. See DELAY CIRCUITS; PHASE SHIFTERS.
3. S. Unger, The Essence of Logic Circuits, 2nd ed., Piscataway, NJ: DELAYS. See CLOCK DISTRIBUTION IN SYNCHRONOUS
IEEE Press, 1997. SYSTEMS.
4. R. Feldman and D. Rosky, A step-by-step guide to programmable
delays, Electron. Design, 39: 97–98, 100, 102–104, 107, 1991.
5. G. C. Moyer et al., The delay vernier pattern generation tech-
nique, IEEE J. Solid-State Circuits, 32: 551–562, 1997.
6. T. E. Rahkonen and J. T. Kostamovaara, The use of stabilized
CMOS delay lines for the digitization of short time intervals,
IEEE J. Solid-State Circuits, 28: 887–894, 1993.
7. S.-Ch. Liu and C. Mead, Continuous-time adaptive delay system,
IEEE Trans. Circuits Syst. II: Analog and Digital Signal Proc-
essing, 43: 744–751, 1996.
8. M. Bazes, A novel precision MOS synchronous delay line, IEEE
J. Solid-State Circuits, 20: 1265–1271, 1985.
378 DIFFERENTIAL AMPLIFIERS

DIFFERENTIAL AMPLIFIERS
AMPLIFICATION OF DIFFERENCE AND DC SIGNALS

Differential amplifiers represent a class of amplifiers, which


amplify the difference between two signals, including dc. To
obtain their desired characteristics, differential amplifiers
critically depend on component matching. Therefore, discrete
realizations, based on vacuum tubes or transistors, necessi-
tate careful component pairing, which is not just painstaking
for the design engineer, but also significantly raises the am-
plifier’s cost. In contrast, integrated circuit technology with
its inherent small relative component tolerances is particu-
larly suited for this application.
It is a well-known fact that the active elements used for
amplification are far from linear devices. To circumvent the
problems associated with the nonlinear input–output rela-
tionship, the amplifier circuit in a typical application is lin-
earized through the selection of a suitable operating point. An
example of an elementary single-stage amplifier is shown in
Fig. 1. While the circuit includes a bipolar transistor, it can
readily be adapted to MOS technology, or even vacuum tubes.
In Fig. 1, the input bias and dc level at the output are sepa-
rated from the desired input–output signals by means of cou-
pling capacitors Cci and Cco. The role of the emitter degenera-
tion resistor RO is to reduce the drift of the operating point.
Further, the decoupling capacitor CO counteracts the gain re-
duction associated with the insertion of RO. Obviously, the
presence of coupling and decoupling capacitors makes the cir-
cuit in Fig. 1 unsuitable for dc amplification. But, even at
low frequencies this amplifier is impractical, due to the large
capacitor values required, which, in turn, give rise to large
RC time constants and, consequently, slow recovery times
from any transient disturbances.
The requirement to avoid capacitors in low-frequency or dc
amplifiers leads to a mixing of the concepts of bias and signal.

VCC

RL

Vo
CCO
CCi
Vi

RO CO

Figure 1. Single-transistor amplifier.

J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
DIFFERENTIAL AMPLIFIERS 379

through a combination of careful device matching, precise se-


lection of the amplifier’s bias and operating point, as well as
V1 A1 V3 V4 A2 V2
by a high common-mode rejection. While we have only consid-
ered differential output signals up to this point, in some in-
stances a single-ended output is desired. Equations (5) and
(6) can be rearranged as

1
 1 1
  
Figure 2. Conceptual drawing of a differential amplifier. V3 = VoC + V = ACD + ADD ViD + ACC + ADC ViC
2 oD 2 2
(7)
A second characteristic of such amplifiers is the application of 1
 1 1
  
symmetry to compensate for the drift of the active compo- V4 = VoC − V = ACD − ADD ViD + ACC − ADC ViC
2 oD 2 2
nents. An intuitive solution appears to lie in the use of two (8)
amplifiers, connected in a difference configuration, as illus-
trated in Fig. 2. For this circuit one can write the following One concludes that in the single-ended case all three ratios
equations: ADD /ACC, ADD /ACD, and ADD /ADC must be high, to yield the de-
sired result.
V3 = A1V1 (1)
Vacuum-tube-based differential circuits are not discussed
V4 = A2V2 (2) in this article, which is devoted solely to mainstream inte-
grated circuit technology, more specifically, bipolar junction
Assuming A1 approximately equal to A2, that is, A1 ⫽ A ⫹ ⌬/ and MOS field effect transistors. The next section provides an
2 and A2 ⫽ A ⫺ ⌬/2, yields in-depth analysis of the bipolar version of the differential
pair, also known as the emitter-coupled pair. The subsequent

V3 − V4 = A(V1 − V2 ) + (V + V2 ) (3) treatment of the MOS source-coupled pair adheres to a simi-
2 1 lar outline, with an emphasis on similarities, as well as im-
portant differences.
V3 + V4 = A(V1 + V2 ) + (V1 − V2 ) (4)
2

Clearly, when both amplifiers are perfectly matched or ⌬ ⫽ 0, BIPOLAR DIFFERENTIAL PAIRS (EMITTER-COUPLED PAIRS)
the difference mode is completely separated from the sum
mode. Upon further reflection, though, the difference ampli- Figure 3 depicts the basic circuit diagram of a bipolar differ-
fier of Fig. 2 is not really the solution to the amplification ential pair. A differential signal ViD is applied between the
problem under consideration. Indeed, in many instances, bases of two transistors, which, unless otherwise noted, are
small signals, which sit on top of large pedestal voltages, need assumed to be identical. The dc bias voltage Vbias and a com-
to be amplified. For example, assume A ⫽ 100, a difference mon-mode signal ViC are also present. The transistors’ com-
signal of 10 mV and a bias voltage equal to 10 V. The ampli- mon emitter node is connected to ground through a biasing
fier scheme of Fig. 2 would result in a 1 V difference signal,
in addition to a 1000 V output voltage common to both ampli-
fiers. It is clearly unrealistic to assume that both amplifiers VCC
will remain linear and matched over such extended voltage
range. Instead, the real solution is obtained by coupling the
amplifiers. The resulting arrangement is known as the differ-
ential pair amplifier.
In the mathematical description of the differential pair, IC1 RL RL IC2
four amplification factors are generally defined to express the + –
relationship between the differential or difference (subscript VO
D) and common or sum mode (subscript C) input and output
signals. Applied to the circuit in Fig. 2, one can write
Q1 Q2
V3 − V4 = VoD = ADDViD + ADCViC (5)
V3 + V4 = 2VoC = 2ACCViC + 2ACDViD (6) ViD

where ViD ⫽ V1 ⫺ V2 and ViC ⫽ (V1 ⫹ V2)/2. The ratio ADD /ACC
is commonly referred to as the amplifier’s common-mode re- ViC
jection ratio or CMRR. While an amplifier’s CMRR is an im-
portant characteristic, maximizing its value is not a design- IEE RO
+
er’s goal, in itself. Rather, the real purpose is to suppress Vbias

large sum signals, so that the two amplifiers exhibit a small
output swing and, thereby, operate indeed as a matched pair.
Furthermore, the ultimate goal is to avoid that the applica-
tion of a common-mode input signal results in a differential
signal at the output. This objective can only be accomplished Figure 3. Bipolar differential pair (emitter-coupled pair).
380 DIFFERENTIAL AMPLIFIERS

network, which, for simplicity, is represented by a single re- two transistors is almost completely cut off and for further
sistor RO. The amplifier output is taken differentially across increases in ViD the differential output signal eventually clips
the two collectors, which are tied to the power supply VCC, at ⫺움RLIEE. On the other hand, for small values of x, tanh
by means of a matched pair of load resistors RL. x 앒 x. Under this small-signal assumption,

Low-Frequency Large-Signal Analysis VoD αI


ADD = = − EE RL = −gm RL (13)
ViD 2VT
Applying the bipolar transistor’s Ebers–Moll relationship
with Vbe Ⰷ VT (where VT ⫽ kT/q is the thermal voltage) and
While the next subsection contains a more rigorous
assuming that both transistors are matched (i.e., the satura-
small-signal analysis, a noteworthy observation here is that,
tion currents IS1 ⫽ IS2), the difference voltage ViD can be ex-
under conditions of equal power dissipation, the differential
pressed as follows:
amplifier of Fig. 3 has only one-half the transconductance
I  value and, hence, only one-half the gain of a single transis-
ViD = Vbe1 − Vbe2 = VT ln C1
(9) tor amplifier. From Eq. (13) one furthermore concludes that,
IC2
when the tail current IEE is derived from a voltage source,
which is proportional to absolute temperature (PTAT), and
After some manipulation and substituting IC1 ⫹ IC2 ⫽ 움IEE (the a resistor of the same type as RL, the transistor pair’s
total current flowing through RO), one gets differential gain is determined solely by a resistor ratio. As
such, the gain is well controlled and insensitive to absolute
αIEE
IC1 =  −V  (10) process variations.
1 + exp iD An intuitive analysis of the common-mode gain can be car-
VT ried out under the assumption that RO is large (for example,
αIEE assume RO represents the output resistance of a current
IC2 = V  (11) source). Then, a common-mode input signal ViC results only
1 + exp iD
in a small current change iC through RO and, therefore, Vbe
VT
remains approximately constant. With iC 앒 ViC /RO and VoC ⫽
⫺RLiC /2, the common-mode gain can be expressed as
where 움 is defined as 웁/(웁 ⫹ 1).
Since VoD ⫽ ⫺RL(IC1 ⫺ IC2), the expression for the differen- VoC R
tial output voltage VoD becomes ACC = ≈− L (14)
ViC 2RO
V   −V 
exp iD
− exp iD
Combining Eqs. (13) and (14) yields
VoD = −αRL IEE  2VT
ViD
 −V
2VT

exp + exp iD
(12) ADD
2V 2VT CMRR = ≈ 2gm RO (15)
 VT  ACC
= −αRL IEE tanh iD
= −αRL IEE tanh x
2VT
Half Circuit Equivalents
From Eq. (12) one observes that the transfer function is quite Figure 4 illustrates the derivation of the common-emitter cir-
non-linear. When ViD ⬎ 2VT, the current through one of the cuit’s differential mode half circuit equivalent representation.

VCC
VCC VCC

RL RL RL RL

+ –
VoD
VoD/2 –VoD/2
+

ViD ViD/2 –ViD/2

Difference mode
Figure 4. Derivation of the emitter-coupled pair’s half circuit equivalent for difference signals.
DIFFERENTIAL AMPLIFIERS 381

VCC
VCC VCC

RL RL RL RL
+

VoC
VoC VoC

ViC ViC

+
ViC 2RO 2RO 2RO 2RO

Sum mode
Figure 5. Derivation of the emitter-coupled pair’s half circuit equivalent for common-mode
signals.

For a small differential signal, the sum of the currents The combination of Eqs. (16) and (17) leads to
through both transistors remains constant and the current
through RO is unchanged. Therefore, the voltage at the emit- ADD rπ + rb + 2RSC + 2(β + 1)RO
CMRR = = ≈ 2gm RO (18)
ters remains constant. The transistors operate as if no degen- ACC 1
rπ + rb + RSD
eration resistor were present, resulting in a high gain. In sum 2
mode, on the other hand, the common resistor Ro provides
Consider the special case where RO models the output re-
negative feedback, which significantly lowers the common-
sistance of a current source, implemented by a single bipolar
mode gain. In fact, with identical signals at both inputs, the
transistor. Then, RO ⫽ VA /IEE, where VA is the transistor’s
symmetrical circuit can be split into two halves, each with a
Early voltage. With gm ⫽ 움IEE /2VT,
degeneration resistor 2RO, as depicted in Fig. 5.
αVA
CMRR = (19)
Low-Frequency Small-Signal Analysis VT
Figure 6 represents the low-frequency small-signal differen-
which is independent of the amplifier’s bias conditions, but
tial mode equivalent circuit wherein RSD models the corre-
only depends on the process technology and temperature. At
sponding source impedance. Under the presumption of room temperature, with 움 앒 1 and VA 앒 25 V, the amplifier’s
matched devices, CMRR is approximately 60 dB. The use of an improved cur-
rent source, for example, a bipolar transistor in series with
VoD rπ
ADD = = −gm RL (16) an emitter degeneration resistor RD, can significantly increase
ViD 1
rπ + rb + R the CMRR. More specifically,
2 SD
αVA
 IEE RD

CMRR = 1+ (20)
With rb Ⰶ r앟 and assuming a low-impedance voltage source, VT VT
Eq. (14) can be simplified to ⫺gmRL as was previously derived
in Eq. (13). The low-frequency common-mode equivalent cir- For IEERD ⫽ 250 mV, the CMRR in Eq. (20) is eleven times
cuit is shown in Fig. 7. Under similar assumptions as in Eq. higher than in Eq. (19).
(16) and with RSC representing the common-mode source im- In addition to expressions for the gain, the emitter-coupled
pedance, one finds pair’s differential and common-mode input resistances can
readily be derived from the small-signal circuits in Figs. 6
VoC rπ and 7.
ACC = = −gm RL (17)
ViC rπ + rb + 2RSC + 2(β + 1)RO
RinD = 2rπ (21)

Upon substitution of 웁 ⫽ gmr앟 Ⰷ 1, Eq. (17) reduces to 1


RinC = rπ + RO (β + 1) (22)
⫺RL /2RO, the intuitive result obtained earlier in Eq. (14). 2
382 DIFFERENTIAL AMPLIFIERS

i RL
i1 RL RL i2

VoD VOD /2
rb1 rb2 rb
gm1vπ 1 gm2vπ 2 gm2vπ

rπ 1 vπ 1 rπ 2 vπ 2 rπ vπ

ViD ViD /2

RO (replaced by short circuit)

RSD RSD /2

Difference mode
Figure 6. Differential mode small-signal equivalent circuit for the emitter-coupled pair. The
complete circuit is on the left and the half circuit equivalent is on the right.

Taking into account the thermal noise of the transistors’ base hybrid-앟 small-signal model in Fig. 6, the differential gain
resistances and the load resistors RL, as well as the shot noise transfer function becomes frequency dependent. With Ri rep-
caused by the collector currents, the emitter-coupled pair’s to- resenting the parallel combination of (RsD /2 ⫹ rb) with r앟, and
tal input referred squared noise voltage per hertz is given by RC similarly designating the parallel combination of RL with
2
ViN 1
 1
 ro, Eq. (16) must be rewritten as
= 8kT rb + + 2 (23) gm Ri RC N(s)
f 2gm g m RL ADD = − (24)
1 D(s)
R + rb
Due to the presence of base currents, there is also a small 2 sD
input noise current, which, however, will be ignored here and
in further discussions. where
sCµ
Small-Signal Frequency Response 1−
N(s) gm
= (25)
When the emitter-base capacitance C앟, the collector-base ca- D(s) 1 + s(Cπ Ri + Cµ Ri + Cµ RC + Cµ Ri RC gm + Ccs RC )
pacitance C애, the collector-substrate capacitance Ccs, and the
transistor’s output resistance ro are added to the transistor’s + s2 (Cπ Ccs Ri RC + Cπ Cµ Ri RC + CµCcs Ri RC )

i RL
i1 RL RL i2
VoC
rb1 rb2 rb

gm1vπ 1 VoC gm2vπ 2 gmvπ

rπ 1 vπ 1 rπ 2 vπ 2 rπ vπ
+

ViC
RO –

2RO
ViC 2RSc

RSC

Sum mode

Figure 7. Common-mode small-signal equivalent circuit for the emitter-coupled pair. The com-
plete circuit is on the left and the half circuit equivalent is on the right.
DIFFERENTIAL AMPLIFIERS 383

One observes that Eq. (25) contains a right half-plane zero or


located at sz ⫽ gm /C애, resulting from the capacitive feed-
through from input to output. However, this right half-plane
 R IS
 Vbe
 
zero is usually at a frequency sufficiently high for it to be VoO ≈− + L
RL IS exp
RL IS VT
ignored in most applications. Furthermore, in many cases,  R I  (31)
one can assume that D(s) contains a dominant pole p1 and a =− L
+ S
RL IC
RL IS
second pole p2 at a substantially higher frequency. If the dom-
inant pole assumption is valid, D(s) can be factored in the
following manner: Conversely, the output offset can be referred back to the
input through a division by the amplifier’s differential gain.
  
D(s) = 1−
s
1−
s
≈1−
s
+
s2
(26) VoO
 R IS

p1 p2 p1 p1 p2 ViO = = VT L
+ (32)
−gm RL RL IS
Equating Eqs. (25) and (26) yields
The input referred offset voltage ViO represents the voltage,
which must be applied between the input terminals, in order
p1 = −
1
RC
1 R
 (27) to nullify the differential output voltage. In many instances,
Ri
Cπ + Ccs + Cµ 1 + gm RC + C the absolute value of the offset voltage is not important, be-
Ri Ri
RC
 R
 cause it can easily be measured and canceled, either by an
auto-zero technique or by trimming. Rather, when offset com-
Cπ + Ccs + Cµ 1 + gm RC + C pensation is applied, the offset stability under varying envi-
1 Ri Ri
p2 = − ronmental conditions becomes the primary concern. The drift
RC (Cµ + Ccs ) CµCcs
Cπ + in offset voltage over temperature can be calculated by differ-
Cµ + Ccs
(28) entiating Eq. (32).

dViO V
The collector-base capacitance C애 in Eqs. (27) and (28) ap- = iO (33)
pears with a multiplication factor, which is essentially equal dT T
to the amplifier’s gain. This phenomenon is widely referred to
as the Miller effect. From Eq. (33) one concludes that the drift is proportional to
Rather than getting into a likewise detailed analysis, the the magnitude of the offset voltage, and inversely related to
discussion of the emitter-coupled pair’s common-mode fre- the change in temperature.
quency response is limited here to the effect of the unavoid-
able capacitor CO (representing, for instance, the collector- Input Offset Current. Since, in most applications, the differ-
base and collector-substrate parasitic capacitances of the ential pair is driven by a low-impedance voltage source, its
BJT), which shunts RO. The parallel combination of RO and input offset voltage is an important parameter. Alternatively,
CO yields a zero in the common-mode transfer function. Corre- the amplifier can be controlled by high-impedance current
spondingly, a pole appears in the expression for the amplifi- sources. Under this condition, the input offset current IiO,
er’s CMRR. Specifically, which originates from a mismatch in the base currents, is the
offset parameter of primary concern. Parallel to the definition
RO of ViO, IiO is the value of the current source, which must be
CMRR = 2gm (29)
1 + sCO RO placed between the amplifier’s open-circuited input terminals
to reduce the differential output voltage to zero.
The important conclusion from Eq. (29) is that at higher fre-
IC + IC I I
 I β

quencies the amplifier’s CMRR rolls off by 20 dB per decade. IiO = − C ≈ C C
− (34)
β + β β β IC β

Dc Offset
The requirement of zero voltage difference across the output
Input Offset Voltage. Until now, perfect matching between terminals can be expressed as
like components has been assumed. While ratio tolerances in
integrated circuit technology can be very tightly controlled, (RL + RL )(IC + IC ) = RL IC (35)
minor random variations between ‘‘equal’’ components are un-
avoidable. These minor mismatches result in a differential
Eq. (35) can be rearranged as
output voltage, even if no differential input signal is applied.
When the two bases in Fig. 3 are tied together, but the tran-
IC RL
sistors and load resistors are slightly mismatched, the re- ≈− (36)
sulting differential output offset voltage can be expressed as IC RL

VoO = −(RL + RL )(IC + IC ) + RL IC Substituting Eq. (36) into Eq. (34) yields
V  V 
= −(RL + RL )(IS + IS ) exp be
+ RL IS exp be
IEE
 R β

VT VT IiO = − L
+ (37)
(30) 2β RL β
384 DIFFERENTIAL AMPLIFIERS

IiO’s linear dependence on the bias current and its inverse re- can be achieved by a single stage. This section introduces two
lationship to the transistors’ current gain 웁, as expressed by methods, which generally allow the realization of higher gain
Eq. (37), intuitively make sense. without the dc bias limitations.

Negative Resistance Load. In the circuit of Fig. 8 a gain


Gain Enhancement Techniques
boosting positive feedback circuit is connected between the
From Eq. (13) one concludes that there are two ways to in- output terminals. The output dc bias voltage is simply deter-
crease the emitter-coupled pair’s gain, namely, an increase in mined by VCC, together with the product of RL and the
the bias current or the use of a larger valued load resistor. current flowing through it, which is now equal to (IE ⫹
However, practical limitations of the available supply voltage IR)/2. However, for ac signals the added circuit, consisting
and the corresponding limit on the allowable I ⴱ R voltage of two transistors with cross-coupled base-collector connec-
drop across the load resistors, in order to avoid saturating tions and the resistors RC between the emitters, represents
either of the two transistors, limit the maximum gain that a negative resistance of value ⫺(RC ⫹ 1/gmc) where gmc ⫽

VCC

RL RL

+ –
VO

RC RC

ViD

ViC
IEE IR

+
Vbias

Figure 8. Emitter-coupled pair with neg-


ative resistance load circuit, used to in-
crease the amplifier’s gain.
DIFFERENTIAL AMPLIFIERS 385

VCC

VO
+ –

RF
RF /2
RF

ViD

+
ViC VREF

RO IL IL IF IL
+
Vbias

Figure 9. Fully differential emitter-coupled pair with active current source loads and common-
mode feedback circuit.

움IR /2VT. The amplifier’s differential gain can now be expressed put terminal is similarly tied to a reference voltage VREF. The
as negative feedback provided to the pnp load transistors forces
an equilibrium state in which the dc voltage at the output
1 terminals of the differential pair gain stage are equal to
ADD ≈ −gm RL (38)
gmc RL VREF. The need for CMFB can be avoided in a single-ended
1−
1 + gmc RC implementation as shown in Fig. 10. Contrary to the low

VCC
Active Load. Another approach to increase the gain con-
sists of replacing the load resistors by active elements, such
as pnp transistors. Figure 9 shows a fully differential realiza-
tion of an emitter-coupled pair with active loads. The differen-
tial gain is determined by the product of the transconduc-
tance of the input devices and the parallel combination of the
output resistances of the npn and pnp transistors. Since
gm ⫽ IC /VT, ron ⫽ VAn /IC and rop ⫽ VAp /IC, the gain becomes VO

VAnVAp 1 VAnVAp
ADD = −gm =− (39)
(VAn + VAp )IC VT VAn + VAp

Consequently, the gain is independent of the bias conditions. ViD


The disadvantage of the fully differential realization with ac-
tive loads is that the output common-mode voltage is not well
defined. If one were to use a fixed biasing scheme for both ViC
types of transistors in Fig. 9, minor, but unavoidable mis-
matches between the currents in the npn and pnp transistors RO
will result in a significant shift of the operating point. The +
solution lies in a common-mode feedback circuit (CMFB), Vbias

which controls the bases of the active loads and forces a pre-
determined voltage at the output nodes. The CMFB circuit
has high gain for common-mode signals, but does not respond
to differential signals present at its inputs. A possible realiza-
tion of such CMFB circuit is seen in the right portion of Fig.
9. Via emitter followers and resistors RF, the output nodes are Figure 10. Single-ended output realization of an emitter-coupled
connected to one input of a differential pair, whose other in- pair with active loads.
386 DIFFERENTIAL AMPLIFIERS

CMRR of a single-ended realization with resistive loads, the Consequently,


circuit in Fig. 10 inherently possesses the same CMRR as a
differential realization since the output voltage depends on a g m RL
ADD ≈ − (42)
current differencing as a result of the pnp mirror configura- 1 + g m RE
tion. The drawback of the single-ended circuit is a lower fre-
quency response, particularly when low-bandwidth lateral In case gmRE Ⰷ 1,
pnp transistors are used.
RL
ADD ≈ − (43)
Linearization Techniques RE

As derived previously, the linear range of operation of the In comparison with the undegenerated differential pair,
emitter-coupled pair is limited to approximately ViD 앒 2VT. the gain is reduced by an amount gmRE, which is proportional
This section describes two techniques, which can be used to to the increase in linear input range. The common-mode gain
extend the linear range of operation. transfer function for the circuit in Fig. 11 is

Emitter Degeneration RL
ACC ≈ − (44)
2RO + RE
The most common technique to increase the linear range of
the emitter-coupled pair relies on the inclusion of emitter de-
For practical values of RE, ACC remains relatively unchanged
generation resistors, as shown in Fig. 11. The analysis of the
compared to the undegenerated prototype. As a result, the
differential gain transfer function proceeds as before, how-
amplifier’s CMRR is reduced approximately by the amount
ever, no closed-form expression can be derived. Intuitively,
gmRE. Also, the input referred squared noise voltage per Hertz
the inclusion of RE introduces negative feedback, which low-
can be derived as
ers the gain and extends the amplifier’s linear operating re-
gion to a voltage range approximately equal to the product of 2  
ViN 1 1
REIE. The small-signal differential gain can be expressed as = 8kT rb + ( g2m R2E ) + 2 ( g 2m R2E ) + RE (45)
f 2 gm g m RL
ADD ≈ −GM RL (40)
This means that, to a first order, the noise too increases by
the factor gmRE. Consequently, even though the amplifier’s
where GM is the effective transconductance of the degenerated
linear input range is increased, its signal-to-noise ratio (SNR)
input stage. Therefore,
remains unchanged. To complete the discussion of the emitter
degenerated differential pair, the positive effect emitter de-
gm 1
GM = ≈ (41) generation has on the differential input resistance RinD and,
1 + g m RE RE to a lesser extent, on RinC should be mentioned. For the circuit
in Fig. 11,

RinD = 2[rπ + (β + 1)RE ] (46)


VCC
1 (β + 1)RE
RinC = rπ + + RO (β + 1) (47)
2 2

RL RL Parallel Combination of Asymmetrical Differential Pairs. A


second linearization technique consists of adding the output
+ – currents of two parallel asymmetrical differential pairs with
VO respective transistor ratios 1 : r and r : 1, as shown in Fig. 12.
The reader will observe that each differential pair in Fig. 12
is biased by a current source of magnitude IEE /2, so that the
RE RE power dissipation, as well as the output common-mode volt-
ViD
age, remain the same as for the prototype circuit in Fig. 3.
Assuming, as before, an ideal exponential input voltage–
output current relationship for the bipolar transistors, the fol-
ViC
lowing voltage transfer function can be derived:
RO     
α ViD ln r ViD ln r
+
VoD = − IEE RL tanh − + tanh +
Vbias 2 2VT 2 2VT 2
– (48)

After Taylor series expansion and some manipulation, Eq.


(48) can be rewritten as
   3 
ViD 1 ViD
Figure 11. Bipolar differential pair with emitter degeneration resis- VoD = −αIEE RL (1 − d) + d− + ··· (49)
tors used to extend the linear input range.
2VT 3 2VT
DIFFERENTIAL AMPLIFIERS 387

VCC Fig. 12 is 1/0.64 or 1.56 times higher than for the circuit
in Fig. 3. Combined with the nearly threefold increase in
linear input range, this means that the SNR nearly dou-
bles. The increase in SNR is a distinct advantage over the
RL RL
emitter degeneration linearization technique. Moreover, the
+ – linearization approach introduced in this section can be ex-
VO tended to a summation of the output currents of three,
four, or more parallel asymmetrical pairs. However, there
is a diminished return in the improvement. Also, for more
than two pairs the required device ratios become quite
1 r r 1
ViD large, and the sensitivity of the linear input range to small
mismatches in the actual ratios versus their theoretical val-
ues increases as well.
ViC
IEE /2 IEE /2 Rail-to-Rail Common-Mode Inputs and
Vbias
+ Minimum Supply Voltage Requirement

With the consistent trend toward lower power supplies, the
usable input common-mode range as a percentage of the sup-
ply voltage is an important characteristic of differential am-
plifiers. Full rail-to-rail input compliance is a highly desirable
Figure 12. Two asymmetrical emitter-coupled pairs with respective property. Particularly for low power applications, the ability
transistor ratios r : 1 and 1 : r. The collector currents are summed. If to operate from a minimal supply voltage is equally impor-
r is selected appropriately, the linear input range and SNR are in-
tant. For the basic emitter-coupled pair in Fig. 3, the input is
creased.
limited on the positive side when the npn transistors satu-
rate. Therefore,
where
1
ViC,pos = VCC − R I + Vb c ,forward
 r − 1 2 2 L EE
(53)
d= (50)
r+1
If one limits RLIEE /2 ⬍ Vbc,forward, ViC,pos can be as high as VCC or
Equation (49) indicates that the dominant third harmonic dis- even slightly higher. On the negative side, the common-mode
tortion component can be canceled by setting d ⫽ 1/3 or r ⫽ input voltage is limited to that level, where the tail current
2 ⫹ 兹3 ⫽ 3.732. The presence of parasitic resistances within source starts saturating. Assuming a single bipolar transistor
the transistors tends to require a somewhat higher ratio r for is used as the current source,
optimum linearization. In practice, the more easily realizable
ratio r ⫽ 4 (or d ⫽ 9/25) is frequently used. When the linear ViC,neg > Vbe + Vce,sat ≈ 1 V (54)
input ranges at a 1% total harmonic distortion (THD) level of
the single symmetrical emitter-coupled pair in Fig. 3 and the
The opposite relationships hold for the equivalent pnp tran-
dual circuit with r ⫽ 4 in Fig. 12 are compared, a nearly
sistor based circuit. As a result, the rail-to-rail common-
threefold increase is noted. For r ⫽ 4 and neglecting higher-
mode input requirement can be resolved by putting two
order terms, Eq. (49) becomes
complementary stages in parallel. In general, as the input
ADD ≈ −0.64 gm RL (51) common-mode traverses between VCC and ground, three dis-
tinct operating conditions can occur: at high voltage levels
where gm ⫽ 움IEE /2VT as before. Equation (51) means that the only the npn stage is active; at intermediate voltage levels
trade-off for the linearization is a reduction in the differential both the npn and pnp differential pairs are enabled; finally,
gain to 64 percent of the value obtained by a single symmetri- for very low input voltages only the pnp stage is operating.
cal emitter-coupled pair with equal power dissipation. The If care is not taken, three distinct gain ranges can occur:
squared input referred noise voltage per hertz for the two par- based on gmn only; resulting from gmn ⫹ gmp; and, contributed
allel asymmetrical pairs can be expressed as by gmp only. Nonconstant gm and gain, which depends on

2
ViN 8kT
r 1 1
 the input common-mode, is usually not desirable for several
reasons, not in the least in case of phase compensation if
= + b
+ 2 (52)
f (0.64)2 5 2 gm g m RL the differential pair is used as the first stage in an opera-
tional amplifier. Fortunately, the solution to this problem
The factor rb /5 appears because of an effective reduction in is straightforward if one recognizes that the transconduc-
the base resistance by a factor (r ⫹ 1), due to the presence tance of the bipolar transistor is proportional to its bias
of five transistors versus one in the derivation of Eq. (23). current. Therefore, the only requirement for a bipolar con-
If the unit transistor size in Fig. 12 is scaled down accord- stant gm complementary circuit with full rail-to-rail input
ingly, a subsequent comparison of Eqs. (23) and (52) reveals compliance is that under all operating conditions the sum
that the input referred noise for the linearized circuit of of the bias currents of the npn and pnp subcircuits remains
388 DIFFERENTIAL AMPLIFIERS

VCC Equation (56) can be rearranged as


 4IDD r 2I
1 W − ViD
2
RL IEE RL ID1 − ID2 = µCox ViD W = KpViD DD
− ViD
2

+ – 2 L µCox Kp
VO
L
(57)

where

IDD = ID1 + ID2 (58)

Hence, the relationship between the differential output and


ViD input voltages is
 4IDD
1 W − ViD
2
ViC + VoD = −RL (ID1 − ID2 ) = − µCox RLViD W
VREF 2 L µCox
r 2I

L
= −Kp RLViD DD
− ViD
2
(59)
Kp

Figure 13. Complementary bipolar differential amplifier with rail- As mentioned above, Eq. (59) is only valid as long as both
to-rail input common-mode compliance. transistors are in saturation or
r 2I
ViD ≤ DD (60)
Kp
constant. A possible implementation is shown in Fig. 13.
If ViC ⬍ VREF, the pnp input stage is enabled and the Similar to the bipolar case, Eq. (59) is quite nonlinear and the
npn input transistors are off. When ViC ⬎ VREF, the bias output voltage eventually clips when one of the input transis-
current is switched to the npn pair and the pnp input tors is completely starved of current. However, unlike the
devices turn off. For RLIEE /2 ⬍ Vcb,forward,n the minimum re- emitter-coupled pair, the linear operating range of the source-
quired power supply voltage is Vbe,n ⫹ Vbe,p ⫹ Vce,sat,n ⫹ coupled pair also depends on the bias current and device
Vce,sat,p, which is lower than 2 V. sizes. Equation (60) indicates that the linear range of opera-
tion can be expanded by increasing IDD and/or reducing the
W/L ratio of the MOS devices.
MOS DIFFERENTIAL PAIRS (SOURCE-COUPLED PAIRS)

The MOS equivalent of the emitter-coupled pair is the VCC


source-coupled pair. Since the analysis of both circuits is
generally quite similar, the discussion of the source-coupled
pair will be more concise with an emphasis on important
differences. ID1 RL RL ID2

Low-Frequency Large-Signal Analysis


VO
Figure 14 depicts the source-coupled pair with a resistive
load. When the MOS transistors are in the saturation region, M1 M2
their current–voltage relationship can be described by the
square law characteristic.
ViD
1 W
ID = µCox (Vgs − Vt )2 = Kp (Vgs − Vt )2 (55)
2 L ViC

IDD RO
Using Eq. (55) and assuming perfect matching, the differen-
+
tial input voltage can be expressed as Vbias

 2ID1
 2ID2 rI rI
ViD = Vgs1 − Vgs2 = W − W =
D1 − D2
µCox µCox Kp Kp
L L Figure 14. MOS differential pair (source-coupled pair) with re-
(56) sistive loads.
DIFFERENTIAL AMPLIFIERS 389

Low-Frequency Small-Signal Analysis The current mismatch ⌬ID can be expanded as


An expression for the small-signal transconductance of the
source-coupled pair can be derived by taking the derivative of ID = (Kp + Kp )(Vgs − Vt − Vt )2 − Kp (Vgs − Vt )2
Eq. (57) with respect to the input voltage. ≈ −2Kp Vt (Vgs − Vt ) + Kp (Vgs − Vt )2
(64)
d(ID1 − ID2 ) Kp
gm = (61) = −gm Vt + I
dViD Kp D
 W
2K I 
gm = µCox I = p DD = 2 Kp ID = 2Kp (Vgs − Vt ) Substituting Eqs. (62) and (64) into (63) yields
L DD
(62)    
Kp RL ID
VoO = −gm RL − Vt + +
As was noted for the bipolar differential pair, the transcon- Kp RL gm
    (65)
ductance of the source-coupled pair is only equal to the trans- Kp RL (Vgs − Vt )
conductance of one of the input devices. However, in contrast = −gm RL − Vt + +
Kp RL 2
to the bipolar transistor, gm in Eq. (62) is only proportional to
the square root of the bias current. Due to gm’s dependence on
the mobility 애 and the threshold voltage Vt, stabilization of This output offset voltage can be referred to the input by di-
gm over temperature is not as straightforward as is the case viding Eq. (65) by the amplifier’s gain.
for bipolar. Furthermore, gm of the source-coupled pair is a
VoO (Vgs − Vt )
 K RL

function of the device size and the oxide capacitance. p
ViO = = − Vt + + (66)
−gm RL 2 Kp RL
Dc Offset
As pointed out previously, small device mismatches are un- The input referred offset voltage Vio is the voltage, which
avoidable and they give rise to an offset voltage. Assuming must be applied between the open-circuited gate terminals
such small differences in the circuit of Fig. 14 where the in- to cancel the differential voltage across the output nodes.
puts are tied together, one can derive an expression for the Equation (66) indicates that ViO is directly related to the
output offset voltage as follows: mismatch of the threshold voltages. The second term in Eq.
(66) is reminiscent of Eq. (32) for the bipolar differential
VoO = −(RL + RL )(ID + ID ) + RL ID ≈ −RL ID − RL ID pair. However, since the multiplicative term (Vgs ⫺ Vt)/2 in
(63) Eq. (66) is usually much larger than its counterpart VT in

VCC

+ –
VO

ViD

ViC
+
RO IF VREF
+ –
Vbias

Figure 15. Fully differential source-coupled pair with active current source loads and common-
mode feedback circuit.
390 DIFFERENTIAL AMPLIFIERS

Eq. (32), one concludes that the source-coupled pair is in- VCC
herently subject to a larger offset voltage. On the other
hand, the source-coupled pair has no input offset current
since there is no gate current.

Active Load
Source-coupled pairs are almost exclusively used in conjunc-
tion with active loads. Figure 15 illustrates a fully differential
VO
realization, including a possible implementation of the re-
quired common-mode feedback circuit. Its operation is similar VC
to the bipolar version in Fig. 9. A single-ended circuit is
shown in Fig. 16.
ViD
Linearization
In addition to controlling the linear range of operation by
proper selection of bias current and device sizes, the tech- ViC
niques discussed for the emitter-coupled pair can also be ap- IE /2 IE /2
plied to the source-coupled pair. Figure 17 illustrates the ap- +
plication of source degeneration. However, the degeneration Vbias

resistor is implemented by a MOS transistor, which operates
in the linear region by connecting its gate to an appropriate
control voltage VC. The differential pair’s gain can be adjusted
by varying VC. Similarly, the technique of multiple asymmet-
rical pairs in parallel can also be extended to the MOS Figure 17. MOS differential pair with degeneration implemented by
domain. a MOS transistor in the linear region.

Rail-to-Rail Common-Mode Input Signals


exponential current–voltage relationship holds and, as in the
As for the bipolar case, the highly desirable rail-to-rail input bipolar case, gm is only a function of the bias current. Many
voltage compliance can be obtained by a parallel combination circuits using MOS transistors in saturation require some
of NMOS and PMOS differential pairs. However, the realiza- kind of matching between the NMOS and PMOS devices,
tion of a constant gm over the whole range of operation is not which is extremely difficult to achieve in mass production. Re-
as straightforward. One possible solution is to operate the search into new circuit techniques to circumvent this problem
MOS differential pairs in the subthreshold region, where the continues and, judging by the numbers of recent papers on
the subject, constitutes an area of significant contemporary
academic interest.
VCC

BIBLIOGRAPHY

P. E. Allen and D. R. Holberg, CMOS Analog Circuit Design, Fort


Worth, TX: Holt, Rinehart and Winston, 1987.
G. A. De Veirman, et al., A 3.0 V 40 Mbit/s hard disk drive read
channel IC, IEEE J. Solid-State Circuits, SC-30: 788–799,
VO 1995.
J. F. Duque-Carrillo, J. M. Valverde, and R. Pérez-Aloe, Constant-gm
rail-to-rail common-mode range input stage with minimum CMRR
degradation, IEEE J. Solid-State Circuits, SC-28: 661–666,
1993.
ViD
J. Fonderie, Design of Low-Voltage Bipolar Operational Amplifiers,
Delft: Delft University Press, 1991.
L. J. Giacoletto, Differential Amplifiers, New York: Wiley, 1970.
ViC
P. R. Gray and R. G. Meyer, Analysis and Design of Analog Integrated
RO Circuits, 2nd ed., New York: Wiley, 1984.
+ A. B. Grebene, Bipolar and MOS Analog Integrated Circuit Design,
Vbias
– New York: Wiley, 1984.
R. Hogervorst, et al., CMOS low-voltage operational amplifiers with
constant gm rail-to-rail input stage, Proc. IEEE Int. Symp. Circuits
Systems (ISCAS) : 1992, pp. 2876–2879.
J. H. Huijsing and D. Linebarger, Low-voltage operational amplifier
Figure 16. Single-ended output implementation of a source-coupled with rail-to-rail input and output ranges, IEEE J. Solid-State Cir-
pair with active current source loads. cuits, SC-20: 1144–1150, 1985.
DIFFERENTIATING CIRCUITS 391

C. Hwang, A. Motamed, and M. Ismail, Universal constant-gm input-


stage architectures for low-voltage opamps, IEEE Trans. Circuits
Syst.—I, 42: 886–895, 1995.
R. D. Middlebrook, Differential Amplifiers, New York: Wiley, 1963.
J. Schmoock, An input stage transconductance reduction technique
for high-slew rate operational amplifiers, IEEE J. Solid-State Cir-
cuits, SC-10: 407–411, 1975.
S. Sakurai and M. Ismail, Robust design of rail-to-rail CMOS opera-
tional amplifiers for low power supply voltage, IEEE J. Solid-State
Circuits, 31: 146–156, 1996.
J. O. Voorman, W. H. A. Brüls, and P. J. Barth, Bipolar integration of
analog gyrator and Laguerre type filters, Proc. ECCTD, Stuttgart,
108–110, 1983.
R. J. Widlar and M. Yamatake, A fast settling opamp with low
supply current, IEEE J. Solid-State Circuits, SC-24: 796–802,
1989.

GEERT A. DE VEIRMAN
Silicon Systems, Inc.

DIFFERENTIAL AMPLIFIERS. See INSTRUMENTATION AM-


PLIFIERS.
DIFFERENTIAL EQUATIONS. See ORDINARY DIFFEREN-
TIAL EQUATIONS.
DIFFERENTIAL EQUATIONS, ORDINARY. See ORDI-
NARY DIFFERENTIAL EQUATIONS.
DIFFERENTIAL PAIRS. See DIFFERENTIAL AMPLIFIERS.
DIFFERENTIAL RESISTANCE, NEGATIVE. See NEGA-
TIVE RESISTANCE.
DIFFERENTIATING CIRCUITS 391

to Eq. (1), ideally the differentiator is a linear system, map-


ping the input into the output linearly. If D is a constant,
then on taking the bilateral Laplace transform, L[ ⭈ ], of Eq.
(1) we obtain the system transfer function description,
H(s) ⫽ L[y]/L[u], in terms of the complex frequency variable
s ⫽ ␴ ⫹ j웆,

H(s) = Ds (2)

Evaluating this in terms of real frequency, 웆, we find that

H( jω) = jDω (3)

which shows that the differentiator introduces a constant


phase shift of 90⬚ and amplifies the input proportionally to
the frequency. This illustrates the difficulty one runs into
when practically using a differentiator since high-frequency
signals, which are often noise components, get greatly ampli-
fied compared to low-frequency signals, which most often are
the ones carrying intelligence, according to 兩H( j웆)兩 ⫽ D웆 (for
웆 ⱖ 0).

CIRCUIT IMPLEMENTATIONS

If the output is measured at the same terminals as the input,


then the device is a one-port differentiator; and in the case
where u is a voltage v and y is a current i, the differentiator
is equivalent to a capacitor so that the gain constant becomes
an equivalent capacitance, D ⫽ C, as illustrated in Fig. 1(a).
DIFFERENTIATING CIRCUITS Figure 1(b) shows the dual case of an inductor.
For voltage mode circuits, differentiators are customarily
Since the dynamics of systems comes through derivatives, two-port devices constructed from operational amplifiers ac-
electronic circuits that perform differentiation are important cording to the circuit of Fig. 2(a) (1, p. 10). In Fig. 2(a), u is a
components both in theory and in practice. In the following voltage, vin, as is y, vout, and the gain constant is D ⫽ ⫺RC. If
article we define differentiators, giving their transfer func- an ideal op-amp is assumed, it is an infinite gain device with
tions from which some properties can be inferred. Highly ac- a virtual ground input. Thus,
curate voltage mode physical realizations in terms of op-amp
circuits, as well as current mode ones suitable for very large
dvin (t)
scale integration (VLSI) realization, are given. Also included vout (t) = −RC (4)
are approximate ones in terms of simple resistor-capacitor dt
(RC) circuits appropriate for many control system applica-
tions. Since differentiators are not represented in terms of It should be noted that achieving a gain D ⫽ ⫺RC near unity
standard state variables, we conclude with a semistate repre- in magnitude usually requires a large resistor; for example, if
sentation. C ⫽ 1 애F, then R ⫽ 1 M⍀.

DEFINITION

Here a differentiating circuit, also known as a differentiator, y=i u=i


is defined as an electronic circuit satisfying the law + +
u=v C y=v L
du(t) – –
y(t) = D (1)
dt
(a) (b)
where u( ⭈ ) is the input to the circuit, y( ⭈ ) is the output, Figure 1. (a) Capacitor as a differentiator. Here the input u equals
and D is the differentiator gain, usually taken to be a real v and the output y equals i, which gives Y(s) ⫽ I(s) ⫽ CsV(s) in the
constant in time t. Because the differentiator is electronic, frequency domain. (b) Inductor as a differentiator. Here the input u
we take u and y to be voltages or currents, though at times equals i and the output y equals v, which gives Y(s) ⫽ V(s) ⫽ LsI(s)
one may wish to consider flux linkages or charge. According in the frequency domain.

J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
392 DIFFERENTIATING CIRCUITS

C R VDD

+
M1 M3 M5

Vin –
OA
+ +
Vout
– –
iin C iout

Figure 2. Op-amp differentiator.

M2 M4 M6
For practical op-amps there are several points to consider.
One is that the op-amp gain is reasonably well approximated
by a one-pole model K(s) ⫽ K0 /(s ⫹ p1) so that the differentia-
tor transfer function becomes
VSS
−sRC
H(s) =  1
 s2 RC s(1 + RCp1 )
(5)
Figure 4. Current mode differentiator.
1− − +
K0 K0 K0

from which we see that as the frequency gets large the gain
drops toward zero according to K0 /s. Besides this sometimes
favorable behavior, there are also nonlinearities due to satu- rating their transconductances, gm. Ignoring their source to
ration of the op-amp at the bias voltages and added noise due drain conductances, the analysis gives the small-signal trans-
to the transistors and resistors used in the construction of the fer function as [2, Eq. (6)]
op-amp.
In some cases, such as derivative control, it is more eco-  
nomical to use the RC circuit of Fig. 3, which gives an approx- iout
 −kC
 s
imate differentiator having the transfer function H(s) = = (7)
iin gm p + gmn 2sC
1+
sC gm p + gmn
H(s) = (6)
1 + sRC
where k is the current gain of the output current mirror tran-
For frequencies lower than 웆p ⫽ 1/RC, this circuit approxi- sistors and gmn and gmp are the transconductances of the n
mates a differentiator reasonably well, and its gain satu- channel metal oxide semiconductor (NMOS) and p channel
rates at higher frequencies so that noise is not unduly am- metal oxide semiconductor (PMOS) transistors. Conse-
plified. quently, the circuit makes a reasonably good current-in cur-
In terms of modern very large scale integration (VLSI) im- rent-out differentiator through the mid-megahertz frequency
plementations, it is most convenient to use current mode range. Note that since the transistor transconductances de-
structures. Figure 4 shows a current mode structure which pend on the bias point of the transistors, it is important to
differentiates the signal component of the input using comple- use a regulated voltage source to keep the direct current
mentary metal oxide semiconductor (CMOS) transistors (2). bias stable.
Here the input transistors M1 and M2 act as resistors to con-
vert the input current iin to a voltage which is applied to the
capacitor. The capacitor current, which is essentially the de-
rivative of this voltage, is transferred through the output cur- NOISE AND SIGNAL SWING CONSIDERATIONS
rent mirror transistors M5 and M6, which can give an added
gain k. The analysis of the circuit proceeds by replacing all When working with op-amp differentiators, it is important to
transistors by their small-signal equivalent circuits incorpo- consider noise behavior. For this the noise sources are nor-
mally reflected into the input and then the output noise is
found by applying the equivalent noiseless amplifier to the
input noise sources with a good treatment given in Ref. 1, p.
C 141. Such an operation is automatically carried out in the
+ +
PSpice noise analysis. A typical frequency response example
circuit is shown in Fig. 5(a) with its noise input, Fig. 5(b, top)
Vin R Vout and noise output, Fig. 5(b, middle), along with the output,
– – Fig. 5(b, bottom), for a 1 mV input plus noise. In this circuit
a 701 op-amp is used and a reasonably small resistor is in-
serted in series with the differentiation capacitor to assist in
Figure 3. RC ladder circuit. damping out the noise signal.
DIFFUSION 393

+ V+
7
3
+ 10 V
V+ 6 –
Rin 100 Ω –
C1 1µ F 2 V– –10 V

Vin
4 V–
Direct current = 0 V +
+
Alternating current R1 1 MΩ
– out
= 1 mV

(a)

194.8 nV
. . .
. . .
. . .
194.6 nV
V(input noise)
10 mV
. . .
. . .
. . .

1.0 µ V
V(output noise)
10 V
. . .
. . . Figure 5. (a) PSpice circuit of a differenti-
. . . ator using 701 op-amp. (b) Response and
1.0 mV noise behavior in the frequency domain.
10 Hz 100 Hz 1.0 kHz 10 kHz 100 kHz The top curve represents the input noise,
V(out) Frequency the middle curve is the output noise, and
the bottom curve is the output response to
(b) an input voltage of 1 mV plus noise.

SEMISTATE EQUATIONS known to be less sensitive to noise compared to the conven-


tional op-amp differentiator.
Because the standard state-space equations do not exist for a
differentiator, we give a description of it in terms of semistate
BIBLIOGRAPHY
equations. These latter are equations of the form

dx 1. E. J. Kennedy, Operational Amplifier Circuits, Theory and Appli-


E = Ax + Bu (8) cations, New York: Holt, Rinehart and Winston, 1987.
dt
2. E. I. El-Masry and J. W. Gates, A novel continuous-time current
y = Cx (9) mode differentiator and its applications, IEEE Trans. Circuits
Syst. II, 43: 56–59, 1996.
which have the transfer function 3. M. E. Zaghloul and R. W. Newcomb, Semistate implementation:
Differentiator example, J. Circuits Syst. Signal Process., 5 (1):
H(s) = C(sE − A)−1 B (10) 171–183, 1986.

On choosing the semistate vector x ⫽ [x1, x2]T ⫽ [y, u]T, where ROBERT W. NEWCOMB
T denotes transpose, one can write LOUIZA SELLAMI
      University of Maryland at College
dx 0 D dx 1 0 0 Park
E = = x+ u = Ax + Bu (11)
dt 0 0 dt 0 1 −1
y = [1 0]x = Cu (12)
DIFFERENTIATION. See CALCULUS.
which gives DIFFRACTION. See BACKSCATTER; ELECTROMAGNETIC
 −1   WAVE SCATTERING.
−1 Ds 0
H(s) = [1 0] = Ds (13)
0 −1 −1

These semistate equations can be transformed via a linear


transformation into a form that is useful for circuit realiza-
tions based upon integrators (3). Op-amp integrators are
DIGITAL FILTERS concern is in reducing power consumption or area, or in
increasing the circuits speed in order to meet the demands
of high-throughput applications.
FILTERS, DIGITAL The digital filter is in general the most important tool
in most digital signal processing systems. The digital fil-
ter processes signals that are discrete in time and in am-
DISCRETE-TIME FILTERS plitude, that is, signals occurring at distinct and usually
equidistant times that can assume a discrete set of am-
Most phenomena in nature occur in continuous time, such plitude values. In this article, we are primarily concerned
as temperature change, lifetime of a human being, wind with linear, shift-invariant digital filters implemented us-
speed at a given location, and so on. As a result, if we intend ing finite-precision arithmetic.
to design a system to interfere with or to measure a nat- In practice, a digital filter is implemented using soft-
ural phenomenon, the system should be analog. A widely ware on a general-purpose digital computer or a digital sig-
used procedure to design systems with interaction with a nal processor (DSP), or by using application-specific hard-
natural phenomenon is to convert some quantities from ware usually in the form of an integrated circuit. In any
the nature into electric signals. Electric signals, which are type of implementation, quantization errors are inherent
represented by voltage or current, have a continuous-time due to finite-precision arithmetic. In implementations for
form. However, continuous-time signals are not suitable to specific applications there are techniques such as algo-
be processed using computer-type processors (digital ma- rithms and topologies for digital filters that allow us to
chines), which are meant to deal with sequential computa- meet low-power, low-area, and/or high-speed specifications.
tion involving numbers. Fortunately, many signals taken The quantization errors can be classified as follows:
from nature can be fully represented by their sampled ver-
sions, where the sampled signals coincide with the origi- Roundoff errors resulting when the internal signals like
nal analog signals at predefined time instants. Let’s take the output of multipliers are quantized before or after
a real live example by supposing we are watching a movie additions
at home. If the movie is monotonous, we can pay atten- Errors in the magnitude and phase response of the filter
tion to what is happening in the movie only from time to caused by the use of finite wordlength for the repre-
time and still understand the story. On the other hand, if sentation of the multiplier coefficients
the movie gives important information at short periods of Errors due to the representation of the input signal with
time, we can not miss it for a long time. In the latter case, a set of discrete levels
the director already made a tough sample of the story for
the viewer. In conclusion, if we know how fast the impor- The quantization errors described depend on the type of
tant information changes, we can always sample and con- arithmetic used in the actual implementation. If the digi-
vert the information in numbers for a fast enough digital tal filter is implemented on a general-purpose processor or
machine. Fortunately, the electronic technology is at our a DSP, floating-point arithmetic is usually available; there-
side, by allowing very fast digital processors to be built at fore this type of arithmetic is the choice. On the other hand,
a reasonable cost. This is one of the reasons the so called if the digital filter is implemented by means of application-
digital filters, which are filters suitable to process sampled specific hardware or lower cost DSPs, fixed-point arith-
signals implemented in digital machines, are replacing the metic is usually the best choice because of its low complex-
analog filters in a number of applications. Also, there are ity in terms of silicon area for the hardware. In this article,
a number of signals that are originally discrete-time, take only fixed-point arithmetic is addressed.
for example the stock-market daily financial indicators.
The rapid development of high-speed digital integrated
circuit technology in the last three decades has made dig- DIGITAL FILTERS
ital signal processing not only a tool for the simulation of
analog systems but also a technique for the implementa- In a digital filter represented in the block diagram of Fig.
tion of very complex systems. Digital signal processing has 1, the input signal x(n) is a sequence of numbers, indexed
found applications in many areas such as image processing, by the integer n, which can assume only a finite number
multimedia systems, speech analysis and synthesis, mobile of amplitude values. Such input sequence comes, most of
radio, sonar, radar, biomedical engineering, seismology, and the time, from an analog (or continuous-time) signal x(t) by
modern communication systems. periodically sampling it at the time instants t = nT, where
The main advantages of digital systems relative to ana- T is called the sampling interval. The output sequence y(n)
log systems are high reliability, ease of modifying the char- is the response of the digital filter when excited by the in-
acteristics of the filter, and low cost. These advantages mo- put x(n), with the relationship between x(n) and y(n) rep-
tivated the digital implementation of many signal process- resented by the operator H as
ing systems, which were usually implemented with analog
circuit technology. In addition, a number of new applica-
tions became viable after the availability of the very-large- The most important class of digital filters is composed
scale integration (VLSI) technology. Usually in the VLSI by linear, time-invariant (LTI) and causal filters. A linear
implementation of a digital signal processing system the digital filter is one whose response to a weighted sum of
input signals is equal to the same weighted sum of the

J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright © 2007 John Wiley & Sons, Inc.
2 Discrete-Time Filters

and the response of an LTI digital filter to x(n) can then be


expressed by

The summation in the last line of the above expression,


called the convolution sum, relates the output sequence of
a digital filter to its impulse response h(n) and to the input
sequence x(n). The convolution operation is represented by

By applying a change of variables in the summation of Eq.


(7), one can verify that the convolution operation is com-
Figure 1. Frequency response of the notch filter of Eq. (7) with r mutative; that is, the output of a digital filter with impulse
= 0.9 and θ = π/4. response h(n) and input x(n) is also given by

corresponding individual responses, that is,

Defining the z transform of a sequence x(n) as


for any sequences x1 (n) and x2 (n), and any arbitrary con-
stants α and β. A digital filter is said to be time invariant
when its response to an input sequence is always the same,
independent of the time instant when the input is applied
the transfer function of a digital filter is the ratio of the z
to the filter (assuming that the filter is always operating
transform of the output sequence to the z transform of the
under the same initial conditions); that is, if H[x (n)] = y(n),
input signal; that is,
then

for all integers n and n0 . A causal digital filter is one whose Taking the z transform of both sides of the convolution ex-
response does not depend on the future values of the exci- pression of Eq. (9), that is,
tation signal. Therefore, for any two input sequences x1 (n)
and x2 (n) such that x1 (n) = x2 (n) for n ≤ n0 , the correspond-
ing responses of the digital filter (with same initial condi-
tions) are identical, that is, and substituting variables (m = n − k),

An LTI digital filter is completely characterized by its


response to the unit sample or impulse sequence δ(n) (as- the following relation among the z transforms of the output
suming it is initially relaxed). The impulse sequence is de- Y(z), of the input X(z) and of the impulse response H(z) of
fined as a digital filter is obtained:

Hence, the transfer function of an LTI digital filter is the z


transform of its impulse response.
and the filter response when excited by such a sequence is
denoted by h(n) and it is referred to as impulse response of
the digital filter. Observe that if the digital filter is causal, SAMPLING RATE
then h(n) = 0 for n < 0. An arbitrary input sequence can
be expressed as a sum of delayed and weighted impulse Most of the signals encountered in science, such as speech,
sequences; that is, biological signals, seismic signals, radar, and sonar, are
analog. To process them by a digital filter, they need to be
sampled and converted to digital by an analog-to-digital
(A/D) converter.
Discrete-Time Filters 3

The sampling theorem states that a bandlimited analog The frequency response H(ej  ) is a periodic function of
signal x(t) whose highest frequency component is at the fre-  with period 2π; that is,
quency fmax can be exactly recovered from its sample values
x(n) at the time instants t = nT, if the sampling frequency
fs = 1/T is larger than twice fmax . The sampling rate 2fmax is
for any integer k. Thinking in terms of analog frequency
called the Nyquist rate. The original continuous-time sig-
(for sampled analog signals), the function H(e ja T ) is pe-
nal can be recovered from the sampled signal x(n) by the
riodic in a with period 2π/T = s . This periodicity is ex-
interpolation formula
plained by observing that continuous-time sinusoidal sig-
nals of frequencies a and a + ks result, when sampled,
in identical sequences. Therefore, both signals must pro-
duce the same output when processed by the same digital
with s = 2πfs . filter.
The recovery of an analog signal from its samples by In general, H(ej  ) is a complex-valued function, which
the above interpolation formula is impractical, because it can be expressed in polar form as
involves the summation of infinite duration functions and
the knowledge of future samples of the signal x(t) involved
in the summation. Practical circuits which convert back the
where |H(ej  )| and ∠H(ej  ) are called the magnitude re-
filtered signal to analog form are called digital-to-analog
sponse and phase response, respectively, of the digital filter.
(D/A) converters.
A large class of sequences can be expressed in the form
In general, if an analog signal x(t) is sampled with a
sampling frequency fs smaller than twice its maximum fre-
quency fmax , then distinct frequency components of x(t) will
be mixed, causing an undesirable distortion in the recov-
ered continuous-time signal referred to as aliasing. where

FREQUENCY RESPONSE
X(ej  ) is called the Fourier transform of the sequence x(n).
The response of an LTI digital filter to a complex exponen-
A sufficient but not necessary condition for the existence
tial (or complex sinusoid) of radian frequency , that is,
of the Fourier transform X(ej  ) is that the sequence x(n) is
x(n) = ej n for −∞ < n < ∞, is a complex exponential of
absolutely summable, that is,
the same frequency  with a possible complex amplitude
modification. Such property can be verified from the con-
volution expression of Eq. (9), where the output of a digital
filter with impulse response h(n) and excited by the com-
plex exponential of frequency  is given by Using the above Fourier representation, the input se-
quence can be written as the sum of the complex exponen-
tials ej n weighted by X(ej  )d. From the superposition
property of linear systems, the output of the digital filter
with frequency response H(ej  ) and with x(n) as input is
given by the corresponding sum of the responses to each
complex exponential, that is,

In the above expression, H(ej  ) describes the changes in


amplitude introduced by the digital filter to the complex
exponential input signal. Such function of  is called the
frequency response of the digital filter, and it corresponds Hence, each frequency component of the input sequence
to the transfer function H(z) evaluated on the unit circle in x(n) is modified by the frequency response of the digital
the z plane (|z| = |ej  | = 1). filter at the corresponding frequency.
Observe that if the complex sinusoidal sequence comes From Eq. (22) and from the definition of the Fourier
from sampling an analog sinusoidal signal, the relation be- transform in Eq. (20), the frequency response of a digital
tween the frequency of the discrete-time sinusoid  and the filter is the Fourier transform of its impulse response. From
frequency of the continuous-time analog sinusoid a is ob- Eq. (22), the Fourier transform of the output of a digital
tained by making t = nT in the analog signal and equating filter is given by the product of the Fourier transforms of
both signals, resulting in  = aT = a /fs . Hence, the digital the input and of the impulse response, that is,
frequency is equivalent to the analog frequency normalized
by the sampling frequency, and, therefore, is always be-
tween −π and π if the sampling theorem is satisfied. The Filters that select the low-frequency components of the in-
low frequencies are the frequencies  close to zero, whereas put signal are called low-pass filters; those that select only
the high frequencies are the frequencies close to π, with  high-frequency components of the input are called high-
= π corresponding to the Nyquist frequency (a = s/2 ). pass filters; bandpass and bandstop filters keep and reject,
4 Discrete-Time Filters

input values by

In the particular case when ak = 0 for k = 1, . . . , N, the


digital filter implemented by the above equation is called
a nonrecursive filter, because there is no feedback from the
past output in the computation of its present value. When
there is feedback, that is, ak = 0 for at least one k for k
= 1, . . . , N, the filter implementation given by the above
difference equation is called recursive.
The computation of each output value by Eq. (25) re-
quires the storage of past samples of the input and output
sequences, the multiplication of these samples by the corre-
sponding coefficients of the difference equation, and the ad-
dition of the results of such multiplications. Therefore, the
calculation of y(n) can be represented in a block diagram
through the interconnection of the three basic elements,
with symbols shown in Fig. 3: the unit delay, the multi-
plier, and the adder. The unit delay is represented by z−1 ,
which is the transfer function associated with it. The block
diagram corresponding to Eq. (25) when there is feedback
(recursive implementation) is given in Fig. 4. Such filter
implementation is called a direct form I structure. Another
implementation of recursive digital filters which satisfies
Eq. (24) is based on the following pair of equations

The block diagram of the resulting implementation is


shown in Fig. 5 and is called a direct form II structure.
The direct form II realization requires a number of unit
delays (or memory locations) equal to the maximum value
Figure 2. (a) Frequency response of highpass filter of Eq. (8); (b) of M and N. This value is the minimum number of delays
Frequency response of comb filter of Eq. (9). needed to obtain the output of the filter satisfying Eq. (24),
and, therefore, the direct form II structure is said to be
canonic.
respectively, components in a frequency band in the inter- The block diagram corresponding to the nonrecursive
val 0 ≤  < π. The ideal frequency responses of such filters case is shown in Fig. 6, where there is no feedback of the
are illustrated in Fig. 2. past output values.
The transfer function of a system with input and output
related by a difference equation can be obtained by taking
DIFFERENCE EQUATIONS the z transform of both sides of Eq. (24), that is,

A large and important subclass of linear time-invariant


digital filters consists of the filters whose input and output
sequences satisfy an equation of the form
where we have used the linearity property of the z trans-
form and the fact that the z transform of a delayed sequence
x(n − nd ) is given by z−nd X(z), where X(z) is the z transform
of x(n). Thus, from the above equation,

where ak and bm are constant coefficients. The above equa-


tion is referred to as an Nth order difference equation. For
a causal filter with input–output related by the above dif-
ference equation with coefficients scaled such that a0 = 1, Therefore, the transfer function of a digital filter that satis-
the present value of the output y(n) can be computed from fies Eq. (24) is a rational function of z; that is, it is given by a
the N past output values and from the present and M past ratio of polynomials in z, with the coefficients of such poly-
Discrete-Time Filters 5

Figure 3. Frequency responses of a fourth-order elliptic filter


realized with the normalized lattice structure, with coefficients
quantized to 12, 8 and 6 bits.

nomials equal to the coefficients of the difference equation.


The values of z for which H(z) = 0 are called the zeros of the
transfer function of the digital filter, and are the roots of
the numerator polynomial of H(z). The roots of the denom-
inator polynomial of H(z) are called the poles of the digital
filter’s transfer function, and are the values of z for which
H(z) is infinite. The transfer function H(z) can be written
in terms of its poles pk and zeros zm as

The above factored form of H(z) can be useful for estimating


the frequency response of a digital filter from its zeros and
poles. From Eq. (16), the frequency response of a digital
filter is equal to its transfer function evaluated on the unit
circle in the z plane, that is, at z = ej  . Representing the
differences (z − zm ) for z = ej  in the z plane by the vectors
Cm and the differences (z − pk ) for z = ej  by the vectors Dk ,
we can express the magnitude and phase of the frequency
response by

Figure 4. Recursive implementation of a digital filter obtained


from the difference equation given in Eq. (25) (direct form I struc-
and ture).

with transfer function

where |Cm | and |Dk | represent the magnitudes of the vec-


tors Cm and Dk , and ∠Cm and ∠Dk represent the angles of
the vectors Cm and Dk as related to the real axis measured One can observe that for frequencies  near the zeros,
counterclockwise, respectively. |H(ej  )| will be very small since the zeros are close to
Figure 7 illustrates the pole–zero diagram as well as the unit circle and the vectors from the zeros to ej  will
the vectors defined above for the second-order digital filter have small magnitudes. The phase response, ∠H(ej  ), will
6 Discrete-Time Filters

Figure 5. Canionic recursive implementation obtained from the


pair of difference equations given in Eq. (26) (direct form II struc-
ture).

Figure 8. Frequency response of the digital filter with transfer


function H(z) given in Eq. (32).

FINITE IMPULSE RESPONSE FILTERS


Figure 6. Nonrecursive implementation of a digital filter from
the difference equation given in Eq. (25) with ak = 0 for k = 1, . . . ,
N. A digital filter whose impulse response is of finite dura-
tion (i.e., it is zero outside a finite interval) is called a finite
impulse response (FIR) filter. From the convolution expres-
sion of Eq. (9), the output of a causal FIR filter with h(n) =
0 for n < 0 and for n > M is given by

Comparing the above expression with the output of the dif-


ference equation given in Eq. (25), we can observe that the
output of an FIR filter can be obtained by the nonrecursive
implementation of Fig. 4 with the multipliers bm equal to
the impulse response samples h(m). Usually FIR filters are
implemented nonrecursively, even though recursive imple-
mentations of FIR filters are also possible resulting in a
reduction in the number of multipliers in some cases.
Figure 7. Geometric frequency response evaluation from the The transfer function of an FIR filter has the form
poles and zeros of the transfer function H(z) of Eq. (32).

change by almost π rad near the zeros frequencies. For fre- which has all of its M poles at z = 0. Hence, an FIR filter
quencies close to the poles, there will be a peak in |H(ej  )|, is characterized by the locations of the zeros of its transfer
and ∠H(ej  ) will change by almost −π rad. Such frequency function.
response estimation can be verified in the plots of the mag- A simple example of an FIR filter is the moving average
nitude and phase responses shown in Fig. 8. filter, whose output is the average of the present and the
Discrete-Time Filters 7

last M samples of the input sequence; that is,


The transfer function of an FIR filter satisfying one of the
above conditions with M even is

The impulse response of this system is

and with M odd is

and its transfer function is given by

where the ± signs in the above equations represent the +


sign for symmetric impulse responses satisfying Eq. (42)
and − for antisymmetric impulse responses as in Eq. (43).
The frequency responses corresponding to the above trans-
fer functions with z = ej  can be written in the form
The zeros of H(z) are at zm = ej [2πm/(M +1)] for m = 1, . . . , M.
From the first line of the above equation, the output of this
system can be obtained by the nonrecursive implementa-
for symmetric impulse responses, and
tion of Fig. 6 with coefficients bm = 1/(M + 1), for m = 0,
. . . , M. The second line of the above expression suggests a
recursive implementation such as that of Fig. 4, with the
nonzero coefficients given by a1 = −1, b0 = 1, and bM+1 = for antisymmetric impulse responses, with R() being a
−1. real-valued function of . For M even, the corresponding
FIR filters are specially useful for implementing linear- group delay M/2 is an integer, and R() is given by
phase transfer functions, that is, transfer functions H(z)
such that

for a symmetric impulse response, and


The response of a linear-phase digital filter to a complex
sinusoid of frequency  is given by

for an antisymmetric impulse response, where h(M/2 ) = 0


which for α integer is in the latter case. For M odd, M/2 is not an integer, resulting
in a group delay that does not correspond to an integer
number of sampling periods. R() is given by

Hence, the phase modification introduced by the linear


phase filter in the sinusoidal input signal corresponds to a
constant delay that is independent of . From the super-
for a symmetric impulse response, and
position property of LTI systems, an arbitrary signal x(n)
filtered by a linear phase filter will have all its frequency
components delayed by the same amount α. Defining the
group delay of a filter as
for an antisymmetric impulse response.
An FIR filter with antisymmetric impulse response
presents a zero at z = 1 for M even or odd, and a zero
at z = −1 for M even, as can be seen from Eqs. (44) and
a linear phase filter with phase response as in Eq. (38) has
(45). Therefore, FIR filters with antisymmetric impulse re-
a constant group delay α.
sponses cannot implement lowpass filters. Also, such fil-
An FIR filter with linear phase response can be easily
ters cannot implement highpass filters when M is even.
obtained by imposing one of the symmetry conditions below
An FIR filter with symmetric impulse responses and M odd
on the impulse response of the filter:
presents a zero at z = −1 and, therefore, cannot implement
highpass filters. The other zeros of a linear-phase FIR filter
H(z) are such that if zm is a zero of H(z), so is 1/z∗ m .
or An FIR filter can be designed truncating the infinite im-
pulse response of an ideal filter hideal (n) through the multi-
plication of hideal (n) by a finite length sequence w(n) called
8 Discrete-Time Filters

window. Other FIR filter design methods are based on op- stop filters are, respectively,
timization techniques, such as the Remez exchange algo-
1 − z−2
rithm, which minimizes the maximum deviation of the fre- HBP (z) = k (3)
quency response of the filter from a prescribed specifica- 1 − 2r cos θz−1 + r2 z−2
tion. Such design methods can be found elsewhere (1). and
1 − 2 cos θz−1 + z−2
HBS (z) = k (4)
INFINITE IMPULSE RESPONSE FILTERS 1 − r2 z−2
with |r| < 1 for stability. The parameters r and θ determine,
Filters with infinite-length impulse responses are called in- respectively, the passband width and the center frequency
finite impulse response (IIR) filters. The output of an IIR fil- of the passband/stopband.
ter is obtained by a recursive implementation, such as the Some special IIR filters are allpass, notch, and comb fil-
direct-form structures shown in Figs. 4 and 5. The direct- ters. The allpass filters are useful for phase equalization,
form structures have high sensitivity to coefficient vari- and are characterized by having unity magnitude response
ations, especially when implementing transfer functions for all frequencies, i.e.,
with poles clustered close to the unit circle.
Other IIR filter structures, which present lower sensi- |HAP (e jω )| = 1, for all ω (5)
tivity than the direct form, are based on the implemen- The transfer function of an N-th order allpass filter is of
tations of the filter transfer function H(z) in a factored the form:
form. In the cascade structure, H(z) is factored as a prod- N
ak z−N+k A(z−1 )
uct of first- and/or second-order transfer functions, which HAP (z) = ± k=0
N
= ±z−N (6)
are implemented separately by either one of the direct- k=0
ak z−k A(z)
form structures of Figs. 4 and 5 and connected in cascade. 1 − jφ
The parallel structure is based on the implementation of Observe that if z0 = re jφ is a pole of HAP (z), z−1
0 = e
r
H(z) when expressed as a sum of first- and/or second-order will be a zero of HAP (z).
transfer functions, obtained by partial fraction expansion The notch filters are bandstop filters with very narrow
of H(z). The wave and lattice realizations, both presenting rejection band. They are useful in eliminating narrowband
low sensitivities, will be introduced in this article. noise, such as the 60-Hz power-line interference. A typi-
As opposed to FIR filters, IIR filters have poles in lo- cal second-order notch transfer function has zeros over the
cations other than the origin of the z plane. To guarantee unity circle and poles close to it, with same angles ± θ, i.e.,
stability of an IIR filter, that is, that an input of bounded
amplitude results in a bounded output sequence when pro- 1 − 2 cos θz−1 + z−2
HN (z) = (7)
cessed by the filter, the poles of H(z) must lie inside the unit 1 − 2r cos θz−1 + r2 z−2
circle in the z plane (1). The design of an IIR filter consists with |r| < 1. Figure 1 shows the frequency response of the
of finding the coefficients or the poles and zeros of the trans- π
notch filter of Eq. (7) with r = 0.9 and θ = .
fer function, such that the frequency response of the filter 4
satisfies a given specification. Some IIR filter design tech- Comb filters find application in pitch detection of speech
niques use established analog filter approximation meth- signals and cancellation of periodic interferences, among
ods with the application of a transformation technique to others. Their frequency responses are periodic with period

the analog transfer function or impulse response to obtain , where M is a positive integer. The transfer function of a
the digital transfer function. One of such transformations M
comb filter can be obtained from a single passband trans-
is the bilinear transformation, where the variable s in the fer function H(z) by substituting zM for z, that is, HC (z)
transfer function of the analog filter is replaced by = H(zM ). For example, the high-pass filter with transfer
function given by
1 − z−1
HHP (z) = 0.25 (8)
Other design techniques for IIR filters are based on opti- 1 + 0.5z−1
mization methods, such as the quasi-Newton method de- and frequency response illustrated in Fig. 2(a), generates
scribed elsewhere (1). the 8-band comb filter with transfer function
Examples of first-order IIR low-pass and high-pass fil- 1 − z−8
ters are, respectively, HC (z) = HHP (z8 ) = 0.25 (9)
1 + 0.5z−8
1 + z−1 Its frequency response is shown in Fig. 2(b).
HLP (z) = k (1)
1 − αz−1
and WAVE DIGITAL FILTERS
−1
1−z
HHP (z) = k (2) The designer of filters, regardless of the implementation
1 − αz−1 technology, is usually interested in finding structures with
with |α| < 1 for stability. The constant k determines the low sensitivity to coefficient variations. In digital filter de-
filter gain, while the parameter α controls the passband sign the low sensitivity implies small effect on the over-
width. Examples of second-order IIR bandpass and band- all transfer function when the values of the coefficients
Discrete-Time Filters 9

deviate from their ideal values. As a result, the coeffi-


cients of a low-sensitivity digital filter can be implemented
with short wordlengths without violating the prescribed
specifications. Also, coefficients with short wordlengths are
cheaper, faster, and simpler to implement. It is possible to
show that low-sensitivity realizations usually generate low
Figure 9. General representation of a generic one-port network.
roundoff noise.
It is well known from classical analog circuit theory
that doubly terminated lossless filters have zero sensitiv- magnitude truncation is applied to quantize suitable sig-
ities of the transfer function with respect to the lossless nals inside the wave digital filter structure, no zero-input
components at frequencies at which the maximal power limit cycles can be sustained. Also, as will be discussed in
is transferred to the filter load. For filter approximations the section on quantization effects, the wave digital filters
with equiripple characteristics in the passband, such as are free of overflow limit cycles when simple overflow non-
Chebyshev and elliptic filters, there are several frequen- linearities are employed such as saturation arithmetic.
cies in which maximal power transfers to the load. Because The wave digital filters are also adequate to analog sys-
the ripple values are small in the passband, the sensitiv- tems simulation, such as power systems, due to their topo-
ities remain small over the frequency range consisting of logical equivalence with their analog counterparts.
the passband. As a result, several methods have been pro- An analog one-port network, see Fig. 9, can be described
posed attempting to imitate the behavior of the doubly ter- in terms of wave characterization as
minated lossless filters.
The simplest and most widely used method of transfor-
mation of a transfer function from the Laplace (s-domain)
to the z-transform domain is the bilinear transformation where a and b are the incident and reflected voltage wave
[see Eq. (52)]. This transformation is the one used to estab- quantities, respectively, and R is the port resistance as-
lish the correspondence between the analog prototype and signed to the one-port network. The value of the port re-
the wave digital filter. The bilinear transformation keeps a sistor is chosen appropriately to simplify the one-port re-
frequency domain correspondence between the analog and alization. In the frequency domain the wave quantities are
digital filters. The direct simulation of the internal quanti- A and B which are given by
ties, such as voltages and currents, of the analog prototype
in the digital domain leads to delay-free loops. A delay-free
loop does not contain any delay, and as such cannot be com-
puted sequentially since all node values in the loop are ini- In the equations above, we notice that the voltage waves
tially unknown (1). The values of the previous nodes must consist of a linear combination of the voltage and current
be known before we start computing any value in the loop. of the one-port network.
Alternative transformations can be tried; however, practice Consider now the case where the one-port impedance
has shown that it is desirable to use the bilinear transfor- consists of a single element. That is, Z(s) = csl , where l = 0
mation. As a solution a linear combination of voltage and for a resistor, l = 1 for an inductor, l = −1 for a capacitor,
current are used in the transformation from continuous to and c is a positive constant. Since
the discrete-time domain.
It is well known that any analog n-port network can
be characterized by using the concepts of incident and re-
flected waves quantities known from scattering parameter from Eq. (54), one can easily deduce the ratio between the
theory (5). Through the application of wave characteriza- reflected and incident voltage waves as follows:
tion, and the use of the bilinear transformation, digital fil-
ter realizations can be obtained from passive and active
filters as first proposed by Fettweis (6, 7). By this means,
analog filters can be converted in digital filter structures By applying the bilinear transformation, that is, by substi-
that are free from delay-free loops. The name wave dig- tuting
ital filter derives from the fact that wave quantities are
used to represent the internal analog circuit signals in the
simulation in the digital domain. The possible wave quan-
tities are voltage, current or power quantities. The choice of the digital realization of the one-port network is obtained.
power waves leads to more complicated digital realizations, However, the choice of the port resistance is crucial to ob-
whereas the choice between voltage and current waves is tain a simple realization, where in the present case the
irrelevant. Traditionally, voltage wave quantities are the choice is
choice in the open literature.
Another advantage of the wave digital filters imitating
doubly terminated lossless filters is their inherent stability
under linear conditions (i.e., infinite precision arithmetic) For the capacitor, the port resistor is chosen as R = T/2C,
as well as in the nonlinear case where the signals are sub- where T is the sample period and C is the value of the
jected to quantization. In the real-life nonlinear case, if capacitor, leading to a digital realization of the wave ratio
10 Discrete-Time Filters

Figure 11. (a) Parallel connection of two ports. (b) Realization of


two-port adaptor.

Because V1 = V2 and I1 = −I2 , we have that

Figure 10. Wave digital realization of the main one port ele-
ments.

If we eliminate V1 and I1 in the above equations we have


−1
as B/A = z . For the resistor the choice is R = R, where R
is the resistor value and the resulting digital realization is
B/A = 0. Finally, the inductor is simulated by B/A = −z−1 if
R = 2L/T, where L is the inductor value. Figure 10 depicts where α = (R1 − R2 )/(R1 + R2 ). A realization for the two-
the realization of some important one-port elements. port adaptor is depicted in Fig. 11(b). It should be noted
Similarly an analog N-port network can be described in that there are other realizations for the two-port adaptor.
terms of wave characterization as The same approach can be extended to derive the three-
port series and parallel adaptors. The parallel interconnec-
tion of three ports is shown in Fig. 12(a), where we have
V1 = V2 = V3 , I1 + I2 + I3 = 0, and Gi = 1/Ri . The digital
realization of the parallel adaptor has internal multipliers
for i = 1, . . . , N, where the parameters Ai and Bi are the in- whose coefficients are given by
cident and reflected voltage wave quantities, respectively,
and Ri is the resistance of port i.
The main multiport elements required in the wave digi-
tal filter realization are the adaptors. The adaptors guaran-
tee that the current and voltage Kirchoff laws are satisfied Because α1 + α2 + α3 =2, one of the required multiplier
at the series and parallel interconnections of ports with coefficients can be eliminated. With the definition above,
different port resistances. after a few manipulations one can show that a possible set
Consider the interconnection of two elements with port of relations between the incident and reflected waves is
resistances given by R1 and R2 , respectively, as shown in given by
Fig. 11(a). The wave equations in this case are given by

The realization corresponding to these equations is shown


in Fig. 12(b).
Discrete-Time Filters 11

Figure 13. (a) Series connection of three ports. (b) A possible


realization of a three-port parallel adaptor. (c) Realization of a
Figure 12. (a) Parallel connection of three ports. (b) A possible reflection-free three-port series adaptor.
realization of a three-port parallel adaptor. (c) Interconnection be-
tween two adaptors. (d) Realization of a reflection-free three-port
parallel adaptor α2 = 1 − α1 . The series interconnection of three ports is shown in
Fig. 13(a), where we have V1 + V2 + V3 = 0 and I1 = I2 = I3 .
The equations related to the series adaptor are derived by
If two adaptors are connected directly, a delay-free loop following the same procedure used for the parallel adaptor.
appears between the adaptors as shown in Fig. 12(c). A so- The resulting equations are
lution to this problem is to constrain one of the coefficients
of the adaptor to be equal to one, for example α3 = 1. In this
case, the adaptor equations are given by

where

for i = 1, 2, 3. The realization corresponding to these equa-


where since α1 + α2 = 1, one of the required multiplier tions is shown in Fig. 13(b). The reflection-free series adap-
coefficients can also be eliminated. The realization of the tor can be generated by considering β3 = 1.
reflection-free is depicted in Fig. 12(d), where it can be ver- As an illustration, consider the third-order elliptic low-
ified that there is no direct path between A3 and B3 . As pass analog filter depicted in Fig. 14(a). The corresponding
a consequence, the reflection-free property of port three is wave-digital realization is shown in Fig. 14(b), where the
key to allow the connection between adaptors. multiplier coefficients of the adaptors are calculated as fol-
12 Discrete-Time Filters

reduction strategy. Define the polynomial

We calculate a reduced order polynomial as

where

Note that the first and last coefficients of DN (z) are 1 and
aN,N , whereas the first and last elements of the polynomial
zBN (z) are aN,N and 1, respectively. This strategy to achieve
the order reduction turns the polynomial DN−1 (z) monic
(i.e., with the leading coefficient equal to one).
By induction, this procedure can be repeated as de-
scribed in the following equations:
Figure 14. (a) LC doubly-terminated ladder; element values: C1
= C3 = 0.968F, C2 = 0.085F , L = 1.058H, R1 = R2 = 1 . (b) A possible
wave digital realization. Notice that there are other choices for the
position of the reflection-free ports. The sampling period is T = 1⁄4
s.
for j = N, N − 1, . . . , 0, where zB0 (z) = D0 (z) = 1.
The pair of equations above leads to a convenient rela-
lows: tion given by:

because Assuming that we can implement the desired denomi-


nator using the recursion above, it is required that we im-
plement the numerator polynomial as well. The convenient
way to form the desired numerator is to apply weights to
following similar procedure for the remaining elements we the polynomials zBj (z) such that:
have

where the tap-coefficients are calculated through the fol-


lowing order-reduction recursion:

for j = M, M − 1, . . . , 1, and v0 = b0,0 .


The overall transfer function of the lattice structure is

LATTICE FILTERS
The recursive lattice realization derives from Eqs. (75) and
The general transfer function we aim to realize using the (78) in a simple way. Let us consider that the relations
lattice structure is described by represented in Eq. (75) divided by DN (z) (due to the re-
cursive structure) is implemented through a two-port net-
work. Starting with zB0 (z)/DN (z) = D0 (z)/DN (z) = 1/DN (z),
the structure of Fig. 15(a) results. Figure 15(b) depicts a
realization for the two-port network.
In the lattice construction, we first concentrate in the re- There are some properties related to the lattice realiza-
alization of the denominator polynomial through an order- tion that are worth mentioning. If DN (z) has all the roots
Discrete-Time Filters 13

Figure 15. (a) General lattice digital filter structure. (b) The two-multiplier realization of the two-
port network. (c) The single-multiplier realization of the two-port network. The plus and minus signs
indicates that two different realizations are possible. The choice of these signs can vary from section
to section aiming the reduction of the quantization noise at the filter output. (d) The normalized
section for the lattice structure.

inside the unit circle the lattice structure will have all coef-
a polynomial with unit norm results [i.e., zB̄1 (z)] if we mul-
ficients aj,j with magnitude less than one. Otherwise H(z)
tiply zB1 (z) by k1 = 1/ 1 − a1,1
2
. Identically, we can easily
represents an unstable system. The straightforward sta-
show that
bility test turns the lattice realization useful to implement
time-varying filters. Also in the lattice realization, the poly-
nomials zBj (z) for j = 0, . . . , M, form an orthogonal set; this
feature justifies the choice of these polynomials to form the Because zB̄1 (z) has
desired numerator polynomial NM (z). unit norm, zB2 (z) will have unit norm if
we choose k2 = 1/ 1 − a2,2
2
. Following a similar procedure,
The overall transfer function of the lattice realization we can show that
will not change if any kind of internal scaling is applied to  the appropriate value for the scaling fac-
j
the internal signals in the following way: tor j is kj = 1/ 1 − a1, j . After a few manipulations of Eqs.
(76) and (80), we can show that the two-port section of the
normalized lattice is as depicted in Fig. 15(d). The most
important feature of the normalized lattice realization is
that all its internal nodes have unit energy leading to an
where the numerator coefficients have to be scaled accord- automatic scaling in the L2 norm sense. This explains the
ing to v̄j = vj /kj , with k̄j = kj kj−1 ··· k1 . Each coefficient ki is low roundoff noise generated by the normalized lattice re-
the individual scaling factor applied to the lattice section i. alization as compared with the other forms of the lattice
With this possibility, we can derive a more economical two- realization.
port network using a single multiplier as shown in Fig.
15(c).
Another important realization for the two-port network QUANTIZATION EFFECTS IN DIGITAL FILTERS
results when the scaling parameters ki are chosen such
that the polynomials zB̄j (z) become orthonormal. The ap- The choice of a digital filter structure for a given application
propriate scaling can be easily derived by induction if we is based on evaluating the performance of known struc-
recall that zB0 (z) = A0 (z) = 1. Since tures and choosing the most suitable one. The effects of
quantization are important factors to be considered when
assessing the performance of digital filter structures.
14 Discrete-Time Filters

Figure 16. Model for the noise generated after a multiplication.

Quantization Noise
A number with modulus less than one can be represented
in fixed-point arithmetic as follows: Figure 17. Digital filter including scaling and the relevant trans-
fer functions for scaling, noise analysis and sensitivity calculation.

where b0 is the sign bit and b1 b2 b3 ··· bb represents the mod- A figure of merit usually employed in evaluating the
ulus of the number using a binary code. The most widely performance of digital filters is the relative power spectral
used binary code is the two’s-complement representation density (RSPD) of the output noise in decibels given by
where for positive numbers b0 = 0 and for negative num-
bers b0 = 1. The fractionary part of the number, called x2
here, is represented as
The RPSD eliminates the dependence of the output noise
on the wordlength. Hence the RPSD is a measure of the
extent to which the output noise depends upon the internal
structure of the filter.
In floating-point a number is represented as
Another useful performance criterion to evaluate the
roundoff-noise generated in digital filters is the noise gain
or the relative noise variance (1, 2) given by
where xm is the mantissa and c is the number exponent,
with ½ ≤ |xm | < 1. In floating-point arithmetic, the man-
tissa must be quantized after every multiplication and ad-
dition, whereas in fixed-point arithmetic quantization is
required only after multiplications. The main advantage
of the floating-point representation is the large dynamic
range, while fixed-point representations are easier to im-
plement. Our discussion from now on concentrates in the
fixed-point implementation.
where we used the relation
A finite-wordlength multiplier can be modeled in terms
of an ideal multiplier followed by a single noise source e(n)
as shown in Fig. 16. If the product quantization is per-
formed by rounding and the signal levels throughout the The input signal quantization is similar to product
filter are much larger than the quantization step q = 2−b , it quantization and can be represented by including a noise
can be shown that the power spectral density of the noise source at the input of the digital filter structure.
source ei (n) is given by
Granularity Limit Cycles
On many occasions, signal levels in a digital filter can be-
come constant or very low, at least for short periods of
which means that ei (n) represents a zero mean white-noise
time. Under such circumstances, the noise signals become
process. Also, we can consider that in practice ei (n) and ej (n
highly correlated from sample to sample and from source to
+ l) are statistically independent for any value of n or l (for
source. This correlation can cause autonomous oscillations
i= j). As a consequence the contributions of different noise
called granularity limit cycles.
sources can be accounted for separately using the principle
Limit-cycles oscillations can occur in recursive digital
of superposition.
filters implemented with rounding, magnitude truncation
In a fixed-point digital-filter implementation, the power
(where the magnitude of the number is reduced aiming the
spectral density of the output noise is given by
decrease of its energy), and other types of quantization.
In many applications, the presence of limit cycles can be
a serious problem. Thus, it is desirable to eliminate limit
cycles or to keep their amplitude bounds low.
where Pe (ej  ) = σ 2 e , Gi (z) are the transfer functions from For wave and lattice digital filters and some second-
each multiplier output [gi (n)] to the output of the filter as order structures, the stability under finite precision arith-
shown in Fig. 17. The wordlength, including sign, is b + 1 metic can be proved by means of the second method of Lya-
bits and K is the number of multipliers of the filter. punov. Magnitude truncation is applied to quantize suit-
Discrete-Time Filters 15

Figure 19. Regions allowed for the overflow nonlinearities in or-


der to guarantee freedom from overflow limit cycles.

Figure 18. Digital filter including quantizers at the delay inputs.

able signals inside the structure such that a defined posi-


tive definite pseudoenergy function is proved to be a Lya-
punov function.
The concept of pseudoenergy function can be applied
to show how to eliminate zero-input limit cycles in some
digital filter structures, for example, in wave, lattice, and
state-space digital filter structures. The basic strategy is
to apply magnitude truncation to the state variables of the
digital filter, namely the delay inputs. An interesting result
(11) establishes how constant-input limit cycles can also be
eliminated in digital filters in which zero-input limit cycles
can be eliminated. Figure 20. A second-order digital filter including a granularity
In a recursive filter implemented with fixed-point arith- and overflow quantizer.
metic each internal loop contains a quantizer in order to
keep the wordlength limited. Assuming that the quantiz-
ers are placed at the delay input (i.e., at the state variables overflow can give rise to self-sustained, high-amplitude os-
as shown in Fig. 18), we can describe the digital filter, in- cillations known as overflow limit cycles.
cluding the quantizers, using the state–state formulation A digital filter structure is considered as free of overflow
as follows: limit cycles or to have a stable forced response if the error,
which is introduced in the filter after an overflow, decreases
with time in such a way that the output of the nonlinear
filter (including the quantizers) converges to the output of
where [·]Q indicates the quantized value of [·], A is the state the ideal linear filter (10).
matrix, b is the input vector, c is the output vector, and A digital filter that is free of zero-input limit cycles, ac-
d represents the direct connection between the input and cording to the condition of Eq. (92), is also forced-input sta-
output of the filter. ble if the overflow nonlinearities are in the shaded regions
Given that the digital filter has a state matrix with of Fig. 19. Figure 20 illustrates a digital filter realization
eigenvalues inside the unit circle such that incorporating a quantizer implementing rounding for the
granular quantization and saturation arithmetic for the
overflow nonlinearity.
where G is an N × N diagonal positive definite matrix, and In the presence of input signal, overflow can occur in any
û is any N × 1 vector. Then, the granular zero-input limit digital filter structure. As a consequence, input signal scal-
cycles can be eliminated if the quantization is performed ing is required to reduce the probability of overflow to an
through magnitude truncation. In this case, the quadratic acceptable level. Ideally, signal scaling should be applied
energy function given by so as to ensure that the probability of overflow is the same
at each internal node of the digital filter, to maximize the
signal to noise ratio in fixed-point implementations.
The choice of two’s complement arithmetic leads to a
is used as Lyapunov function.
simplified scaling technique, where only the multiplier in-
puts require to be scaled. Specifically, in this type of num-
Overflow Limit Cycles
ber representation the addition of two or more numbers
Overflow limit cycles can occur when the magnitude of will be correct independently of the order in which they
the internal signals exceed the available register range. are added even if overflow occurs in a partial summation,
To avoid the increase of the signal wordlength in recur- as long as the overall sum is within the available range of
sive digital filters, overflow nonlinearities must be applied representable numbers. In this scaling technique a scaling
to the signal. Overflow nonlinearities influence the most multiplier is used at the input of the filter section as illus-
significant bits of the signal causing severe distortion. An trated in Fig. 17. We know that the signal at the multiplier
16 Discrete-Time Filters

input is given by
The variation in the magnitude response of the digital
filter due to the variations in the multiplier coefficients are
approximated by

where c is the convergence region common to Fi (z) and U(z).


The constant λ is usually chosen on the basis of the Lp
norm of the transfer function from the filter input to the where mi , i = 1, 2, . . . , K, are the multiplier coefficients of
multiplier input Fi (z), depending on the known properties the digital filter. If we consider that the multiplier coef-
of the input signal. The Lp norm of Fi (z) is defined as ficients were rounded and that the errors introduced are
statistically independent, the variance of the error in each
coefficient is given by


for each p ≥ 1, such that 2π 0 |Fi (ej  )|p d ≤ ∞. In general
the following expression is valid
where b is the number of fractionary bits.
With the assumptions above the variance of |H(ej  )| is
given by
for p, q = 1, 2 and ∞.
The scaling ensures that the amplitudes of multiplier
inputs are bounded by a number M when |u(n)| ≤ M. There-
fore, to ensure that all multiplier inputs are bounded by M,
we must choose λ as follows If we assume that |H(ej  )| has a Gaussian distribution, it
is possible to estimate the probability of |H(ej  )| as less
or equal to xσ |H(e j )| . The equation below estimates the
number of bits that are required in the fractionary part for
a given digital filter to meet a given modulus specification.
which means that

where K is the number of multipliers in the filter section.


The norm p is usually chosen to be infinity or 2. The L∞
norm is used for input signals that have some dominating where ρ() is the tolerance on the magnitude response
frequency component, whereas the L2 norm is most com- given in the specifications Hd (ej  ).
monly used for random input signal. Usually, the scaling Example:
coefficients are powers of two, provided they satisfy the An elliptic bandpass filter was designed satisfying the
overflow constraints. In this way, the scaling parameters following prescribed specifications:
can be implemented by simple shift operations.
In case of modular realizations such as cascade or par-  Maximum ripple in the passband: 1 dB.
allel realizations of digital filters, optimum scaling is ac-  Minimum attenuation in the stopband: 30 dB.
complished by applying one scaling multiplier per section.  Passband frequency range: 2880 to 3120 Hertz.
 Stopband frequency edges: 2450 and 3550 Hertz.
Coefficient Quantization
 Sampling frequency: 10000 Hertz.
During the approximation step the coefficients of a digital
filter are calculated with high accuracy. If these coefficients
are quantized, the frequency response of the realized dig- The resulting filter has order four.
ital filter will deviate from the ideal response. In fact, the In order to access the coefficient quantization effects of
quantized filter may even fail to meet the prescribed spec- a given realization, the fourth-order elliptic filter was im-
ifications. The sensitivity of the filter response to errors in plemented utilizing a normalized lattice structure. The co-
the coefficients is highly dependent on the type of structure. efficients of the lattice are displayed in Table 1. These coef-
This fact led to the development of low-sensitivity digital ficients are then quantized to 12, 8 and 6 bits, respectively.
filter realizations such as the wave and lattice. The resulting transfer functions are depicted in Figure 3.
Several sensitivity criteria exist to evaluate the effect of As can be observed the transfer functions for 8 and 6 bits
the variation of a coefficient value on the digital filter trans- deviate substantially from the desired one, whereas 12 bits
fer function. In this article, the sensitivity of the transfer leads to acceptable results.
function H(z) with respect to variations in the multiplier A procedure widely used in practice to evaluate the de-
constant mi is defined as sign of digital filters with finite-coefficient wordlength is to
design the filters with tighter specifications than required,
quantize the coefficients, and check if the prescribed spec-
ifications are still met.
Discrete-Time Filters 17

Figure 21. (a) Full adder; (b) bit-parallel; (c) bit-serial adder; (d) serial/parallel multiplier.

Table 1. Normalized Lattice Coefficients by the execution time of each instruction. Digital signal
ajj vj processor chips are specially designed to execute very effi-
0 −0.31382198601433 0.01451571512296 ciently sum-of-product operations, which are the main com-
1 −0.98733578085783 0.01127246045032 putations required in the implementation of digital filters
2 −0.30596231306686 −0.01164209398292 and of other digital processing algorithms, as can be seen
3 −0.85033475836150 −0.00464776905230 in Figs. 4, 5, 6, 14, and 15. The efficient implementation
4 – 0.03432034632233 of the multiply-and-accumulate operation, as well as the
high-degree of parallelism with which the instructions are
DIGITAL FILTER IMPLEMENTATION executed in a DSP, result in a relatively high input–output
throughput rate.
Digital filters can be implemented by software in general- A hardware implementation consists in the design and
purpose computers, in digital signal processor (DSP) chips, integration of a digital circuit, specified in terms of logical
or by hardware in special-purpose logic circuits. Although gates. Advances as well as reduction in costs of integrated
software implementations allow rapid prototyping and circuit technologies have made special-purpose hardware
flexibility in testing and modifying the filter characteris- implementations of digital filters even more attractive
tics, special-purpose hardware implementations allow for for high-speed real-time applications and/or for large pro-
higher-speed and lower-consumption performances. duction quantities. Besides providing higher-speed and
A software implementation consists of generating the lower-power consumption, hardware implementations us-
program code corresponding to the digital filter structure ing VLSI (very-large-scale integration) technologies per-
being implemented, in a high-level language or directly mit to include in a single chip not only a digital filter but
in assembly language. A compiler then generates a set of a whole signal processing system. Arithmetic operations
instructions to the processor from the code. Because, in required in the implementation of digital filters can be
general-purpose computers the instructions are executed performed either in bit-serial or in bit-parallel form. The
sequentially, the speed of the digital filter becomes limited bit-parallel implementation of arithmetic operations uses
18 Discrete-Time Filters

a basic element the full-adder shown in Fig. 21(a), which 9. A. H. Gray, Jr. and J. D. Markel. A normalized digital filter
adds the two input-bits a and b, and the carry-in bit c, structure. IEEE Trans. Acoust. Speech Signal Process., ASSP-
resulting in a sum bit and an output carry bit. The sum 23: 268–277, 1975.
of two (b + 1)-bit numbers, A and B, in bit-parallel arith- 10. T. A. C. M. Claasen, W. F. G. Mecklenbräuker, and J. B. H. Peek.
metic can be implemented by connecting (b + 1) full-adders, On the stability of the forced response of digital filters with
one for each bit, as shown in Fig. 21(b), where ai and bi overflow nonlinearities. IEEE Trans. Circuits Syst., CAS-22:
692–696, 1975.
represent the ith bit of A and B, respectively, with ab and
bb corresponding to the least significant bits (LSB). A bit- 11. P. S. R. Diniz, and A. Antoniou. More economical state-space
digital filter structures which are free of constant-input limit
parallel implementation for the product of two numbers
cycles. IEEE Trans. Acoust. Speech Signal Process., ASSP-34:
A and B uses a full-adder for the partial sum of each bit
807–815, 1986.
product ai bk , requiring about b(b + 1) full-adders. Reduc-
tion in chip area can be achieved by using bit-serial arith-
PAULO S. R. DINIZ
metic. In such an implementation approach, the bits are
MARIANE R. PETRAGLIA
processed one at each clock period, with the LSB treated
Federal University of Rio de
first. A bit-serial adder is shown in Fig. 21(c), where D rep-
Janeiro, Rio de Janeiro,
resents a one-bit delay (or a flip-flop) and CLR is set to zero
Brazil
during the processing of the LSB. A serial/parallel imple-
Federal University of Rio de
mentation of a multiplier (for B > 0) is shown in Fig. 21(d),
Janeiro, Rio de Janeiro,
where A is treated in bit-parallel form, whereas B is pro-
Brazil
cessed in bit-serial form. More details and other implemen-
tations of bit-serial, bit-parallel, and serial/parallel arith-
metics can be found elsewhere (4). A different hardware im-
plementation approach of digital filters, called distributed
arithmetic, uses a look-up table to obtain partial results of
the required sum-of-products. Such approach uses memory
(corresponding to the look-up table) to replace most of the
circuitry required to implement the computations.
The execution speed of the operations as well as the
degree of parallelism associated to the digital filter im-
plementation determine the maximum sampling rate for
which the filter can operate. To increase this maximum
rate, block processing algorithms have been proposed,
where a block of output samples is calculated using a block
of input samples. There is a delay in the production of the
output samples in such algorithms, which might not be tol-
erable in some real-time applications. More details of block
processing algorithms are given elsewhere (2, 3).

BIBLIOGRAPHY

1. P. S. R. Diniz, E. A. B. da Silva, and S. L. Netto. Digital Sig-


nal Processing, System Analysis and Design. Cambridge, UK:
Cambridge, 2002.
2. A. Antoniou. Digital Signal Processing. New York, NY: Mc-
Graw Hill, 2006.
3. S. K. Mitra. Digital Signal Processing. New York, NY: McGraw
Hill, 3rd Edition, 2005.
4. L. Wanhammer. DSP Integrated Circuits. New York, NY: Aca-
demic Press, 1999.
5. V. Belevitch. Classical Network Theory. San Francisco, CA:
Holden-Day, 1968.
6. A. Fettweis. Digital filters structures related to classical filters
networks. Archiv. fur Elektronik und Ubertragungstechnik, 25:
79–89, 1971.
7. A. Fettweis. Wave digital filters: theory and practice. Proc.
IEEE, 74: 270–327, 1986.
8. A. H. Gray, Jr. and J. D. Markel. Digital lattice and ladder filter
synthesis. IEEE Trans. Audio Electroacoust., AU-21: 491–500,
1973.
518 DIGITAL-TO-ANALOG CONVERSION

put digital word Di. bN is the most significant bit (MSB), and
b1 is the least significant bit (LSB). The digital-to-analog
(D/A) conversion is a linear mapping of the input digital word
to the analog output. Although purely current-output DACs
are possible, voltage-output DACs are more common.
Because digital numbers are limited in length, the number
of different possible DAC output levels depends on the num-
ber of bits used to form digital input word. For example, a
DAC with two-bit digital word can have four possible outputs.
A digital number of 00 represents one possible output,
whereas 01, 10, and 11 each represents a different distinct
output. That is, the total number of the output levels of a
DAC using an N-bit long digital input word is 2N. Therefore,
the step size between the outputs created by two adjacent dig-
ital input words is Vr /2N. This value represents the minimum
voltage that can be resolved by an N-bit DAC. The resolution
of a DAC is defined as the minimum resolvable output step,
but the number of bits used for the digital input word is also
quoted as resolution. In a strict sense, it is impossible to rep-
resent an analog waveform accurately with any limited num-
ber of discrete levels. However, real applications are limited
either by noise or by other system requirements and need no
finer resolution than necessary. For example, a video signal
digitized with 8-bit resolution is sufficient for the current
DIGITAL-TO-ANALOG CONVERSION video standard, whereas CD players use 16 bit data to repro-
duce music.
FUNDAMENTALS OF D/A CONVERSION

All electrical signals in the real world are analog in nature, Static and Dynamic Performance
and their waveforms are continuous in time. However, most An ideal N-bit voltage DAC generates 2N discrete analog out-
signal processing is done numerically in a sample data form put voltages for digital inputs varying from 000 . . . 0 to 111
in discrete time. A device that converts a stream of discrete . . . 1 as illustrated in Fig. 1(a) for the ideal 4 bit DAC exam-
digital numbers into an analog waveform is called a digital- ple. In the unipolar case, the reference point is the lowest
to-analog converter (DAC). One familiar example of a DAC output of the range when the digital input D0 is 000 . . . 0.
application is the CD player. Digital bits, 1s and 0s, stored in However, in the bipolar case or in differential DACs, the ref-
the CD represent the electrical music waveform sampled in erence point is the midpoint of the full scale when the digital
discrete time. DACs inside CD players convert the digital input is 100 . . . 0, whereas 000 . . . 0 and 111 . . . 1 repre-
data stream read from optical discs into an audible sound sent the most negative and most positive DAC input ranges,
waveform. DACs are used as stand-alone devices or as sub- respectively. An ideal DAC has a uniform step size and dis-
blocks of other systems, such as analog-to-digital converters plays a linear transfer characteristic with a constant slope.
(ADCs), and cover the frequency spectrum from subsonic to However, in reality, the DAC transfer characteristic is far
microwave frequencies. Some examples of the systems in from being ideal as shown in Fig. 1(b). First, typical DACs
which DACs play an integral role are TV monitors, graphic have variance in step size and a curvature in the transfer
display systems, digital voice/music/video systems, digital characteristic measured in terms of differential and integral
servo controllers, test systems, waveform generators, digital nonlinearities (DNL and INL), respectively. In addition, the
transmitters in modern digital communications systems, and overall transfer curve shifts up or down, and the slope is dif-
multimedia systems (1). ferent from the ideal one. The former is defined as offset er-
ror, and the latter as gain error.
DAC Resolution DAC performance is limited by two factors. One is the
static linearity in representing digital numbers with a finite
The basic function of a DAC is the conversion of a digital number of discrete output levels, and the other is the dynamic
number into an analog level. An N-bit DAC generates a dis- accuracy in the transition from one level to another. The D/A
crete analog output level, either voltage or current, for every conversion process is illustrated in Fig. 2(a) with time-domain
digital input word. The maximum range of the DAC is set by waveforms on the left and frequency spectrums on the right.
the reference voltage or current. In mathematical terms, the
Figure 2(b) shows the sampled digital waveform and its spec-
output of an ideal voltage DAC can be represented as
trum repeating at multiples of the sampling frequency f s. Be-
  cause the impulse response does not exist in the analog do-
bN b b2 b
V0 (Di ) = + N−1 + · · · + N−1 + N1 Vr (1) main, the ideal D/A conversion is a sample-and-hold
2 22 2 2 operation as shown in Fig. 2(c). It is still assumed that the
DAC output is fast enough to make a step response. This sam-
where Vr is a reference voltage setting the output range of the ple-and-hold process exhibits a frequency response of a
DAC and bNbN⫺1 ⭈ ⭈ ⭈ b1 is the binary representation of the in- (sin x)/x function. If this sample-and-held waveform is low-

J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
DIGITAL-TO-ANALOG CONVERSION 519

Vr Vr

INL
Analog output

Analog output
Offset
Vr Vr
2 2 DNL

1 LSB
Gain error

0 0
0000 1000 1111 0000 1000 1111
Digital input Digital input
(a) (b)

Figure 1. DAC transfer characteristics: (a) ideal case and (b) nonideal case.

Time Frequency

(a)

Time Frequency
fs

(b)

Time Frequency
fs

(c)

Figure 2. D/A conversion process: (a) input and its spectrum, (b) sampled data and its spectrum,
and (c) sample-and-held DAC output and its spectrum.
520 DIGITAL-TO-ANALOG CONVERSION

P(Q x) termodulation from DAC nonlinearity is the most critical is-


sue because strong interfering tones produce inband spurious
1
components and degrade the inband SNR. The DAC perfor-

mance for such applications is often measured as spurious-
free dynamic range (SFDR), which is the ratio of the maxi-
mum signal component and the largest spurious component.
Qx
The INL is the key design factor for low SFDR applications.
– ∆ ∆
2 2
DNL and INL
Figure 3. Density function of the quantization noise.
The fundamental limit of the DAC performance is SNR, but
it is more likely that DAC performance is limited by spurious
and harmonic components. The DAC nonlinearity can be mea-
pass filtered, the original signal is restored except for a gain
sured by DNL and INL. DNL is a measure of deviation of
droop resulting from the (sin x)/x function. In practice, the
actual step size from the ideal size. Similarly, INL is defined
DAC output cannot change instantaneously, and real DACs
as a measure of deviation of the midpoint of the actual step
are designed to settle exponentially from one level to another.
from the midpoint of the ideal step. DNL and INL are indica-
Therefore, the dynamic performance depends heavily on the
tors of how ideal a DAC is and can be defined in an N-bit
actual implementation.
voltage DAC as
Signal-to-Noise Ratio
V0 (Di+1 ) − V0 (Di ) − Vr /2N
The lower bound in DAC resolution is set by the step size of DNL(Di ) = (5)
Vr /2N
the minimum incremental amount of the DAC output. Ideally
this finite step size of the DAC output appears as a random V0 (Di ) − i × Vr /2N
INL(Di ) = (6)
noise in the D/A conversion. It is similar to the quantization Vr /2N
noise of the A/D conversion process. The random noise can be
modeled as an ideal DAC with an additive random noise for i ⫽ 0, 1, . . ., 2N ⫺ 1. DNL and INL are normalized to the
source between values of ⫺Vr /2N⫹1 to Vr /2N⫹1 as illustrated in ideal one LSB step, and the largest positive and negative
the probability density function of Fig. 3, where ⌬ is Vr /2N. numbers for both DNL and INL are usually quoted to specify
Estimating the noise as the difference between the actual in- the static performance of a DAC.
put and the nearest level, the noise Qx(n) lies between Several different definitions of INL may result depending
on how two endpoints are defined. The two endpoints are not
 
− ≤ Qx (n) ≤ (2) exactly 0 and Vr because of the offset and gain errors ex-
2 2 plained in Fig. 1(b). In most DAC applications, the offset and
gain errors resulting from the nonideal endpoints do not mat-
as shown in Fig. 3. Then the average noise power ␴2 can be
ter, and the integral linearity can be better defined in a rela-
calculated as
tive measure using the straight-line linearity concept rather
 /2 than the endpoint linearity in the absolute measure. The
x2 2
σ2 = dx = (3) straight line can be defined as two endpoints of the actual
−/2  12 DAC output voltages or as a theoretical straight line adjusted
to best fit the actual DAC output characteristic. The former
The signal-to-noise ratio (SNR) is defined as the power ratio definition is sometimes called endpoint linearity, whereas the
of the maximum signal to the inband uncorrelated noise. Be- latter is called best-straight-line linearity.
cause the maximum signal power is Vr2 /8, the well-known re-
lation of the noise is derived using Eq. (3) as
Monotonicity
 
3×2 2N
A DAC is monotonic if its output increases as the digital input
SNR = 10 × log ≈ (6.02N + 1.76) dB (4)
2 increases. The condition for monotonicity requires that the
derivative of the transfer function never change sign and that
If the number of bits increases by one, noise is lowered by the DNL be better than ⫺1 LSB. Monotonicity of a DAC is
about 6 dB. important in all DAC applications, but it is a necessity in
The SNR accounts for inband uncorrelated noise only. In such applications as digital control and video. The worst DNL
reality, the DAC transfer characteristic is not linear, and the and INL in binary-weighted DACs usually appear at a major
nonlinearity in the D/A conversion process appears as har- transition point. The major transition point is the point at
monics or intermodulation components in the DAC output. which the MSB changes in the digital input such as between
Considering nonlinearity, DAC performance is more accu- 011 . . . 1 and 100 . . . 0. If the MSB weight is smaller than
rately defined using the total signal-to-noise ratio (TSNR) or the ideal value (1/2 of the full range), the analog output
sometimes referred to as the signal-to-noise-and-distortion ra- change can be smaller than the ideal step Vr /2N when the
tio (SNDR). For an ideal DAC without nonlinearity, noise is MSB changes. If the decrease in the output is larger than one
limited only by quantization, and SNDR should be identical LSB, the DAC becomes nonmonotonic. The similar nonmono-
to SNR. In some applications such as generating complex tonicity can take place when switching the second or lower
spectrums in wireless radio-frequency (RF) systems, the in- MSB bits in binary-weighted multibit DACs.
DIGITAL-TO-ANALOG CONVERSION 521

Monotonicity is inherently guaranteed if an N-bit DAC is bit level with low voltage and temperature coefficients. How-
made of 2N elements for thermometer decoding. However, it ever, in the MOS process, such high-quality resistors are not
is impractical to implement high-resolution DACs using 2N available. Either diffusion or undoped poly resistors are used,
elements because the number of elements grows exponen- and the achievable matching accuracy is below 8-bit level
tially as N increases. For high-resolution DACs, four different with one-order higher voltage and temperature coefficients
ways of achieving monotonicity exist. They are using the than those of film resistors in bipolar process. Although resis-
slope-type approach, the multilevel segmented DAC ap- tors are carefully laid out using large geometry, matching of
proach, calibration, and the interpolative oversampling tech- resistors in integrated circuits is still limited by the mobility
nique. The first one is to use a linear voltage ramp and to and resistor thickness variations. Differential resistor DACs
control the time to stop digitally so that accurate voltage pro- with large feature sizes are reported to exhibit higher match-
portional to the digital word can be obtained. Oversampling ing accuracy at the 11 to 12 bit level.
interpolative DACs also achieve monotonicity by converting a A resistor-string DAC is inherently monotonic and exhibits
pulse-density modulated bitstream into analog waveform. good DNL, but it suffers from poor INL. For higher INL, trim-
The slope-type DAC has a limited use in digital panel meters ming or adjustment techniques are needed, but it is impracti-
and in other slow measurement uses, and it is not covered cal to apply them to all 2N resistor elements. A very practical
here. However, the calibration and oversampling approaches method to improve the INL of the resistor-string DAC is to
are covered in separate sections. use on-chip unity-gain buffers and to adjust voltages at inter-
mediate taps of the resistor string using conventional trim-
DAC ARCHITECTURES ming techniques. For this, the buffers require high open-loop
gain, low output resistance, large current driving capability,
Resistor-String DAC and wide bandwidth for accurate and fast setting. The more
taps that are adjusted, the better integral linearity obtained.
A resistor string made of 2N identical resistors is a straight- An added benefit of this INL trimming method is the reduced
forward voltage divider. Switching the divided reference volt- RC time constant due to the voltage sources applied to the
ages to the output makes a DAC as shown in Fig. 4, which adjustment taps.
uses a 3-bit binary tree decoder. Because it requires a good
switch, the stand-alone resistor-string DAC is easier to imple-
Binary-Weighted Current DAC
ment using metal-oxide-semiconductor (MOS) technologies.
Resistor strings are widely used as an integral part of the Although the resistor-string DAC is simple to make for a
flash ADC. One major drawback of using it as a stand-alone small number of bits, the complexity grows exponentially as
DAC is that the DAC output resistance depends on the digital the number of bits increases. Binary-ratioed elements are
input word and switch on-resistance. This nonuniform set- simpler to use for a large number of bits. One of the simplest
tling time constant problem can be alleviated either by adding DAC architectures using the binary-weighted current sources
low-resistance parallel resistors or by compensating for MOS is shown in Fig. 5 (3). It is the most popular stand-alone DAC
switch overdrive voltages (2). The code-dependent settling has architecture in use today. In bipolar technology, transistors
no effect on the DAC performance when used as an ADC sub- and emitter resistors are ratioed with binary values as
block. shown. In MOS technology, only ratioed transistors are used
Film resistors such as Tantalum, Ni–Cr, or Cr–SiO used as in, for example, a video random-access-memory (RAM)
in bipolar process exhibit very good matching of above the 10- DAC that is made up of simple PMOS differential pairs with

1 2 3 4 5 6 7
V V V V V V V
8 r 8 r 8 r 8 r 8 r 8 r 8 r
Vr

0 1 0 1 0 1 0 1

b1 = 1

0 1 0 1

b2 = 0

0 1

b3 = 1

+
Out

Figure 4. Resistor-string DAC.


522 DIGITAL-TO-ANALOG CONVERSION


Out
+

bN bN bN–1 bN–1 b3 b3 b2 b2 b1 b1

Ir Ir Ir Ir Ir
2 4 2 N–2 2 N–1 2N

Vb

×2 N–1 ×2 N–2 ×4 ×2 ×1

2R 4R 2N–2R 2N–1R 2 NR

Figure 5. Binary-weighted current DAC.

binary-weighted tail currents. Although MOS DACs exhibit 8 transresistance amplifier, but in high-speed DACs, the output
bit level matching using 10 to 20 애m device size, bipolar current is used directly to drive a resistor load.
DACs are known to have above 10-level matching using thin- Although binary weighting reduces circuit complexity, the
film resistors. The current sources are switched on or off ei- number of transistors and resistors still grows exponentially
ther by means of switching diodes or differential pairs as because a unit component is repeatedly used for good match-
shown. The output current summing is done by a wideband ing. This complexity problem is alleviated using another type

Io


Out
Id +

bN bN bN – 1 bN – 1 b3 b3 b2 b2 b1 b1

Ir Ir Ir Ir Ir
N–2 N–1
2 4 2 2 2N

2R 2R 2R 2R 2R

R R R R 2R
–Vr
A B C D
Figure 6. R–2R DAC.
DIGITAL-TO-ANALOG CONVERSION 523

Reset

2 NC


Out
2 N – 1C 4C 2C C +

bN bN b3 b3 b2 b2 b1 b1

Figure 7. Binary-weighted capacitor-


–Vr array DAC.

of current-ratioed DAC known as R–2R DAC shown in Fig. 6. ground. One extra smallest C is not necessary for DAC as
The R–2R network consists of series resistors of value R and shown in Fig. 7, but as a subblock of an ADC, it is needed to
shunt resistors of value 2R. Each shunt resistor 2R has a sin- make the total capacitance of 2NC. Because the top plate is
gle-pole double-throw electronic switch that connects the re- connected to the summing node, the top plate parasitic capac-
sistor either to ground or to the output current summing itance has a negligible effect on the DAC performance. The
node. The operation of the R–2R ladder network is based on capacitor-array DAC requires two-phase nonoverlapping
the binary division of current as it flows down the ladder. At clocks for proper operation. Initially, all capacitors should be
any junction of series resistor R, the resistance looking to the charged to ground. After initialization, depending on the digi-
right side is 2R. Therefore, the input resistance at any junc- tal input, the bottom plates are connected either to ⫺Vr or to
tion is R, and the current splits equally into two branches at ground. Then the output is the same as given by Eq. (1). Like
the junction because it sees equal resistances in both direc- the R-2R DAC, the capacitor-array DAC can be used as an
tions. The advantage of the R–2R ladder method is that only MDAC.
two values of resistors are used, greatly simplifying the task
of matching or trimming and temperature tracking. Also, for Monotonic Segmented DACs
high-speed applications, relatively low-valued resistance can
As discussed in the previous section, a DAC system is greatly
be used for even more savings in the chip area. The major
simplified using a binary-weighted DAC and binary input
disadvantage of this architecture is the nonmonotonicity and word, but monotonicity is not guaranteed. For monotonicity,
high nonlinearity due to poor matching in fabricated resis- the DAC needs to be thermometer-coded. Three examples of
tors. The R–2R DAC is a multiplying DAC (MDAC) that has
thermometer-coded DACs are shown in Fig. 8 for resistor,
an output proportional to the product of the reference voltage current, and capacitor-array DACs. Their operation is the
and the digital input word. same except for the thermometer-coded input. Because each
segment is individually represented by a unit element, the
Binary-Weighted Capacitor-Array DAC
DAC output should increase as a new unit element is
Capacitors made of double-poly or poly-diffusion in the MOS switched in as the thermometer-code input increases by one.
process are known to be very accurate passive components This guarantees monotonicity, but the problem is an exponen-
comparable to film resistors in the bipolar process both in the tial increase in the complexity as the number of bits in-
matching accuracy and voltage and temperature coefficients. creases.
The binary-weighted capacitor-array DAC is shown in Fig. 7. Applying a two-step conversion concept, a monotonic DAC
The DAC is made of a parallel capacitor array of N capacitors can be made using coarse and fine DACs. The fine DAC di-
with a common top plate (4). Unlike DACs using resistors and vides the next MSB segment into fine LSBs. Two examples of
currents, the capacitor-array DAC is based on a dynamic the monotonic-segmented approach exist. One is a combina-
charge redistribution principle. Therefore, it is not convenient tion DAC, and the other is the most widely used next-segment
to use it in continuous-time applications, and for stand-alone approach. Because it is difficult to achieve fine resolution us-
applications, a resettable feedback amplifier periodically ing one DAC, both approaches use two separate DACs. The
charging the top plate of the array and an output S/H or deg- R ⫹ C combination DAC uses two different DAC types to
litcher are needed. The capacitor array is known to give a 10- achieve two-level D/A conversion as shown in Fig. 9. The
bit level matching for this use. The matching accuracy of the MSB resistor-string DAC supplies the reference voltages to
capacitor in MOS technology depends on the geometry sizes the LSB capacitor-array DAC. When the top plate is initial-
of the capacitor width and length and the dielectric thickness. ized, all capacitor bottom plates are connected to the higher
As a stand-alone DAC, the top plate of the DAC is pre- voltage of the next segment of the resistor-string DAC. Dur-
charged either to the offset of the feedback amplifier or to the ing the next clock phase, the bottom plates of capacitors are
524 DIGITAL-TO-ANALOG CONVERSION

R R R R R R R
Vr

0 1 2 3 2N – 3 2N – 2 2N – 1

Thermometer-
coded N bits

+
Out

(a)


Out
+

0 1 2 3 2N – 3 2N – 2 2N – 1
Thermometer-
I I I I I I I coded N bits

(b)

Reset

2 NC


Out
C C C C C C C +

0 1 2 3 2N – 3 2 N– 2 2N – 1

Thermometer-
coded N bits

Figure 8. Thermometer-coded monotonic


DACs: (a) resistor, (b) current, and (c) ca- –Vr
pacitor arrays. (c)

selectively connected to the lower voltage of the segment if ment of the thermometer code is divided by a current divider
the digital bit is 1 but stay switched to the higher voltage if for the fine LSBs. As in the R ⫹ C capacitor array, the fine
0. This segmented DAC approach guarantees inherent mono- DAC divider should have a monotonicity of N ⫺ M bits. Al-
tonicity as far as the LSB DAC is monotonic within its resolu- though monotonicity is guaranteed with modest matching re-
tion. However, INL is still poor because the MSB is set by quirement, it is still limited in INL due to the limited match-
the resistor string. Fully differential implementation of this ing accuracy in the MSB. This segmented current DAC, with
architecture is known to benefit from the lack of the even- and without trimming, is the most widely used DAC archi-
order nonlinearity and to achieve high INL. tecture.
The same idea used in the R ⫹ C combination DAC can be
implemented using two DACs of the same type. A segmented DAC CONVERSION ACCURACY
DAC with total N bits is shown in Fig. 10. For monotonicity,
the MSB M bits are selected by a thermometer code, but one DAC performance is directly affected by static linearity and
of the MSB current sources corresponding to the next seg- dynamic settling. The former is limited by the matching accu-
DIGITAL-TO-ANALOG CONVERSION 525

Reset

2 NC
Vr

R –
Out
2 N – 1C 4C 2C C +

bN bN b3 b3 b2 b2 b1 b1

R
Thermometer-coded
M MSBs Figure 9. R ⫹ C combination monotonic
DAC.

racy of passive or active components, and the latter is limited speed DACs, most DAC output should settle exponentially
by nonideal settling. When a DAC is used in the feedback with a well-defined time constant. Main sources for nonideal
path of an ADC, the DAC linearity also affects the ADC lin- dynamic settling errors are slew, glitch, and clock jitter.
earity. In ADC applications, the number of bits per stage is
determined by how many bits are resolved in the DAC, de-
Element Matching
pending on ADC architecture. However, the dynamic DAC
settling requirement in ADCs is less stringent than those Mismatch between elements occurs because of parasitic ele-
used as stand-alone devices. As explained in Fig. 2, stand- ments, uncertainties in the size of drawn shapes, and other
alone DACs should settle either infinitely fast or purely expo- varying process parameters. There is typically about ⫾0.2%
nentially in making transitions from one level to another. mismatch between two resistors of the same value drawn
Because fast settling is not possible except for extremely low- with a length of 10 to 20 애m. For similar reasons, capacitors


Out
+

Binary N–M bits

÷2 ÷4 ÷2N–M ÷2N–M

N–M bit current divider

Thermometer coded M bits

Ir Ir Ir Ir Ir
2M 2M 2M 2M 2M

Segments Next Segments


to output segment dumped

Figure 10. Two-level segmented monotonic DAC.


526 DIGITAL-TO-ANALOG CONVERSION

15

Time
Number of occurrences

10

(a)

5
Time

0 (b)
0 0.01 0.02 0.03 0.04 0.05 0.06 0.07
Figure 12. DAC transient errors: (a) settling and (b) slew.
DNL (LSB)

20
one value to another is an exponential function. High-speed
18 DACs usually have a current output, and the output is usu-
ally terminated with a 50 or 75 ⍀ low-impedance load. There-
16 fore, the current output DAC can be designed so that the out-
put may settle exponentially with a fixed time constant.
Number of occurrences

14
However, for voltage output DACs, either a transconductance
12 amplifier or a sample-and-hold amplifier is used as a buffer
amplifier. In general, all amplifiers slew to a certain degree if
10 a large transient signal is suddenly applied to the input. Fig-
8 ure 12 shows two waveforms with transient errors resulting
from exponential settling and slew limiting.
6 In the exponential-settling case, the transient error de-
fined by the shaded area is proportional to the height of the
4 jump. This implies that any single time-constant settling does
2 not produce any nonlinearity error. In reality, amplifiers set-
tle with multiple poles although one of them is dominant. To
0 see this effect, consider a two-pole settling case. The transient
0 0.5 1 1.5 2 2.5
error can be calculated as follows:
INL (LSB)
 
τ12 τ22
Figure 11. Simulated DNL and INL of a 12-bit DAC with 0.1% com- Transient error = h + (7)
ponent matching. τ1 − τ2 τ2 − τ1

where ␶1 and ␶2 are the two time constants. As in the single


and transistors also show mismatch due to process variations. time-constant case, the transient error is a linear function of
The effect of component mismatch appears as static nonline-
arity. Because of statistical randomness of the process varia-
tion, the mismatch effect on the DAC performance can be bet- 75
ter understood using statistical Monte Carlo analysis. Figure
11 show the distribution characteristics of DNL and INL of a 70
12-bit segmented DAC with 1% random mismatch in current
sources and the next-segment divider. The 12 bits are parti- 65
SNDR (dB)

tioned into four thermometer-coded MSBs and binary-


weighted 8-bit LSBs. The x-axes for both graphs are in units 60
of LSB, whereas the y-axes represent the total number of oc-
currences out of 100 samples. The segmented DAC architec- 55
ture guarantees monotonicity regardless of the amount of
50
mismatch in the elements. There exist many samples with
INL greater than 1 LSB, but no DNL exceeds 1 LSB. 45
0.5 1 1.5 2 2.5
Slew and Settling Normalized slew rate
The output of a DAC is a sampled step waveform held con- Figure 13. SNDR degradation of a 12-bit DAC due to limited slew
stant during a word clock period. The ideal transition from rate.
DIGITAL-TO-ANALOG CONVERSION 527

0.6

0.4
Magnitude (V)
0.2

– 0.2

– 0.4

500 1000 1500 2000 2500 3000 3500 4000

(a)

0.1

0.08
Magnitude (V)

0.06

0.04

0.02

0
0 10 20 30 40 50 60 70 80 90 100
(b)

SNR = 75.44 dB SDR = 80.17 dB SNDR = 74.18 dB


0

-20

-40
Magnitude (dB)

-60

-80

–100

–120
0 100 200 300 400 500 600 700
(c)

Figure 14. 12 bit DAC output with two poles: (a) time waveform, (b) its detail, and (c) its FFT.

h, and the preceding result implies that the two-pole settling where Tc is the clock period (5). For a given distortion level,
generates only linear errors and will not affect the DAC lin- the minimum slew rate is given. Any sinusoidal waveform
earity. has a maximum slew rate of 웆0V0 at the zero-crossing point.
However, in the latter slew-limited case, the area is pro- Therefore, if a DAC has a slew rate much higher than this
portional to h2. From the simple slewing distortion model, the maximum slew rate, the DAC output will exhibit negligible
worst case harmonic distortion (HD) when generating a sinus- slew-limited distortion. The summary plot of the SNDR vs.
oidal signal with a magnitude V0 with a limited slew rate of slew rate of a 12 bit DAC example is shown in Fig. 13, where
S is the x-axis unit is normalized to the maximum slew rate of the
sinusoidal waveform. As expected from Eq. (8), the SNDR is
ωTc proportional to the slew rate. Figures 14 and 15 show the
sin2
HDk = 8 2 × V0 , k = 1, 3, 5, 7, . . . (8) simulated output spectrums of a 12-bit DAC for two cases.
πk(k2 − 4) STc One is the two-pole settling case, and the other is the slew-
528 DIGITAL-TO-ANALOG CONVERSION

0.6

0.4

Magnitude (V)
0.2

– 0.2

– 0.4

500 1000 1500 2000 2500 3000 3500 4000

(a)

0.1

0.08
Magnitude (V)

0.06

0.04

0.02

0
0 10 20 30 40 50 60 70 80 90 100
(b)

SNR = 71.55 dB SDR = 56.55 dB SNDR = 56.42 dB


0

–20

–40
Magnitude (dB)

–60

–80

–100

–120
0 100 200 300 400 500 600 700
(c)

Figure 15. 12 bit DAC output with slew limit: (a) time waveform, (b) its detail, and (c) its FFT.

limited case. As predicted in Fig. 13, the SNDR of the former where more current sources are switched on can exhibit a
case stays high at 74 dB, whereas the latter case suffers from slower settling time than that of lower output levels.
lower SNDR of 56 dB. The harmonics of the input increase
drastically in the slew-limited case.
Glitch and Clock Jitter
One more nonideal factor that contributes to the settling
of a DAC is the parasitic capacitance. The parasitic capaci- Ideally, all bits of the digital input word should switch at the
tance of the current sources shifts the poles to display a code- same time so that any change in the digital word can be re-
dependent time constant. As a result, the dominant pole of flected in the analog output waveform simultaneously. How-
a DAC varies according to input digital words. Because the ever, even with the use of an input data latch to synchronize
parasitic capacitances are additive, the higher output levels the digital word, different data paths cause a slight variation
DIGITAL-TO-ANALOG CONVERSION 529

MSB switches on early Even if the output waveform is correctly generated from the
MSB switches off late input word, the timing error will raise the noise floor of the
DAC. If the jitter has a Gaussian distribution with a root-
mean-square jitter of ⌬t, the worst-case SNR resulting from
this random word clock is
Time
2π f t
SNR = −20 × log √ (9)
MSB switches on late M

MSB switches off early where f is the signal frequency and M is the oversampling
ratio. The timing jitter error is more critical in reproducing
(a) high-frequency components. In other words, to make an N-bit
DAC, an upper limit for the tolerable word clock jitter is

1 2M
Jitter < (10)
2πB2N 3
Time
The simulated spectrum of a 12 bit DAC with 5% clock jitter
is shown in Fig. 18. The effect is about the same as the glitch
case. Figure 19 shows the SNDR of the same 12 bit DAC as
Clock jitter functions of glitch and clock jitter percentages of the sampling
period. It clearly demonstrates that the SNDR decreases as
(b) both glitch and clock jitter effects become more significant.
Figure 16. Effects of (a) MSB glitch and (b) clock jitter.
HIGH-RESOLUTION TECHNIQUES
in the switching time of the digital bits. This phenomenon
The current trend of high resolution at high frequencies has
causes a glitch in the output and affects the performance of
stimulated many innovative DAC developments. Although
the DAC. For example, at the major code transition in a bi-
there may be numerous approaches in making high-resolu-
nary-weighted DAC, the digital word changes from 011 . . .
tion DACs, only two distinctive approaches need special men-
1 to 100 . . . 0. If all bits change simultaneously, then the
tioning. They are the monotonic segmented approach and the
output should increase exponentially by one LSB step. How-
oversampling interpolative approach. The former is exclu-
ever, due to the slight time differences between switching of
sively used for high-speed DACs, but the oversampling ap-
the digital bits, there may be an instance where the MSB bit
proach is dominant at low-speed applications such as for in-
switches on before the rest of the digital bits turn off, thus
strumentations, digital audio, and digital communication
creating the appearance of the digital word 111 . . . 1 even
channels. For high-resolution DACs, bipolar and bipolar-
momentarily. This will cause a positive spike in the output as
CMOS (BiCMOS) DACs are superior to bulk complementary
shown in Fig. 16(a). Similarly, there may be an instance when
MOS (CMOS) counterparts in speed. In nonoversampling
the MSB switches on after the remaining digital bits turn off,
DACs, linearity of more than 12 b requires resolution-enhanc-
thus creating the appearance of digital word 000 . . . 0. This
ing methods such as trimming, dynamic matching, and elec-
will cause a downward trough in the output as shown. The
tronic calibration.
same glitch occurs when the MSB switches off.
Obviously this situation is the worst case. A more realistic
Trimming
glitch spike and its effect on the output spectrum is shown in
Fig. 17 where 5% glitch is simulated for the same 12-bit DAC Component matching is limited by many variations in physi-
with a sinusoidal input. The frequency spectrum of the output cal dimensions and process parameters. The effect of random
with glitches exhibits an elevated noise floor. Although a few size variation is reduced using physically large dimension.
techniques such as segmented antisymmetric switching have Careful layout using common centroid or geometric averaging
been proposed to alleviate the glitch problem, an easier solu- can also reduce the process gradient effect. However, it is pos-
tion is to employ a sample-and-hold amplifier at the DAC out- sible to further improve component matching by trimming on
put as a deglitcher. The basic idea of deglitching is to keep the wafer in a post-fabrication process. Resistor value can
the DAC in the hold mode until all the switching transients be either laser trimmed (6), Zener-zapped (7), or electroni-
have settled. If the deglitcher is faster than the DAC, the slew cally controlled by switches using programmable read-only-
rate limitation may improve. However, if the slew rate of the memory (PROM) and erasable PROM (EPROM). The laser-
deglitcher is of the same order as the DAC, the slew distortion trimming and the Zener-zapping processes are nonreversible.
will still exist, now as an artifact of the deglitcher. The long-term stability of trimmed resistors is a major con-
Another major source of conversion error is the nonideal cern, although electronical trimming using EPROM can be re-
clock jitter. The glitch results from the switching time differ- peated.
ence of digital bits while the clock jitter results from the ran- The laser-trimming method involves using a focused laser
domness of the clock edge itself. The clock jitter generates beam to melt away part of the resistors to change their val-
errors as explained in Fig. 16(b). The right signal at the ues. The precision required in the process and the irreversibil-
wrong time is the same as the wrong signal at the right time. ity of the process make this option expensive. Because laser
530 DIGITAL-TO-ANALOG CONVERSION

0.6

0.4

Magnitude (V)
0.2

– 0.2

– 0.4

500 1000 1500 2000 2500 3000 3500 4000

(a)

0.14

0.12
Magnitude (V)

0.1

0.08

0.06

100 110 120 130 140 150 160 170 180 190 200
(b)

SNR = 56.09 dB SDR = 56.33 dB SNDR = 53.20 dB


0

–20

–40
Magnitude (dB)

–60

–80

–100

–120
0 100 200 300 400 500 600 700
(c)

Figure 17. 12 bit DAC output with 5% glitch: (a) time waveform, (b) its details, and (c) its FFT.

trimming is continuous, very accurate measurement tools are in question. The new trend is toward a more intelligent solu-
needed to detect low levels of mismatch. The Zener-zapping tion that involves the ability to recalibrate on demand by
method creates a resistor of desired value by inserting a se- moving the error measurement process on-chip.
ries of small incremental resistors and by selectively by-
passing them. Zener diodes connected in parallel with resis-
Dynamic Matching
tors are melted with short current pulses over hundreds of
milliamperes. Fusible aluminum links are also used in this The idea of dynamic matching is to improve the matching ac-
discrete trimming technique. Like laser trimming, the Zener- curacy by time-averaging two component values (8). Figure
zapping method is also irreversible and requires precision 20 explains how the dynamic-matching technique can be ap-
equipment to measure matching errors. Furthermore, the sta- plied to current dividers. Assume that two current sources are
bility of the trimmed values over a long period of time is still mismatched by ⌬. By commuting the two currents I1 and I2 at
DIGITAL-TO-ANALOG CONVERSION 531

0.6

0.4

Magnitude (V)
0.2

– 0.2

– 0.4

500 1000 1500 2000 2500 3000 3500 4000

(a)

0.1

0.08
Magnitude (V)

0.06

0.04

0.02

0
0 10 20 30 40 50 60 70 80 90 100
(b)

SNR = 57.11 dB SDR = 60.05 dB SNDR = 55.33 dB


0

–20

–40
Magnitude (dB)

–60

–80

–100

–120
0 100 200 300 400 500 600 700
(c)

Figure 18. 12 bit DAC output with 5% jitter: (q) time waveform, (b) its detail, and (c) its FFT.

high speed to two new output ports with a 50% duty, the mis- this pattern noise, the clock frequency should be raised or the
match error ⌬/2 will be modulated by the high clock fre- lowpass filters should have a sharper cutoff slope. A more so-
quency. If these are lowpass filtered, the average current I phisticated randomizer selects the switching path randomly
will come out of the two terminals. This dynamic matching with an equal probability to each output terminal using a
can be generalized for a large number of matching compo- pseudorandom number generator. This general randomizer
nents using the butterfly-type randomizer as shown in Fig. 21 can distribute mismatch errors over a wider frequency range.
for the four-element matching case. The switches are con- At least an order of magnitude improvement is achieved using
trolled so that the four outputs can be the average of the four the dynamic matching element method.
currents. In this case, the modulating frequency is half of the An alternative concept to dynamic matching is to replicate
clock frequency. For matching a large number of elements, current or voltage unit element. The idea is to copy one mas-
this fixed pattern noise moves to lower frequencies. To reduce ter voltage or current repeatedly on voltage or current sam-
532 DIGITAL-TO-ANALOG CONVERSION

80 1,2,3,4
1 LPF 1+2+3+4
75

70 2,3,4,1
2 LPF 1+2+3+4
SNDR (dB)

65
3,4,1,2
60 3 LPF 1+2+3+4
55
4,1,2,3
4 LPF 1+2+3+4
50

45 Figure 21. Butterfly-type randomizer.


0 1 2 3 4 5 6 7 8 9 10
Glitch (%)
(a)

80 is limited to N bits, it is equivalent to having passive compo-


nents matching of N bits. Note that voltage and current sam-
75 pling schemes alone are not sufficient enough to make a high-
70 resolution DAC. This approach is generally limited to creating
SNDR (dB)

MSBs for segmented DACs or for multistep ADCs. The


65 amount of time required to copy one master source repeatedly
60 but accurately makes it impractical for high-speed DAC appli-
cations.
55

50
Electronic Calibration
45
0 1 2 3 4 5 6 7 8 9 10 Calibration is another alternative to the trimming and dy-
Jitter (%) namic matching methods. It has become an intelligent elec-
(b) tronic solution preferred to the factory trimming process. The
electronic calibration predistorts the DAC transfer character-
Figure 19. SNDR vs. (a) glitch and (b) clock jitter. istic so that the DAC linearity can be improved. DAC nonline-
arity errors are measured and stored in memory. Later dur-
plers so that the sampled ones can be used to ratio elements. ing normal operation, these errors are subtracted from the
The voltage is usually sampled on the holding capacitor of DAC output. Error subtraction can be done either in the ana-
sample-and-hold amplifier, and the current is sampled on the log domain or in the digital domain. Calibration methods dif-
gate of the MOS transistor (9). This sampling method is ulti- fer from one another in the measurement of nonlinearity er-
mately limited by sampling errors. If the sampling accuracy rors. The most straightforward method is a direct code-
mapping technique. All DAC code errors must be measured
and stored in ROM. This method is limited because it re-
I I quires precision measurements and large digital memory. A
more robust way of calibrating a DAC electronically is self-
calibration. This incorporates all the calibration mechanisms
LPF and hardware on the DAC as a built-in function so that users
can recalibrate whenever calibration is necessary.

I– ∆ Self-calibration is based on the assumption that the seg-
I1 I2 I+
2
2 mented DAC linearity is limited by the MSB matching so that
I1 I
only errors of the MSBs can be measured, stored in memory,
and recalled during normal operation. There are two different
φ1 φ2
methods of measuring the MSB errors. In one method, indi-
vidual binary bit errors, usually appearing as component mis-
I2 I match errors, are measured digitally (10). The total error,
I+∆
I– ∆ 2 which is called a code error, is computed from individual-bit
2 errors depending on the digital code during normal conver-
I+ ∆ I– ∆ sion. The other method measures segment errors and accu-
2 2
mulates them to obtain code errors. Because code errors are
stored in memory, there is no need for the digital code-error
computation during normal operation (11). The former re-
Figure 20. Dynamic matching of two currents and modulated two quires less digital memory, whereas the latter requires fewer
currents. digital computations.
DIGITAL-TO-ANALOG CONVERSION 533

set to the average of the two tap values obtained with the two
measurements. The same principle can be applied to measure
+ the current difference as shown in Fig. 23. The calibration
DAC measures I1 first using the up/down converter and
moves on to measure I2. The difference between the two mea-
V1 R1 surements is the current mismatch error.

Calibration
– DAC INTERPOLATIVE OVERSAMPLING TECHNIQUE
Up/
+ down
All DACs have a discrete output level for every digital input
word applied to their input. Although digital numbers can
grow easily, generating a large number of distinct analog out-
V2 R2
put levels is a difficult task. The oversampling interpolative
DAC achieves fine resolution by covering the signal range
– with a few widely spaced levels and interpolating values be-
tween them. Rapid oscillation between coarse output levels is
controlled so that the average output may represent the ap-
plied digital word with reduced noise in the signal band (12).
This process is a tradeoff between speed and resolution. The
Figure 22. Resistor ratio calibration scheme.
oversampling idea is to achieve high resolution with less accu-
rate component matching and has been most widely used to
make DACs that need high resolution at low frequencies.
Two examples of DAC ratio measurements are conceptu- The interpolative oversampling DAC architecture is shown
ally explained in Figs. 22 and 23. In the resistor ratio mea- in Fig. 24. A digital interpolator raises the word rate to a
surement of Fig. 22, the voltage across the two resistors is frequency well above the Nyquist rate for oversampling. The
sampled on the capacitors, and the comparator is nulled. Dur- interpolated data stream is applied to a digital truncator to
ing the next cycle, the capacitor bottom plates are connected shorten the word length. This data stream of shorter words,
to the center point to sense the difference of V1 and V2. The usually a one-bit stream, is converted into analog waveform
comparator decision will move the center tap connected to the at the oversampling rate. This oversampled output has a low
fine calibration DAC. This capacitive sensing is limited by the truncation error in the signal band, and the out-of-band trun-
capacitor-matching accuracy between C1 and C2. To average cation noise is filtered out using analog low-pass filter (LPF).
out this capacitor mismatch error, the same measurement can Figure 25 illustrates this oversampling D/A conversion
be repeated with C1 and C2 swapped. The final center tap is process.
The sampling rate upconversion for this is usually done
using two upsampling digital filters. The first filter, usually a
Calibration DAC two to four times oversampling FIR filter, shapes the signal
band for sampling rate upconversion and equalizes the pass-
band droop resulting from the (sin x)/x filter for higher-rate
oversampling. The truncator is made of a feedback system
called a delta-sigma modulator that pushes the truncation er-
ror out to high frequencies while passing the signal band un-
attenuated. Using a linearized model, the z-domain transfer
function of the general digital truncator shown in Fig. 24 is
Up/
down H(z) 1
V0 (z) = V (z) + E(z) (11)
1 + H(z) i 1 + H(z)

where E(z) is the truncation error. The loop filter H(z) is cho-
sen so that the truncation error may be high-pass filtered
while the input signal is low-pass filtered. The order of noise
shaping depends on the order of H(z).
The oversampling effect begins to appear when the
oversampling ratio is larger than 2. The noise of the Nth-or-
der loop is suppressed by 6N ⫹ 3 dB for every doubling of the
sampling rate, providing N ⫹ 0.5 extra bits of resolution.
Therefore, the dynamic range achievable by oversampling is
I1 I2
Dynamic range ≈ (6N + 3)(log2 M − 1) dB (12)

where M is the oversampling ratio between the sampling fre-


Figure 23. Current ratio calibration scheme. quency and twice the signal bandwidth. For example, a sec-
534 DIGITAL-TO-ANALOG CONVERSION

N << M
usually N = 1

M M N
Digital Interpolation Truncation DAC Analog
Analog
in filter, K loop LPF
fs fs fs out
K

M + M+1 M+1 N
Digital
Σ H(z)
truncator

N

Figure 24. Interpolative oversampling DAC system.

ond-order loop with 256 times oversampling gives a dynamic Another variation of the high-order modulator is the cas-
range of over 105 dB, but the same dynamic range can be cading method (14). Rather than using error feedback, modu-
obtained using a third-order loop with only 64 times oversam- lators can be cascaded to reduce the truncation noise in the
pling. same way. Figure 26(c) shows a second-order cascade modula-
tor example. The truncation error E1 of the first modulator is
Digital Truncator modulated again using another first-order modulator. If the
truncation error of the second modulator is E2, the outputs of
A noise-shaping delta-sigma modulator for digital truncation the two modulators Y1 and Y2 become
can be built in many different ways. The simplest three exam-
ples are shown in Fig. 26. The first-order loop shown in Fig. Y1 (z) = z−1 X (z) − (1 − z−1 )E1 (z) (15)
26(a) outputs only N MSBs out of M ⫹ 1 bits from the inte-
grator output. The remaining truncation error of M ⫺ N ⫹ 1 Y2 (z) = z−1 E1 (z) − (1 − z−1 )E2 (z) (16)
is fed back to the digital integrator along with the input.
Therefore, the output of the first-order modulator becomes respectively. The two outputs are added after they are
multiplied by z⫺1 and 1 ⫺ z⫺1, respectively. Then the final out-
Y (z) = z−1 X (z) − (1 − z−1 )E(z) (13) put becomes

This implies that the input appears at the output just de- Y (z) = z−2 X (z) − (1 − z−1 )2 E2 (z) (17)
layed, but the truncation error in the integrator loop is high-
pass filtered with one zero at dc. This is the same second-order modulator output given by
In general, first-order designs tend to produce correlated Eq. (14). The problem with the cascading approach is that
idling patterns and require a high oversampling ratio to sup- the output word is always longer than 2, even though two
press inband truncation noise effectively. By increasing the cascaded modulators have one-bit output (N1 ⫽ N2 ⫽ 1).
order of the error transfer function, the inband truncation er- That is, the higher-order noise shaping is possible with
ror can be suppressed with steeper-slope high-pass filter. The cascading, but error occurs in the output D/A conversion.
standard second-order modulator can be implemented as The multibit output DAC requires the same accuracy as
shown in Fig. 26(b) using a double integration loop. The out- conventional DACs.
put of the second-order modulator becomes
One-Bit Switched-Capacitor DAC/Filter
−2 −1 2
Y (z) = z X (z) + (1 − z ) E(z) (14) Digital processing for modulation and filtering is not limited
in accuracy. However, all oversampling DACs are followed by
This second-order modulator is vastly superior to the first- an analog DAC and an analog low-pass filter, and DAC per-
order one both in terms of the oversampling ratio and the formance depends entirely on the rear-end analog compo-
improved randomness of the idling patterns. However, even nents. The idea of oversampling to alleviate the component-
the second-order loop is not entirely free of correlated fixed matching requirement for high resolution does not apply to
patterns in the presence of small constant inputs. If loop or- multibit DACs. Therefore, most oversampling DACs are de-
der is higher than 3, fixed pattern noise does not exist. High- signed with one-bit output. It is true that a continuous-time
order modulators can be implemented using the same method low-pass filter can convert the one-bit digital bitstream into
as in the standard filter design (13). an analog waveform, but it is difficult to construct an ideal
Frequency
(a)

···
Frequency
fs fs
K
(b)

Frequency
fs

(c)

Frequency
fs

(d)

Frequency
fs

(e)

Frequency
fs

(f)

Frequency
fs

(g)

Frequency
(h)

Frequency
(i)

Figure 25. Oversampling D/A conversion: (a) input spectrum, (b) sampled spectrum, (c) interpo-
lation filter, (d) interpolated spectrum, (e) noise-shaped spectrum, (f) sample-and-hold frequency
response, (g) sample-and-held spectrum, (h) low-pass filter, and (i) DAC output.

535
536 DIGITAL-TO-ANALOG CONVERSION

M + M+1 M+1 N
X Σ z–1 Y

+
E
M–N+1

(a)

M + + + + E N
X Σ Σ z–1 Σ Σ z–1 Y

– + – +

M+2 M+4
2

N
(b)

M + N1 Y1 +
X Σ z–1 z–1 Σ Y

+ M – N1 + 1 +
E1

+
N2 Y2
Σ z–1 1– z–1
+
E2

M – N1 + N2 + 2

(c)

Figure 26. Digital truncators: (a) first-order loop, (b) second-order loop, and (c) cascaded archi-
tecture.

undistorted digital waveform without clock jitter. If the bit- sudden voltage step at the summing node. This one-bit DAC/
stream is converted into a charge packet, a high linearity is filter configuration helps to reduce this voltage step because
guaranteed as a result of the uniformity of the charge pack- charge is directly dumped on the integrating capacitor.
ets. For this reason, most high-resolution oversampling DACs The shaped noise is out of band and does not affect the
are implemented using a switched-capacitor DAC/filter com- inband performance directly. More precise filtering of the
bination as the rear-end. shaped noise can be done with higher-order switched-capaci-
A one-bit switched-capacitor DAC with one-pole roll-off can tor filters combined with the one-bit DAC. One second-order
be built as shown in Fig. 27(a) using two-phase nonoverlap- filter combined with a one-bit DAC is shown in Fig. 27(b). It
ping clocks ␾1 and ␾2. The digital signal is fed on the bottom is a second-order switched-capacitor biquad implementing the
plate of C2 by sampling Vr or ⫺Vr depending on the digital bit. low-pass function. In oversampling applications, even a one-
The end result is that a constant amount of charge C2Vr is pole RC filter substantially attenuates high-frequency compo-
either added or subtracted from the integrator formed by C1 nents around f s, but higher-order continuous-time smoothing
and the operational amplifier. Because the digital signal is filters can be used. Analog filters for this application are often
converted into a charge packet, the shape of the charge packet implemented using a cascade of Sallen–Key filters made of
is not important as far as the incremental or decremental emitter follower unity-gain buffers.
charge amount is constant. The use of the prime clocks is to
make switch feedthrough errors constant by turning off the
top plate switches slightly earlier than the bottom plate DAC APPLICATIONS
switches. The bandwidth of the filter is set to f sC2 /(C1 ⫹ C2).
Operational amplifiers for this application should have high DAC architectures are mainly determined by the operating
dc gain and fast slew rate. Operational amplifiers start to speed. In general, D/A conversion rate is inversely propor-
slew when an input voltage larger than its linear range is tional to DAC resolution. DAC applications can range from 20
applied. When the charge packet of the sampled reference bits at a few tens of hertz to 6 bits at a couple gigahertz. The
voltage is dumped onto the input summing node, it causes a most well-known applications are for 8 bit telephone voice,
DIGITAL-TO-ANALOG CONVERSION 537

±VREF

φ 1’ C2
φ1

C1 φ2
φ 2’


Out
+

(a)

C2
φ 1’ φ1

C1
φ 2’ φ2

φ 1’ φ 2’
– –
Out
φ 1’ φ2 + +

±VREF
φ 2’ φ2

φ 1’ φ1

Figure 27. Time switched-capacitor


(b) DACs: (a) first order and (b) second order.

16 bit digital audio, 8 bit digital video, 8 to 12 bit waveform 44.1 kHz digital audio standard set for CD in the 1980s is
generation and high-resolution graphics, 12 bit communica- now seriously challenged by many improved high-definition
tion channel, and 8 to 14 bit wireless radio and cell site. As CD (HDCD) standards. The new DVD (Digital Versatile Disc)
discussed, two architectures stand out. They are oversam- format has the capacity of high-resolution uncompressed 20
pling DAC at low frequencies and segmented DAC at high bit audio at a 98 kHz sampling rate. Video or movie systems
frequencies. The former trades resolution for speed, but the also rely heavily on digital sound. Digital surround sound sys-
latter needs resolution-enhancing techniques for high linear- tems such as Dolby AC-3, DTS (Digital Theater System), and
ity because there is no room for speed to trade with reso- 5.1 digital surround systems have already become household
lution. names. R-2R or segmented current DACs with laser-trimmed
The 8 bit pulse-coded modulation (PCM) within a fre- film resistors are used to make 18 to 20 bit extremely linear
quency band of 300 Hz to 3.4 kHz has been a standard since DACs for digital audio. However, the oversampling technique
the 1970s for the telephone voice channel. One thing to note can meet the demanding performance requirements of digital
in the voiceband quantization is the use of the so-called 애-law audio without trimming.
coding scheme so that low-level signals can be quantized more In the 1990s, digital video is leading the multimedia revo-
finely than high-level signals. Because low-level resolution lution in modern digital communications. Compressed digital
approaches that of a 14 bit system, it is possible to maintain video images are now accessible over computer networks from
constant SNR over a wide range of signal magnitude. Most anywhere and at anytime. A 2 h MPEG-encoded (Motion Pic-
voiceband DACs have been made using capacitor-array DACs ture Experts Group) compressed video can now be stored on
and integrated in voiceband coder/decoder (CODEC) systems a 5 inch (12.7 cm) optical disc along with digital surround
using MOS processes. sound channels. Digital satellite networks provide quality
No field places a higher premium on DAC performance digital video, and new HDTV (High-Definition TV) will even-
than digital audio. New multimedia applications have opened tually replace existing analog TV. All these are now possible
up new audio DAC applications as set forth in the audio CO- through digitization of video signals. Standard NTSC video
DEC standard AC’97 (1). The new standard covers bit rates luminance signal covers about a 4 MHz bandwidth with color
of 8, 11.025, 16, 22.05, 32, 44.1, and 48 kHz. Even the 16 bit subcarrier at 3.58 MHz. The standard video luminance signal
538 DIODES

is sampled with 8 bit resolution at 13.5 MHz, whereas color 16. B. J. Tesch and J. C. Garcia, A low-glitch 14-bit 100MHz D/A
signals are sampled at 6.75 MHz or lower depending on the converter. IEEE Bipolar/BiCMOS Circuits and Technology Meet-
video formats. As more digital signal processing is used, the ing, 1996.
trend is to use 10 bits. In the new HDTV, the sampling rate
is about five times higher at above 75 MHz. BANG-SUP SONG
DACs have become indispensable in the digital era. Digiti- University of Illinois
zation started from voiceband in the 1970s, digital audio in
the 1980s, and digital video in the 1990s is about to move into
intermediate frequency (IF) and RF for wireless telecommuni- DIGITAL VIDEO. See IMAGE SEQUENCES; MULTIMEDIA
cations systems. Digitizing the IF in digital wireless systems VIDEO.
makes it possible to perform data modulation and demodula-
DIGITAL WIRELESS COMMUNICATIONS. See CEL-
tion digitally in software. Low-spurious ADC and DAC are
LULAR RADIO.
key components that will enable this software programmable
radio environment. The architecture that can deliver both DIODE LASERS, MANUFACTURING. See LASER DESK-
TOP MACHINING.
high-resolution and high-speed performance is limited to the
segmented DAC. The current art estimated by recent works DIODE, RESONANT TUNNELING. See RESONANT TUN-
is projected to be 14 to 16 bits at low hundreds of megahertz NELING DIODES.
range depending on process with trimming (15,16). However,
the DAC performance envelope will be pushed out further as
new architectures and device processes evolve.

BIBLIOGRAPHY

1. Analog Devices, Creative Labs, Intel, National Semiconductors,


Yamaha, ‘‘Audio Codel ’97,’’ Rev. 1.03, Sept. 1996.
2. M. J. M. Pelgrom and M. Roorda, An algorithmic 15-Bit CMOS
digital-to-analog converter. IEEE J. Solid-State Circuits, SC-23:
1402–1405, 1988.
3. J. J. Pastoriza, K. Krabbe, and A. A. Molinari, A High-Perfor-
mance Monolithic D/A Converter Circuit. IEEE Int. Solid-State
Circuits Conf., Feb. 1970.
4. J. M. McCreary and P. R. Gray, All-MOS charge redistribution
analog-to-digital conversion techniques—Part I. IEEE J. Solid-
State-Circuits, SC-10: 371–379, 1975.
5. D. M. Freeman, Slewing distortion in digital-to-analog conver-
sion. J. Audio Eng. Soc., 25: 178–183, 1977.
6. R. B. Craven, An Integrated Circuit 12-Bit D/A Converter. Dig.
IEEE Int. Solid-State Circuits Conf., Feb. 1975.
7. D. T. Comer, A monolithic 12-bit DAC. IEEE Trans. Circuits Syst.,
CAS-25: 504–509, 1978.
8. R. J. Van de Plassche, Dynamic element matching for high accu-
racy monolithic D/A converters. IEEE J. Solid-State Circuits, SC-
11: 795–800, 1976.
9. D. W. J. Groeneveld et al., A self-calibration technique for mono-
lithic high-resolution D/A converters. IEEE J. Solid-State Cir-
cuits, SC-24: 1517–1522, 1989.
10. H. S. Lee, D. A. Hodges, and P. R. Gray, A self-calibrating 15-
bit CMOS A/D converter. IEEE J. Solid-State Circuits, SC-19:
813–819, 1984.
11. S. H. Lee and B. S. Song, Digital-domain calibration of multistep
analog-to-digital converters. IEEE J. Solid-State Circuits, SC-27:
1679–1688, 1992.
12. J. C. Candy and A. H. Huynh, Double interpolation for digital-to-
analog conversion. IEEE Trans. Commun., 34: 77–81, 1986.
13. W. L. Lee and C. G. Sodini, A Topology for Higher-Order Interpo-
lative Coder. Proc. Int. Symp. Circuits Syst., May 1987.
14. T. Hayashi et al., A Multistage Delta-Sigma Modulator without
Double Integration Loop. IEEE Int. Solid-State Circuits Conf.,
Feb. 1986.
15. D. Mercer, A 16-b D/A Converter with Increased Spurious Free
Dynamic Range, IEEE J. Solid-State Circuits, SC-29: 1180–
1185, 1994.
570 DIODE-TRANSISTOR LOGIC

and is thus not large enough to turn on DL and QO. Hence,


QO is cutoff and IRC ⫽ IC ⫽ 0. The output voltage can be found
by following dashed path 2 to obtain

VOUT = VCC − IRC RC = VCC = VOH

Input Low Voltage (VIL)


DIODE-TRANSISTOR LOGIC
Increasing VIN to the point where QO just turns on is achieved
The first transistor logic family was developed in the 1950s and when
was named resistor transistor [bipolar junction transistor
(BJT)] logic (RTL). To improve upon RTL circuits of the past, VIN = VBE (FA) = VIL
diode-transistor logic (DTL), was introduced based upon the de-
sign of preexisting circuits. As the name implies, circuits of the where VBE(FA) is the B–E base-emitter forward active turn-
DTL logic family utilize diodes and transistors in their design. on voltage. The corresponding increase in VX is
In 1964, a version of DTL was introduced in integrated cir-
VX = VIN + VD, I (ON) = VBE (FA) + VD (ON)
cuit (IC) form that became the standard digital IC family of
that time. This line of DTL, marketed as the 930 series, is easy = VBE, O (FA) + VD, L (ON)
to fabricate in IC form and was the standard digital IC for ap-
proximately 10 years after introduction in 1964. This family where VD(ON) is the diode turn-on voltage and both DL and
was still in use in some military applications in the late 1980s. QO turn on (conduct) when QO is forward active. With QO for-
In this article we describe the evolution of the DTL logic ward active, VOUT ⫽ VCC ⫺ ICRC and begins to reduce from
family, describing in detail the operation and design of these VCC for increases in VIN as IC,O increases.
circuits. Several numerical examples are also included as an
aid to understanding. We begin with the basic inverter gate. Output Low Voltage (VOL)
Increasing VIN (and therefore VX) further will eventually
BASIC DTL INVERTER
drive QO into saturation, giving
Figure 1 shows the basic DTL inverter and its voltage trans- VOUT = VCE, O (SAT) = VOL
fer characteristic. It should be mentioned that the primary
reason that DTL logic circuits were introduced was to over-
where VCE,O(SAT) is the B–E saturation voltage.
come the low fan-out of RTL for VOUT ⫽ VOH. From Fig. 1, note
that when VOH is the input voltage to a load gate, the input
Input High Voltage (VIH)
diode D⬘I is reverse-biased and sinks only the reverse satura-
tion current, and this current is extremely small. Transistor QO enters saturation at VIN ⫽ VBE(SAT) ⫽ VIH
where VBE(SAT) is the B–E saturation voltage, which is
Output High Voltage (VOH) slightly larger than VBE(FA).
For VIN low, the input diode DI is forward-biased as can be Since VX cannot increase any further, subsequent increas-
seen by following dashed path 1 in Fig. 1. The voltage be- ing of VIN opens D1. Resistor RB must be chosen small enough
tween the diodes is given by such that QO is in saturation when VX increases to

VX = VIN + VD, I (ON) < VD, L (ON) + VBE, O (FA) VX = VBE (SAT) + VD (ON)

VCC VOUT(V)
1 2
VOH = VCC
1 2
RC
RB 2
VOUT
1
DI DL
1 1
VIN QO
x
2

VOL = VCE (SAT)


VIN(V)
VIH = 0.8
VIL = 0.7

(a) (b)
Figure 1. Basic DTL inverter. (a) Circuit. (b) Voltage transfer characteristic.

J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
DIODE-TRANSISTOR LOGIC 571

VCC ditional-level shifting diode also avoids the problem of QO


turning on before VIN reaches VBE(FA). The addition of DL2 in-
creases VIL and VIH by VD(ON) ⫽ 0.7 V.
RC
RB Discharge Path
VOUT The inclusion of RD and ⫺VEE at the base of QO provides a
DIA DL path for discharge of the stored base charge of QO, when
VINA QO switched from saturation to cutoff. This decreases the transi-
tion period and propagation delay time. The need for an addi-
DIB tional source voltage (and corresponding pin on the IC) can
VINB
be avoided by simply taking ⫺VEE to be ground and using a
smaller-valued resistance for RD.
Diode AND gate BJT inverter
Figure 2. Basic DTL NAND gate.
TRANSISTOR MODIFIED DTL

The logical NOT function is verified by examination of the The fan-out of DTL circuits can be further improved by re-
resulting voltage transfer characteristic of Fig. 1(b). Since placing the level-shifting diode DL2 with a BJT QL and split-
VIL and VIH differ only by VBE(SAT) ⫺ VBE(FA), the transition ting RB into two resistors, ␳RB and (1 ⫺ ␳)RB, whose sum is
width between output high and low logic states is quite RB, as in Fig. 4. When QL is on, it is self-biased to operate in
abrupt. The actual width can be obtained experimentally or the forward-active region and is used in an emitter–follower
by circuit simulation with results quite close to these. configuration. This circuit improves fan-out by QL, providing
more base-driving current to QO, allowing QO to sink more
Basic DTL NAND Gate current from an output load. If ␳ ⫽ 1, the base-collector junc-
Notice that addition of another input diode, as shown in Fig. tion of QL is shorted, causing QL to become a diode. The circuit
2, resembles a diode AND gate connected to a BJT inverter. then reduces to the one in Fig. 3. The role of each element of
Indeed, the NAND function is inherently provided by the DTL the DTL gate in Fig. 4 is summarized in Table 1. The state of
logic family by adding diodes in parallel at the input pointing each diode and BJT is tabulated in Table 2.
outward (OUT).
Example 1. Voltage Transfer Characteristic of Transis-
MODIFIED DTL tor Modified DTL. Determine the voltage transfer charac-
teristic (VTC) of the transistor modified DTL inverter in Fig.
Additional Level-Shifting 4. Use VD(ON) ⫽ 0.7 V for the diodes and VBE(FA) ⫽ 0.7 V,
VBE(SAT) ⫽ 0.8 V, VCE(SAT) ⫽ 0.2 V for the BJTs, and VCC ⫽
Figure 3 shows a modified DTL inverter with an additional
5 V.
level-shifting diode DL2 added in series with DL to shift the
logic level high-to-low transition by VD(ON) on the VTC input
voltage axis. This improves the low noise margin NML, while SOLUTION. The VTC for this improved DTL inverter is
still exhibiting an acceptable high noise margin NMH. The ad- found in a manner analogous to the method in the section

VOUT(V)
VCC
QO(OFF)
VOH
Edge of
conduction
RC
RB
VOUT
QO(\FA)
DI DL2 DL
VIN QO Edge of
saturation
Additional level RD
QO(SAT)
shifting diode VOL = VCE
–VEE (SAT) VIN(V)
VIH = 1.5
Discharge
path VIL = 1.4

(a) (b)
Figure 3. Modified DTL with diode DL2 and discharge path.
572 DIODE-TRANSISTOR LOGIC

VCC Table 2. State of Diodes and BJTs for Output High and
Low Levels
Element VOH VOL
DI On Cutoff
ρ RB 1.75 k⍀
RC 6 k⍀ QL Cutoff Forward active
DL Cutoff On
QO Cutoff Saturated
(1 – ρ )RB 2 k⍀
VOUT

VIN QL DTL NAND GATE


DL
QO Adding additional inputs to a DTL inverter, as in Fig. 5, pro-
vides a circuit that performs the NAND function. This can be
RD 5 k⍀ seen by observing when any or all inputs are low,

VX = VIN (low) + VD, I (ON)


< VBE, L (FA) + VD, L (ON) + VBE, O (FA)

Figure 4. Transistor modified DTL (930 series).


and QO is cutoff with

VOUT = VOH = VCC


entitled ‘‘Basic DTL Inverter.’’ For VIN low, QO is cutoff and
for VIN high, QO is saturated. Thus, When all inputs are high, VX is high, allowing QO to saturate
(provided that ␳RB is chosen properly) and
VOH = VCC = 5V
VOUT = VCE, O (SAT) = VOL
and
Thus, this basic DTL logic family provides the logical NAND
VOL = VCE, O (SAT) = 0.2 V operation.

Furthermore, QO turns on at
DTL FAN-OUT
VIL = VBE, O (FA) + VD, L (ON)
In determining the maximum fan-out for DTL logic gates, we
+ VBE, L (FA) − VD, I (ON) consider the case where VOUT ⫽ VOL for the driving gate. The
= 2VBE (FA) = 2(0.7) = 1.4V opposite case, where VOUT ⫽ VOH for the driving gate, reverse-
biases the input diodes of all load gates. Such gates sink very
and just enters saturation when little current and hence do not impose a current limitation on
fan-out. On the other hand, a low driving gate output voltage
VIH = VBE, O (SAT) + VD, L (ON) is established with all driving gate inputs high, and fan-out
+ VBE, L (FA) − VD, I (ON) is limited for this situation.
= VBE (SAT) + VBE (FA)
= (0.8) + (0.7) = 1.5 V VCC

Note that QL can never saturate because the voltage polarity


for the resistor (1 ⫺ ␳)RB maintains a negative VBC,L for QL.
ρ RB 1.75 k⍀
RC 6 k⍀
Table 1. Purpose of DTL Elements
Element Purpose (1 – ρ )RB 2 k⍀
VOUT
DI Input diode, limits IIH , and provides ANDing
␳ RB Limits IIL VINA QL
(1 ⫺ ␳)RB Self-biases QL DIA DL
QL Base-emitter level shifting for shift of transition width QO
and provides base driving current to QO VINB
DL Level shifting diode for shift of transition width DIB
RD 5 k⍀
RD Provides discharge path for saturation stored charge
VINi
removal from base of QO
DIi
QO Output inverting BJT and output low driver for current
sinking pull-down
RC Passive current sourcing pull-up
Figure 5. 930 Series DTL NAND gate.
DIODE-TRANSISTOR LOGIC 573

VCC
IOL
N=
IIL

I'IL1
ρ RB 1.75 k⍀
RC 6 k⍀

I'IL2
VOL = V'IN N identical
(1 – ρ )RB 2 k⍀
load gates

VINA IOL
QL
I'ILN
DIA DL
QO
VINB
DIB
RD 5 k⍀
VINi
DIi
Figure 6. DTL NAND gate in output low
state driving N gates.

The maximum fan-out is obtained by determining how ual device and components in the output load gate have a
much output current IOL the driving gate can sink from multi- prime to distinguish the load gate components from the driv-
ple, identical output gates as depicted in Fig. 6. Since each ing gate components.
load gate will have the same input current IIL, from Kirch-
hoff ’s current law we obtain Input Low Current (IIL)
IIL is found by following dashed path 1 of Fig. 7, and then
NIIL = IOL
writing this current as the difference in voltage across the
resistors in series divided by the sum of the resistors.
or Hence,
IOL  
N= 
VCC − VD, I (ON) − VCE, O (SAT)
IIL IρRB = IIL =
ρRB + (1 − ρ)RB
VCC − VD (ON) − VCE (SAT)
To determine N, we use Fig. 7, which shows one of the load = = IRB
gates explicitly with VOUT ⫽ V⬘IN ⫽ VOL. Note that the individ- RB

V'CC
1

VCC 1
3 2
ρ R'B 1.75 k⍀ R'C 6 k⍀
3 2
1
ρ RB 1.75 k⍀ RC 6 k⍀ (1 – ρ )R'B 2 k⍀ V'OUT
I'IL1
3 2
VOL = V'IN 1 Q'L
(1 – ρ )RB 2 k⍀
1 I'IL2 D'IA D'L
Q'O
3 IOL
VIN QL 1
DIA DL R'D 5 k⍀
QO I'ILn

RD 5 k⍀ 2
1

Driving gate (output low state) Load gates (output high state)

Figure 7. Cascaded DTL gates for fan-out and power calculations.


574 DIODE-TRANSISTOR LOGIC

Output Low Current (IOL) Example 2. DTL Fan-Out. Calculate the fan-out for the
DTL inverter of Fig. 6 considering the circuit in Fig. 7 and
IOL is found by writing Kirchoff’s current law (KCL) at the
the subcircuit in Fig. 8. Let 웁F ⫽ 49, VBE(FA) ⫽ 0.7 V,
collector of QO where
VBE(SAT) ⫽ 0.8 V, and VCE(SAT) ⫽ 0.2 V for the BJTs and let
IOL = IC, O (SAT) − IRC VD(ON) ⫽ 0.7 V for the diodes. Also, use ␴OL ⫽ 0.85 for the
output low state and VCC ⫽ 5 V.
The current through RC is found by following dashed path 2
SOLUTION. Substituting these values directly into the de-
of Fig. 7, yielding
rived equations yields
VCC − VCE, O (SAT)
IRC = (5) − (0.7) − (0.2)
RC IIL = IρRB = = 1.09 mA
(3.75 k)
(5) − (0.2)
The collector current of QO(SAT) is obtained from IRC = = 800 µA
(6 k)
IC, O (SAT) = σOL βF IB, O (SAT) (5) − 2(0.7) − (0.8)
IE, L = = 1.60 mA
(0.467)(3.75 k)
where ␴OL is the saturation parameter, smaller for deeper sat- (0.8)
IRD = = 160 µA
uration (larger IB,O). However, for maximum fan-out, we con- (5 k)
sider operation at the edge of saturation where ␴ ⫽ 1 and IB, O = (1.60 mA) − (160 µA) = 1.44 mA
IC, O (SAT) = IC, O (EOS) = βF IB, O (EOS) IC, O = (0.85)(49)(1.44 mA) = 60 mA
IOL = (60 mA) − (800 µA) = 59.2 mA
To determine this quantitatively, we write KCL at the base (59.2 mA)
of QO to obtain N= = 54.3
(1.09 mA)
IB, O = IE, L − IRD
Hence, the fan-out of this DTL gate is 54. Note that IB,O ⫽
1.40 mA is indeed large enough to saturate QO.
where

VBE, O (SAT) DTL POWER DISSIPATION


IRD =
RD
To determine the average power dissipation of a DTL gate,
The emitter current of QL is found by analyzing the portion of we first determine the currents being supplied by VCC for both
the driver gate including VCC, ␳RB, (1 ⫺ ␳)RB, QL, DL, and QO the high and the low output states. Notice that these currents
as redrawn in Fig. 8. Considering the base current of QL to be have already been obtained in the fan-out analysis of the pre-
zero, the current through ␳RB is equal to the emitter current vious section.
of QL and the emitter current of QL is
Output High Current Supplied [ICC(OH)]
VCC − VBE, L (FA) − VD, L (ON) − VBE, O (SAT)
IE, L = For the output high state the input is low [i.e., VCE(SAT)], and
ρRB considering dashed path 3 in Fig. 7, we have

The following example indicates the use of these equations. VCC − VD (ON) − VCE (SAT)
IρRB (OH) = IIL =
RB

VCC Since QO is cutoff, IRC(OH) ⫽ 0, and

ICC (OH) = IρRB (OH)

ρ RB 1.75 k Ω Output Low Current Supplied [ICC(OL)]


For the low output state, the input is high, then
(1 – ρ )RB 2 kΩ IρRB (OL) = IE, L
VCC − VBE (FA) − VD (ON) − VBE (SAT)
DI ≡ open QL =

DL IDL
ρRB
VBE(FA) QO
– – – Additionally, the current through RC for this output low state
– VD(ON)
VBE(SAT) is simply

VCC − VCE (SAT)
IRC (OL) =
Figure 8. Portion of DTL driving gate. RC
DIPOLE ANTENNAS 575

Thus,

ICC (OL) = IρRB (OL) + IRC (OL)

Average Power Dissipation [PD(avg)]


The average power dissipated is now found by substituting
into

ICC (OH) + ICC (OL)


PD (avg) = VCC
2
IρRB (OH) + IρRB (OL) + IRC (OL)
= VCC
2

Example 3. DTL Power Dissipation. Calculate the aver-


age power dissipation for the DTL gate in Example 2.

SOLUTION. Direct substitution of the values calculated in


Example 2 yields

(1.09 mA) + (1.60 mA) + (800 µA)


PD (avg) =
2
= 8.73 mW

Compared to metal–oxide–semiconductor (MOS) logic fami-


lies, this amount of power dissipation is quite large.

CONCLUSIONS

Although DTL logic circuitry can be fabricated quite conve-


niently in IC form, this family has many disadvantages and
was only used extensively prior to the introduction of TTL. In
the 1990s, there are numerous other logic families that offer
improvement in all aspects of operation.

BIBLIOGRAPHY

T. A. DeMassa, Electrical and Electronic Devices, Circuits and Instru-


ments, St. Paul, MN: West, 1989.
T. A. DeMassa and Z. Ciccone, Digital Integrated Circuits, New York:
Wiley, 1996.

THOMAS A. DEMASSA
Arizona State University
JOHN CICCONE
VLSI Technology, Inc.
DIGITAL FILTER SYNTHESIS 475

brating at 494 Hz corresponds to the note B); (2) Ears are


sensitive to certain frequencies and completely deaf to others;
(3) Ears can discriminate between sounds regardless of their
relative loudness; that is, they can differentiate between the
A note played by a violin and the same note played by a trum-
pet, even if one is significantly louder than the other. The last
characteristic is an instance of frequency-selective processing.
Both instruments create a sound that is not a pure vibration
at 440 Hz, but has components that vibrate at frequencies
that are characteristic of each instrument. The vibration at
440 Hz is by far the strongest, allowing the ear to recognize
the note while the vibrations at other frequencies create an
aural perception unique to the instrument. The fact that loud-
ness is not significant indicates that the relative strength of
the vibrations is more important than the actual intensity.
Any sound can be viewed as a combination of basic sound
waves, each of a unique frequency and strength. The relative
strength becomes a signature that permits the identification
of a sound regardless of its level. One can characterize classes
of sounds by the form in which their strength is concentrated
at the various frequencies; and more significantly, one can
create or enhance sounds by adjusting the signal energy at
the various frequencies.

Frequency-Selective Enhancement
Frequency-domain analysis is a generalization of the repre-
sentation of sound waves as combinations of basic vibrations.
The Fourier transform is the mathematical tool used to deter-
mine how the energy of the signal is distributed at different
frequencies. Devices that have a frequency-selective behavior
DIGITAL FILTER SYNTHESIS are mathematically described by their frequency response. The
class of devices considered in this article are said to be linear
OVERVIEW OF THE PROBLEM and time-invariant (1, pp. 46–54). For these systems the fre-
quency response is a complex-valued function which deter-
Direct digital synthesis is applied to problems in signal en- mines how the device responds to any linear combination of
hancing, such as the following case study: Assume that a sinusoids.
faulty tape recorder is used to record a critical message. Due The statement that a continuous time system, also re-
to all the hissing noises created by the tape and recording ferred to as a filter, has a frequency response, H(2앟f), conveys
systems, the message is unintelligible. In order to know the the following information: (a) The variable, f, corresponds to
message, it is imperative to improve the quality of the audio the frequency in cycles per second (Hz), which is related to
signal. All the actions performed to attain that goal are said the frequency measured in radians per second by the relation-
to be intended to enhance the signal. ship ⍀ ⫽ 2앟f. (b) H(2앟f) is a complex number with magnitude
By extension, any effect that tends to reduce the quality of 兩H(2앟f)兩 and argument ␾(2앟f) ⫽ ⬔H. (c) If one applies as input
data is called noise, and data are said to be corrupted by noise. to this system the signal u(t) ⫽ cos 2앟ft, the output of the
Data signals may originate not only from voice or audio, but system will be the sinusoid, y(t) ⫽ 兩H(2앟f)兩 cos[2앟ft ⫹
from many other sources such as radio and television, indus- ␾(2앟f)]. In an extreme case, if for some frequency, f 0, one has
trial and medical instrumentation, statistical sampling, and 兩H(2앟f 0)兩 ⫽ 0, then that particular frequency is completely
so on. In general, one strives to manipulate the corrupted sig- eliminated from the output of the system.
nal and recreate the original data. There are many practical signal-enhancing applications
A significant feature of signal-enhancing problems is that which use frequency-selective processing based on an ideal
neither the desired data nor the corrupting noise can be band-pass behavior. With the specified frequency range f l ⱕ
known exactly. In the example of the recorded message, one f ⱕ f h, the ideal device is given by
must enhance the signal to get the message; the noise, in gen-

eral, is caused by random effects. At best, one may be able to 1; f l ≤ | f | ≤ f h
identify the signals as members of certain classes. Hbp (2π f ) =
0; elsewhere
The enhancing considered in this article is based on the
concept of frequency-selective response and listening is an ex-
ample of this type of processing. The human (animal) ears are Standard variations of this ideal behavior are: ideal low-pass
frequency-selective in the following essential ways: (1) Sounds filter ( fl ⫽ 0), high-pass filter ( fh ⫽ 앝), and a stop-band filter
of different frequencies are recognized as being different (e.g., (1 ⫺ Hbp(2앟f)). All ideal filters are unrealizable since, in the-
a sound wave vibrating at 440 Hz is the note A, and one vi- ory, they require complete knowledge of past, present, and

J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
476 DIGITAL FILTER SYNTHESIS

future values of the input signal in order to operate. Creating constructed enhanced signal, yr(t) (4, pp. 91–99). An ideal, and
realizable filters which ‘‘approximate’’ the behavior of ideal hence unrealizable, reconstruction uses the formula
ones is still a very active research area.
In the early days of radio, telephony, and industrial instru- 

sin(π (t − nT )/T )
yr (t) = yd [k]
mentation, all signals were converted into voltages or cur- k=−∞
π (t − nT )/T
rents. All filtering was performed using analog components
such as resistors, inductors, and capacitors creating devices In practice, there are several efficient computational tech-
known as passive filters. With the advent of electronics—in niques to create reconstructed signals that satisfactorily ap-
particular, solid-state devices—it is now possible to emulate proximate this ideal behavior (see also Ref. 5, pp. 100–110;
and improve on the traditional passive filters. The new de- Ref. 2, pp. 763–774).
vices require an external power supply and are referred to as The goal of direct digital synthesis is to define the numeri-
active filters. cal processing that must be done to the samples so that the
There is a significant amount of literature dealing with the complete sequence sampling–digital filtering–signal recon-
design of the basic filters. The reader is referred to Ref. 2 struction creates desired enhancing effects on the signal.
(pp. 666–692) for designs based on analog filters, including Numerical algorithms designed to process the samples are
Butterworth, Tchebychev, elliptic, and Bessel filters. There considered discrete time systems (the independent variable is
are also well-established computer-aided tools that only re- integer-valued). Conceptually, one can apply as input a dis-
quire from the user the specification of the frequency range crete time sinusoid and characterize the frequency-selective
and the type of the filter desired, based on which, they deliver behavior using a discrete frequency response. In this case, the
a realizable filter which approximates the desired behavior frequency response is a 2앟 periodic function of the discrete
(3). frequency, 웆. The notation Hd(ej웆) is used to emphasize the
fact that the function is periodic. Knowledge of the discrete
DIRECT DIGITAL DESIGN frequency response permits, ideally, the complete determina-
tion of the numerical algorithm that is required. Using the
Nowadays, it is possible to use computers to perform the re- exponential Fourier series expansion (see Ref. 4, pp. 39–51)
cording and enhancing of signals. Figure 1 establishes basic one can write
notation by showing a block diagram for filtering a signal us- 

ing two techniques. In the figure, the continuous time signal, H(e jω ) = hn e jnω
x(t), is to be enhanced (e.g., the voltage from the audio ampli- n=−∞
 π
fier going to the speakers). The block Analog Filter repre- dω
hn = H(e jω )
sents the conventional processing producing an enhanced sig- −π 2π
nal, y(t). The block Sampling represents the physical process
of collecting the samples of the signal, x(t); the actual device The coefficients of the expansion, hn, define the impulse re-
is an analog-to-digital converter (ADC). The sequence of val- sponse of the discrete time system. The enhanced digital sig-
ues is modeled as a discrete time signal, xd[k]. If the sampling nal values are computed either by using directly the convolu-
process is ideal and the sampling period is T, then tion formula

xd [k] = x(kT ) 

yd [k] = hn xd [k − n] (1)
n=−∞
The block Digital Filter corresponds to the numerical process
that will be applied to the samples of the input signal to pro- or by using an equivalent efficient numerical algorithm. No-
duce samples of the enhanced signal, yd[k]. It is said to be a tice that when
realization of a discrete time system. The block Reconstruct
represents the process used by the computer to create an ana- hn = 0; ∀n < 0
log signal from a sequence of numerical values, yd[k]. The de-
vice is called a digital-to-analog converter (DAC). A sound the value of yd[k] depends only on input samples, xd[m], where
card (in a computer) or a CD player performs such a recon- m ⱕ k. The algorithm is said to be causal and is suitable for
struction operation to produce sounds from the digits stored on-the-fly processing where the input samples arrive in real
in the computer or in the compact disc. The result is the re- time.

Analog
y(t)
filter

Signal

x(t)

Digital yr(t)
ADC filter DAC
xd[k] yd[k]
Figure 1. Analog and digital signal fil-
tering. Sampling Reconstruct
DIGITAL FILTER SYNTHESIS 477

If the number of nonzero coefficients is finite, one has a sage is the goal, one may recognize sentences even if all fre-
finite impulse response (FIR); otherwise it is infinite impulse quencies above 2 kHz are eliminated. Bandlimiting is thus a
response (IIR). For this latter class of filters, the formula in viable technique to increase signal-to-noise ratio and would
Eq. (1) is not an efficient algorithm and one must find an al- be one of the techniques considered for recovering the mes-
ternative representation. A standard form suitable for real sage in the opening case study. As an example, assume that
time operation is the recursive expression the voice signal needs to be enhanced by eliminating all fre-
quency components below f l ⫽ 60 Hz and above f h ⫽ 12,000
yd [k] + a1 yd [k − 1] + · · · + am yd [k − m] Hz. The signal is sampled at a frequency of f s ⫽ 44.1 kHz, or
= b0 xd [k] + · · · + bm xd [k − m] (2) with a sampling period, T ⫽ 1/f s, which is the normal sam-
pling rate for storing music in compact discs.
However, it is known that some impulse responses, 兵hn其, do An ideal analog filter to perform this operation is the filter
not allow such a recursive representation, and there are no 
general techniques to establish such a representation for a 1; f l < | f | < f h
given impulse response. The direct determination of a re- H(2π f ) =
0; elsewhere
cursive implementation with a desired frequency response is
still an open research problem. References 6 and 7 provide
partial results for the direct design of IIR filters. To each of the frequencies, f l and f h, one associates the dis-
crete frequencies, 웆l ⫽ 2앟f lT, 웆h ⫽ 2앟f hT. One period of the
Relating Continuous and Discrete Frequency Responses ideal discrete frequency response will be

It is possible to relate the continuous time frequency, f, to the 


1; ωl ≤ |ω| ≤ ωh
discrete time frequency, 웆. The procedure requires the devel- Hd (e ) =

opment of a continuous time model for discrete time signals 0; elsewhere in [−π π]
and leads to the equation
Once the desired ideal frequency response is defined, one can
2π f T = ω, −π ≤ ω ≤ π use the Fourier series expansion to determine the coeffi-
cients, hn, of the impulse response. The result in this case is
This equation highlights two important issues in digital sig-
nal processing: (1) Under the ideal reconstruction scheme, the 
 1 (sin nω − sin nω ); n = 0
analog signal created from the samples cannot contain any
hn = πn
h l
frequencies above the frequency f N ⫽ 1/2T; that is, it is ban- 
0; n=0
dlimited. (2) If the original analog signal, x(t), contains fre-
quencies above the value 1/2T, it will not be possible to recre-
ate the analog signal from the samples; even the ideal It is clear from this expression that there are infinitely many
reconstruction will be different. This phenomenon is known nonzero values of hn and the convolution representation in
as aliasing, and the frequency, f N, is called the Nyquist fre- Eq. (1) is not efficient. Moreover, there are nonzero values of
quency in honor of H. Nyquist (8), who first stated the result hn for negative values of n, showing that the system is non-
in his Sampling Theorem (2, pp. 21–33). causal and cannot be implemented in real time. It is also ap-
The relationship has also been used to define discrete time parent that the values of hn get smaller and smaller as n in-
frequency responses when the desired continuous time re- creases. From a practical point of view, one could consider
sponse is known. In one approach (see Ref. 4, pp. 97–99), one that after a certain value N, they are zero. This effectively
period of the discrete frequency response is defined as follows: implies a truncation of the impulse response—that is, using
an FIR approximation. Once the response is truncated to a
ω finite number of terms, the problem of hn ⬆ 0 for n ⬍ 0, can
Hd (e jω ) = H(2π f ), f = |ω| ≤ π
2πT be solved by introducing a time delay in the computation of
the response (see Ref. 4, pp. 250–254).
This approach actually relates the discrete impulse response There are many situations where a simple truncation of
to samples of the continuous time frequency response. The the impulse response introduces a significant deterioration of
reader is referred to Ref. 4 (pp. 406–438) for techniques based performance (see Ref. 4, pp. 444–462). A simple and effective
on discretization of the transfer function. technique to overcome this is to perform the truncation by
In a simpler approach, the relationship between discrete- means of a smooth window function. The new coefficients of
and continuous-time frequency is used to define basic fre- the impulse response are given by
quency-selective responses for discrete-time systems. Differ-
ent techniques are used to determine a numerical processing ĥn = w(n)hn
algorithm which gives (approximately) the desired frequency
response.
An example of a window function is the generalized Hamming
window:
Example 1. In theory, the human ear can perceive frequen-
cies up to 20 kHz. For simple voice applications, one can pre-   2π 
serve intelligibility with a much smaller bandwidth. The 
α + (1 − α) cos ;

 N
smaller the bandwidth, the larger the amount of noise that is 
N −1
 N−1
wH (n) = − ≤n≤ ,0 ≤ α ≤ 1
eliminated. For most applications this increases signal-to- 
 2 2


noise ratio; but if the bandwidth is made too narrow, then the 
message itself will be destroyed. If understanding the mes- 0; elsewhere
478 DIGITAL FILTER SYNTHESIS

If 움 ⫽ 0.54, the window is called a Hamming window; and if It is significant that they cannot ensure that the best approxi-
움 ⫽ 0.5, it is called a Hanning window (see Ref. 9, pp. 92–93). mation is being used. Moreover, the techniques do not permit
The computation of the impulse response coefficients can the design of special, or customized, frequency-selective char-
be simplified to a great extent if one resorts to the discrete acteristics. This section presents an overview of the extensive
Fourier transform (DFT), H(k), given by literature on optimal digital filter design, which can be used
to define any type of filter. In this approach, the design is

N−1
transformed into a nonlinear optimization problem over a set
H(k) = hn e − j(2π nk/N ) ; k = 0, 1, . . . , N − 1 (3)
of parameters, or filter coefficients. The user must (a) specify
n=0
a merit index or cost function, which measures the quality of
The values, H(k), correspond to samples of the Fourier trans- a given solution and (b) specify possible constraints in the
form for an N-periodic signal at the frequency points, 웆k ⫽ optimization process. The various methods differ in the choice
2앟k/N, where k ⫽ 0, 1, . . ., N ⫺ 1. For direct digital filter of cost function and constraints.
design, one would proceed as follows: If the desired frequency behavior is IIR, the problem can-
not be solved or implemented unless the filter can be put in
1. Define the discrete frequency selective behavior that is the form of a recursive equation such as Eq. (2). Even in this
required. case, the frequency response is a highly nonlinear function of
the coefficients, (al, bl), and a general solution to even a simple
2. Select the number, N, that determines the number of
optimization problem is not known. Several special cases
coefficients, hn, to be used. This value is application de-
have been considered in the literature, and the readers are
pendent and can be as low as 4 and as high as 256 or
referred to Refs. 6, 7, and 9 (pp. 75–293). The FIR case has
more.
received much more attention because the frequency response
3. Determine the values of the desired discrete frequency- is a linear function of the coefficients. Moreover, FIR filters
selective response, H(k), at the frequencies, 웆k ⫽ have good numerical properties and can be easily imple-
2앟k/N, where k ⫽ 0, 1, . . ., N ⫺ 1. mented, even if the number of coefficients (taps) is large.
4. Use the definition of the DFT as a system of algebraic A causal FIR filter with N taps has a frequency response
equations and solve for the values, hn. This operation is
the computation of the inverse DFT. The computation is 
N−1
performed with high numerical efficiency using a vari- H(e jω ) = hk e − jkω
ety of fast Fourier transform (FFT) algorithms (see Ref. k=0
10, pp. 114–152).
Let h ⫽ col兵h0, h1, . . ., hN⫺1其 be the vector of real-valued vari-
Remark 1. Using the DFT algorithm to determine the im- ables over which the optimization is performed. The desired
pulse response corresponding to a discrete frequency re- specification is given as an ideal, or desired, frequency re-
sponse, H(ej웆), has the implicit assumption that the impulse sponse, Hd(ej웆). A commonly used merit index is the Lp, 1 ⱕ
response is a periodic function. Therefore, there is an aliasing p ⬍ 앝, one which has the cost function of the form
effect in this approach. If hp(n) is the nth coefficient computed
 π
using the DFT technique, its relationship to the exact coeffi- dω
cients, determined from the series expansion, is given by h) =
J(h W (ω)|Hd (e jω ) − H(e jω )| p (4)
−π 2π

h p (n) = h(n − mN)
m
The function W(웆) is a weighting function used to assign dif-
ferent weights, corresponding to the relative importance of
The values h(k) are the exact values of the impulse response different frequency ranges (e.g., zero on a frequency band of
computed using the Fourier series expansion. In practice, by no importance to the designer). The value of the exponent, p,
using a suitably large value of N, the aliasing effect can usu- has a definite effect on the solution. If p ⫽ 1, all errors have
ally be neglected. the same importance while p ⬎ 1 assigns increasingly more
significance to larger errors. The most common constraint is
Remark 2. In principle, one can specify any frequency-selec- the requirement of linear phase, which can be easily incorpo-
tive behavior which may lead to coefficients, hn, that are com- rated as a symmetry condition in the coefficients, leading to
plex numbers. By placing constraints on the frequency re- standard canonical filter types (4, pp. 250–270).
sponse, Hd(ej웆), one can guarantee that the coefficients will be
real numbers. Also, it is known that if the argument of the Least-Squares Solution
discrete frequency response is a linear function of the discrete
frequency over the interval [⫺앟 앟]—linear-phase digital fil- The special case, p ⫽ 2, is called the least-squares method and
ters—one can obtain performances closer to the ideal using has a particularly simple analytical solution. Define
fewer terms than nonlinear-phase filters.
N = col{e− jkω ; k = 0, 1, . . ., N − 1}
 π 
OPTIMAL DIGITAL FILTER DESIGN T dω (5)
Q = 2Re W (ω) N N
−π 2π
The techniques described in the previous section are aimed  π 

at determining a numerical algorithm that approximates the u = Re W (ω) N (6)
behavior of an ideal frequency-selective processing algorithm. −π 2π
DIGITAL FILTER SYNTHESIS 479

where Re兵 ⭈ 其 indicates the real part of 兵 ⭈ 其, and ⍀TN denotes the as the Parks–McClellan technique (see Refs. 16 and 17).
transposed (row) vector. The optimal solution is known to ex- Kootsookos et al. (18), considered the case, W(웆) ⬅ 1, and de-
ist and is unique whenever the matrix, Q in Eq. (5) is nonsin- veloped an algorithm based on the solution of a number of
gular. The expression for the solution is related extension (Nehari) problems. Their algorithm com-
pares favorably with the exchange algorithm implemented by
h = Q−1 u (7) Parks and McClellan, with the added advantages that linear
phase is not required and the algorithm is applicable to multi-
The matrix, Q, is nonsingular for most practical cases of the variable filters (filters which process several inputs at the
weighting function. For example, if W(웆) is piecewise con- same time).
stant, it is sufficient to require that it be nonzero over at least
one interval (i.e., nontrivial). The trivial case, W ⬅ 1, leads to Extensions and Further Readings
Q ⫽ I and h ⫽ u.
The optimal design of IIR filters is an active area of research
In spite of the analytical solution, one normally uses nu-
with only partial results available. Least-squares techniques
merical algorithms to compute the solution. A popular algo-
have been applied to special forms, such as all pole systems
rithm uses the conjugate gradient technique (11), which has
(see Ref. 4, pp. 701–725). Zhang and Ikawara (6) use the
the advantage of being relatively simple to implement and
worst-case criterion and reformulate the design problem as a
has a guaranteed convergence to the solution in a finite num-
generalized eigenvalue problem.
ber of iterations.
Perhaps the strongest extension of the direct design of digi-
A variation of the least-squares approach is presented in
tal filters has been motivated by problem in computer vision
Ref. 12. Here, instead of defining an ideal response, the au-
and image processing. For such application, the signals (im-
thors constrain the filter at specific frequencies and convert
ages) are modeled as functions of two independent time vari-
the minimization into an eigenvalue problem.
ables and are referred to as multidimensional signals. Least-
The existence of a formula for the best filter makes the
squares design of FIR filters has been formulated and solved
least-squares method very popular. However, it has recog-
(e.g., in Ref. 19). Theoretical results characterizing multidi-
nized limitations: Because of the squaring operation, small
mensional equiripple solutions are not complete, but some
errors are given less importance and may exist over larger
practical results have been attained by using a modification
frequency ranges than with other methods; and the merit in-
of Lawson’s algorithm (20).
dex will accept large errors over small frequency ranges. As a
The technical literature on digital filtering is vast. The
result, the approximations that are obtained may display
reader can find good lists of references in textbooks such as
large peaks (overshoots) and less desirable soft transitions, as
Refs. 2 and 4 for conventional signals and Ref. 21 for multidi-
opposed to the sharp transitions of ideal filters. The choice of
mensional signals.
weighting function will have a significant effect on the final
solution obtained; but there are no established criteria for its
definition. Lawson (13) provided a significant link between BIBLIOGRAPHY
this method and the equiripple approach presented next. He
proved that the equiripple solution can be obtained as a least- 1. C.-T. Chen, System and Signal Analysis, 2nd ed., New York:
squares solution for some weighting function, and he devel- Saunders, 1994.
oped an iterative algorithm to modify the weighting function. 2. J. C. Proakis and D. G. Manolakis, Digital Signal Processing:
The algorithm can be used to make the optimization less sen- Principles, Algorithms, and Applications, 3rd ed., Englewood
sitive to the choice of weighting function, or as a way to im- Cliffs, NJ: Prentice-Hall, 1996.
prove on a given least squares solution. 3. J. N. Little and L. Shure, Signal Processing Toolbox for Use with
MATLAB娃, MA: The MathWorks, 1992.
Equiripple Solution 4. A. V. Oppenheim and R. W. Schafer, Discrete-Time Signal Pro-
cessing, Englewood Cliffs, NJ: Prentice-Hall, 1989.
The worst-case design avoids the problems in the least-
5. J. H. McClellan, R. W. Schafer, and M. A. Yoder, DSP First: A
squares method by minimizing the largest error. The cost
Multimedia Approach, Englewood Cliffs, NJ: Prentice-Hall, 1998.
function in this case is
6. X. Zhang and H. Iwakura, Design of IIR digital filters based on
eigenvalue problem, IEEE Trans. Signal Proc., 44: 1325–1333,
h ) = max W (ω)|Hd (e ) − H(e )|
J(h jω jω
(8)
−π ≤ω≤π 1996.
7. L. B. Jackson, An improved Martinez/Parks algorithm for IIR
This case can be shown to be equivalent to the Lp case for design with unequal number of poles and zeros, IEEE Trans. Sig-
p ⫽ 앝. Only special cases of this cost function have known nal Proc., 42: 1234–1238, 1994.
solutions (14,15), the most important being the case where 8. H. Nyquist, Certain topics in telegraph transmission theory,
the function W(웆) is piecewise constant and the FIR filter is AIEE Trans., 617–644, 1928.
linear-phase. Using Tchebychev polynomials, it is possible to 9. L. R. Rabiner and B. Gold, Theory and Application of Digital Sig-
give necessary conditions to characterize the optimal solution: nal Processing, Englewood Cliffs, NJ: Prentice-Hall, 1975.
It alternates from maximum to minimum a known number of 10. R. E. Blahut, Fast Algorithms for Digital Signal Processing, Read-
times (which depends on the number of parameters); all max- ing, MA: Addison-Wesley, 1985.
ima have the same value and so do all the various minima. 11. M. Minoux, Mathematical Programming: Theory and Algorithms,
This characteristics give the solution the property called New York: Wiley, 1986.
equiripple. The case does not have an analytical closed-form 12. P. P. Vaidyanathan and T. Q. Nguyen, Eigenfilters: A new ap-
solution, but there are excellent numerical algorithms such proach to least squares FIR filter design and applications includ-
480 DIGITAL MULTIMETERS

ing Nyquist filters, IEEE Trans. Circuit Syst., CAS-34: 11–23,


1987.
13. C. L. Lawson, Contribution to the theory of linear least maximum
approximation, Ph.D dissertation, University of California, Los
Angeles, 1961.
14. C. Charalambous, A unified review of optimization, IEEE Trans.
Microwave Theory Tech., MTT-22: 289–300, 1974.
15. C. Charalambous, Acceleration of the least pth algorithm for min-
imax optimization with engineering applications, Math. Program-
ming, 17: 270–297, 1979.
16. T. W. Parks and J. H. McClellan, Chebyshev approximation for
nonrecursive digital filters with linear phase, IEEE Trans. Circuit
Theory, CT-19: 189–194, 1972.
17. J. H. McClellan and T. W. Parks, Equiripple approximation of
fan filters, Geophysics, 7: 573–583, 1972.
18. P. J. Kootsookos, R. R. Bitmead, and M. Green, The Nehari shuf-
fle: FIR(q) filter design with guaranteed error bounds, IEEE
Trans. Signal Proc., 40: 1876–1883, 1992.
19. J. L. Aravena and G. Gu, Weighted least mean square design of
2-D FIR digital filters: general case, IEEE Trans. Signal Proc.,
44: 2568–2578, 1996.
20. Y. C. Lim et al., A weighted least squares algorithm for quasi-
equiripple FIR and IIR digital filter design, IEEE Trans. Signal
Process., SP-40: 551–558, 1992.
21. D. E. Dudgeon and R. M. Merserau, Multidimensional Digital
Signal Processing, Englewood Cliffs, NJ: Prentice-Hall, 1984.

JORGE L. ARAVENA
VIDYA VENKATACHALAM
Louisiana State University

DIGITAL HALFTONING. See HALFTONING.


DIGITAL IMAGE PROCESSING. See IMAGE PRO-
CESSING.
DIGITAL LOGIC. See BOOLEAN FUNCTIONS; NAND CIR-
CUITS; NOR CIRCUITS.
DIGITAL LOGIC BASED ON MAGNETIC ELE-
MENTS. See MAGNETIC LOGIC.
DIGITAL LOGIC CIRCUITS, FUNCTIONAL AND
CONFORMANCE TESTING. See LOGIC TESTING.
DIGITAL LOGIC DESIGN. See CARRY LOGIC.
DIGITAL MODULATION. See DIGITAL AMPLITUDE MODU-
LATION.
612 DISCRETE EVENT SYSTEMS

made, overwhelmingly complex systems: large international


airports, automated manufacturing plants, military logistic
systems, emergency hospital wards, offices, services and
spare parts operations of multinational companies, distrib-
uted computing systems, large communication and data net-
works, very large scale integrated circuits (VLSI), electronic
digital circuits and so on. Typical examples of events that can
trigger the response of a DES and the possible change of its
state are the arrival or the departure of a customer in a
queue, the arrival or the departure of a packet in the node of
a communication network, the completion of a task, the fail-
ure or the repair of a machine in a factory, the opening or the
closing of a switch in an electrical network, the pressing of a
key on the keyboard of a personal computer (PC), the ac-
cessing or the leaving of a resource, and so on.
System theory has traditionally been concerned with con-
tinuous variable dynamic systems (CVDSs) described by dif-
ferential equations, possibly including random elements. The
essential feature of CVDSs is that they are driven by time,
which governs their dynamics. The discrete-time systems, for
which the time instances are elements of a sequence, are de-
scribed by difference equations instead of differential equa-
tions, but they essentially belong to the CVDS approach as
long as their variables can take numerical values and are
time-driven. In most cases, the discrete-time systems can be
considered merely computational models, obtained by the
sampling of the continuous-time systems. The CVDS ap-
proach is a powerful paradigm in modeling real-world ‘‘natu-
ral’’ systems. Currently, CVDSs are the main objects of what
forms the core of our scientific and technical knowledge, rang-
ing from Galileo’s and Newton’s classical mechanics to rela-
tivist and quantum mechanics, thermodynamics, electrody-
namics and so on. CVDS models have also been highly
successful in most engineering fields to describe low- or me-
dium-complexity man-made systems and are still the main
objects of control theory.
With the continuous and rapid increase in complexity of
the systems to be modeled, analyzed, designed, and con-
trolled, especially of the human-made systems that include
computer and communication subsystems as essential compo-
nents, systems too complex to allow a classical CVDS descrip-
DISCRETE EVENT SYSTEMS tion have emerged. For such systems, the variables attached
to the states and to the processes can have not only numerical
A discrete event system (DES) can be defined as a dynamic values, but also symbolic or logical values. This motivates the
system for which the state changes in response to the occur- interest in DESs in domains as different as manufacturing,
rence of discrete events. The discrete events take place at pos- robotics, vehicular traffics, conveyance and storage of goods,
sibly irregular or unknown points in time (i.e., asynchro- organization and delivery of services, and computer and com-
nously and nondeterministically) but are the result of munication networks, with particular emphasis on database
interactions within the system itself. The acronym DES, or management, computer operating systems, concurrent pro-
frequently DEDS (for discrete event dynamic systems), has gramming, and distributed computing. In all these domains,
been used extensively in many different fields of mathematics control is necessary to ensure the orderly flow of events in
and applications to designate apparently widely different sys- highly complex systems. Significant efforts have been made in
tems. Nevertheless, all these systems have in common the the last two decades to develop a comprehensive framework to
property of being driven by events, rather than by time. The handle DESs. The DES theory, even if still in its infancy
conceptual structure of a DES is deceptively simple. It is a when compared to the differential/difference equations para-
system composed of multitudes of ‘‘jobs’’ that require various digm underlying the CVDS theory, is fast growing at the con-
services from a multitude of ‘‘resources.’’ The limited avail- fluence of artificial intelligence, operations research, and con-
ability of the resources determines the interactions between trol system theory. Notable among the various approaches
the jobs, whereas the start and the completion of the jobs, as that have been used to represent DESs are the state ma-
well as the changes of the resources, generate the events that chines and formal languages models (1–19), Petri nets (20–
govern the dynamics of the system. But this conceptually sim- 29), timed marked graphs (30–33), Markov chains (34,35),
ple model encompasses scores of event-driven, mostly human- and generalized semi-Marcov processes (GSMP) (36,37).

J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
DISCRETE EVENT SYSTEMS 613

These models allowed the analysis of DES qualitative proper- of the events is relevant for these models. The untimed DES
ties, the quantitative evaluation of DES performances by models have been used for the deterministic qualitative anal-
methods as perturbation analysis (38–40) and likelihood ratio ysis of control issues such as the reachability of states
method (41,42), as well as progress in the design and control (18,58,59) or deadlock avoidance (23,60). Finite-state machine
of DESs. Even if a general theory of DESs does not yet exist, and Petri nets are the formal mechanisms mostly used for the
the previously mentioned partial approaches have provided representation of untimed DESs. Other untimed frameworks,
valuable concepts and insights and have contributed to the such as the trace theory, have also been explored. Neverthe-
understanding of the fundamental issues involved in the less, finite-state machines and their associated state transi-
analysis, design, and control of DESs. Discrete system simu- tion graphs are still the most widely used models because of
lation methods, algorithms, and software are now commer- their inherent simplicity and because they can be described
cially available for both qualitative behavior analysis and adequately by finite automata and regular languages. The
quantitative performance evaluation (43–57). simplest untimed DES model is a deterministic state-machine
Because of the complexity and the heterogeneity of the do- or automaton, called generator, described by the 4-tuple
main, as well as its fast growth, only some of the very basic
aspects will be presented in the rest of this article. The main G = (Q, , δ, s) (1)
attention is focused on the modeling of DESs, which allows
one to grasp the basic features and the behavior of DESs.
where Q is the (countable) set of states of the system, ⌺ is the
Some elements of the control of DESs are presented, and
(countable) set of events, 웃 : Q ⫻ ⌺ 씮 Q 傼 兵⌳其 is the transition
some examples of DES application are given.
function, and s ⫽ q0 is the initial (start) state of the system.
By a reminiscence of the classical system theory, the set of
MODELS OF DISCRETE EVENT SYSTEMS states is sometimes called the state space, even if it does not
have the structure of a vector space, typical for the CVDSs.
The increased complexity of human-made systems, especially The function 웃 describes the transition from a state q 僆 Q to
as an effect of the widespread application of information tech- a new state q⬘ ⫽ 웃(q, ␴), in response to the occurrence of an
nology, has made the development of more detailed formal event ␴ 僆 ⌺. The symbol ⌳ denotes the null element, which
methods necessary to describe, analyze, and control processes
is used to indicate that the transition is not defined for some
observed in environments such as digital communication net-
pairs (q, ␴) 僆 Q ⫻ ⌺. For this reason, 웃 : Q ⫻ ⌺ 씮 Q is called
works and manufacturing plants. As opposed to the continu-
a partial function. It is convenient to designate by ⌺f(q) the
ous time-driven evolution of a CVDS [Fig. 1(a)], the evolution
set of all feasible events for a given state q, i.e., ⌺f(q) ⫽ 兵␴ 僆
of a DES is piecewise-constant and event-driven [Fig. 1(b)].
⌺兩웃(q, ␴) ⬆ ⌳其. As usual in the regular expressions formalism,
The state variables of a DES may have not just numerical
we denote by ⌺* the set of all finite strings of elements of ⌺,
values, but also symbolic or logical values, so that the set of
including the empty string ⑀. A sample path (trajectory) of a
states Q does not have the vector space structure typical for
DES, starting from the specified initial state q0 ⫽ s [see Fig.
CVDS. The elements qj 僆 Q, j 僆 ⺞, may be seen as labels
1(a)], is given by the state-(event-state) sequence q0␴1q1␴2,
attached to the various distinct states of the DES. The state
. . ., ␴nqn. The set of all (physically) possible such sequences
transitions may occur in response to the occurrence of discrete
events ␴k, belonging to a set of events ⌺ and taking place at is called the behavior B(G) of the generator G:
discrete time instances tk. From the point of view of the tim-
ing information, DESs can be classified into two main catego- B(G) = {q0 σ1 q1 σ2 , . . ., σn qn |n ∈ N∗ , 1 ≤ k ≤ n, qk = δ(qk−1, σk )}
ries: (1) untimed (logical) and (2) timed. (2)

Untimed Discrete Event Systems For a deterministic DES, the sample trajectory can be de-
Untimed or logical DES models ignore time as a variable that scribed equivalently by the event string 兵␴k其k⫽1,2,. . .,n, or by the
specifies the moments when the events occur. Only the order state string 兵qk其k⫽0,1,2,. . .n. In the formalism of regular lan-
guages, an event string corresponding to a sample trajectory
is called a word w built with the symbols ␴ taken from the
x(t) CVDS DES alphabet ⌺. Correspondingly, the set of all the (physically)
qn –1 possible words is called the language L(G) 傺 ⌺* generated by
State (state variable)

State (set of states)

Input = u(t) d
σ n –1 = α σn = γ G over the alphabet ⌺. Sometimes, the language is also called
c the behavior of the DES, or the behavior of its generator. In
σ2 = β q σ3 = γ
x·(t) = f(x, u, t) b
2 qn the framework of automata theory, an automaton is described
q1 q3 by a 5-tuple, which includes as a fifth element a set of marker
a states Qm 債 Q. A marker state usually represents the comple-
q0 σ1 = α tion of a task. This is not essential in this context, so it is
s ....
t t1 t2 t3 tn –1 tn deferred for the following section.
Time (time variable) Time (event sequence)
(a) (b) Example 1. Consider a DES generator G that models a sim-
Figure 1. Comparison of generic trajectories of continuous variable ple generic machine. The state set Q ⫽ 兵I, W, D,其 is composed
dynamic systems and of discrete event systems: (a) Example of an of the states: I—Idle, W—Working, and D—Down, whereas
illustrative one-dimensional CVDS trajectory. (b) Example of a DES the event set ⌺ ⫽ 兵S, C, B, R其 is composed of the events: S—
trajectory (움, 웁, 웂, 웃 僆 ⌺; a, b, c, d, s 僆 Q). Start of a task, C—Completion of the task, B—Breaking
614 DISCRETE EVENT SYSTEMS

I I2 W2 D2

S R2
R
C S1
B2
W D I1
B
C2 C1
C1 S1 R2 C1
Figure 2. The transition graph of a simple generic machine model. S1
S1
The system can be in the states: I—Idle, W—Working, and D—Down, S1
and the transitions are induced by the events: S—Start of a task, B2
W1
C—Completion of the task, B—Breaking down, and R—Repair. R1
C2 B1
R1 B1 R1 B1
R2

down, and R—Repair. Figure 2 shows the transition function S1


B2
of the system. The states are designated by nodes, and the D1
events by oriented arcs connecting the nodes. The initial state C2
s ⫽ I is marked with an entering arrow. The language gener- Figure 4. The transition graph of a system made up of the two in-
ated by G, i.e., the set of all the (physically) possible se- stances of the simple machine model shown in Fig. 2, operating as
quences of events is elements of the system.

L(G) = {, S, SD, SC, SCS, SCSD, SDR, SDRS, SDRSD, . . . }


(see Refs. 16–19,61,62) in the framework of untimed DESs.
which can be written in the formalism of regular expressions The analysis of an untimed DES model typically proceeds as
as L(G) ⫽ (SC ⫹ SDR)*(⑀ ⫹ S ⫹ SD). follows. By using some state transition structure (e.g., autom-
ata or Petri nets), a set of algebraic equations, or a logical
Example 2. Let us now consider the case of two machines of calculus approach, one specifies the set of all admissible event
the type given in Example 1 working in parallel. Each ma- trajectories, that is, enumerates all the sequences of events
chine has a generator of the previously considered type. The that do not contradict various physical restrictions inherent
transition graphs of the two machines working as indepen- to the modeled system. On this basis, the behavior of the sys-
dent entities are represented in Fig. 3. The system composed tem—usually expressed by the generated language L, that is,
of the two machines working in parallel, even without condi- by the set of all the possible finite sequences of events that
tioning each other, has the state set Q ⫽ Q1 ⫻ Q2 ⫽ 兵(I1, I2), can occur in the system—is found as a strict subset of all
(W1, I2), (D1, I2), . . ., (D1, D2)其, the set of events ⌺ ⫽ ⌺1 傼 event orderings ⌺*. In the control context, one has to further
⌺2 ⫽ 兵S1, C1, B1, R1, S2, C2, B2, R2其, and the transition graph restrict the language so that each system trajectory has some
shown in Fig. 4. The combinatorial growth in complexity of a desired property such as stability (e.g., state convergence),
DES with the increase of the number of components is ob- correct use of resources (e.g., mutual exclusion), correct event
vious. ordering (e.g., data base consistency), desirable dynamic be-
havior (e.g., no deadlock/livelock), or the achievement of some
Since untimed models contain no quantitative timing in- goal (e.g., distributed consensus).
formation, they cannot be used to obtain performance mea- The difficulties in applying logical DES models to real-life
sures involving time, such as holding times or event occur- size problems are caused by the computational complexity.
rence rates. Nevertheless, logical DES models have Even if problems like establishing controllability or designing
successfully been used to represent and study qualitative as- a supervisor to control the behavior of a DES are polynomially
pects in areas such as concurrent program semantics, commu- decidable or polynomially solvable in the number of states of
nicating sequential processes, synchronization in operating the DES, the number of states itself grows in a combinatorial
systems, supervisory control, communication protocols, logical manner when a complex system is built from simpler compo-
analysis of digital circuits, and fault-tolerant distributed com- nent subsystems. As a consequence, the number of the states
puting and database protocols. The control theory of discrete of a logical DES increases exponentially with respect to the
event systems has been initiated by Ramadge and Wonham system size. This motivates the efforts to state/event formal-
isms that have the capability to suppress the aspects of the
system description irrelevant in a given context. One mod-
I1 I2 ality is event internalization, or partial observation, which
leads to nondeterministic process behavior and, consequently,
to inadequacy of formal languages as models of behavior. The
S1
C1
S2
C2 complexity issues are also talked with by using modularity,
hierarchy, and recursivity when building the system descrip-
R1 W1 R2 W2 tions from the individual component features. Since all the
components of a complex process must interact and synchro-
B1 B2
nize when operating in parallel, a suitable mechanism for
communication and interaction between modules is an impor-
D1 D2 tant component of DES modeling.

Figure 3. The transition graphs of two instances of the simple ma- Markov Chain Model of an Untimed DES. One way of model-
chine model in Fig. 2, operating independently. ing the random behavior of discrete event systems is by using
DISCRETE EVENT SYSTEMS 615

the Markov chain formalism. As pointed out earlier, the non- tion and statistical analysis, which is computationally costly
deterministic behavior of a system can be the result of its and has little potential for real-time control. Both approaches
incomplete (partial) description. Either some of the events are were used for the evaluation of performances related to re-
aggregated into complex events that can yield multiple out- source contention and allocation, based on the oversimplifying
comes (event internalization) or the states of the system are assumption that a manufacturing process can be described
defined in a space of lower dimension than would be required adequately by using only timing considerations. For instance,
for their complete specification (hidden variables) so that the the problem of the yield percentage in semiconductor wafer
states aggregate and actually correspond to classes of states. manufacturing is more closely related to the properties of the
Partial description can be necessary and desirable in order to materials and to the technological aspects than to resource
reduce the computational difficulties—to make complex sys- contention.
tems tractable—or can result from incomplete knowledge Another approach is based on the fact that sample paths
about the modeled system. On the other hand, randomness of parametric DESs contain a considerable amount of infor-
can be an irreducible feature of some of the processes in the mation that allows to predict the behavior of the system when
system itself. The Quantum Mechanics approach is the first the values of the parameters are perturbed. Both infinitesi-
example at hand. The problem of whether or not such built- mal perturbation analysis (IPA) and likelihood ratio (LR)
in randomness does exist is still open to philosophical debate. methodology have been used in conjunction with various gra-
From the engineering point of view, this is irrelevant because dient-based stochastic optimization schemes. These tech-
the behavior of the system is similar in both cases. niques yielded significant results in problems like routing in
A Markov chain model of a nondeterministic DES is de- communication networks or load balancing in distributed pro-
fined by the set of states Q and the transition probability ma- cessing systems.
trix PS ⫽ [PSij], where In order to define a timed DES, a mechanism for generat-
ing the event time instance sequence 兵tk其k僆N has to be added
1. PSij ⫽ P(qj兩qi), for i ⬆ j, is the conditional probability that to the untimed model. This mechanism should also take into
the system passes into the state qj 僆 Q, i.e., the proba- account the randomness of the event lifetime ␶␴, ␴ 僆 ⌺. Cas-
bility of occurrence of event ␴ij ⫽ (qi, qj), provided that sandras and Strickland (36) have introduced a model to study
the current state is qi 僆 Q. the properties of the sample paths of a timed DES. The gener-
2. PSij ⫽ 1 ⫺ 兺j⬆i PSij is the probability of remaining in the ator
state qi, which is the probability of occurrence of event
G = {Q, , δ, s, F} (3)
␴ii ⫽ (qi, qi), if ␴ii 僆 ⌺f, or the probability that no event
occurs in the state qi, if ␴ii 僆 ⌺f.
contains, in addition to the components of an untimed DES
[Eq. (1)], the event lifetime generator:
The probability that, starting from the initial state s ⫽
q(0) ⫽ qi, the system arrives after n steps into the state F = {Fσ (·), σ ∈ } (4)
q(n) ⫽ qj is denoted by PSij ⫽ P[q(n) ⫽ qj兩q(0) ⫽ qi]. Thus, the
entries of the transition probability matrix give the probabili- which is a set of probability distribution functions (pdfs) asso-
ties of paths of length one: ciated with the events.
The basic simplifying hypothesis is that all events are gen-
P ijS = P[q(n + 1) = q j |q(n) = qi ]
erated through renewal processes, i.e., each pdf F␴( ⭈ ) depends
only on the event ␴, not on other factors such as the states
Markov chains can be used to represent the ‘‘closed loop’’ be- before and after the event ␴ occurs and the count of how many
havior of a controlled DES. In this case, the probabilities of events of type ␴ have already occurred.
the enabled transitions (events) are strictly positive, whereas Figure 5 shows a typical sample path of a timed DES. In
the probabilities of the disabled transitions are zero. The con- the general case, the set of events ⌺ contains several types
trol of a DES modeled by a Markov chain consists thus in of events and it is possible that for some states q there are
changing the transition probabilities, according to the com- nonfeasible events ␴, i.e., ␴ 僆 ⌺f(q). In the simplest case,
mands issued by the supervisor, to achieve a certain control- when there is only one type of event in ⌺ and this event is
ling task. feasible for all the states in the path, the kth lifetime ␶k,i of
the event of type i characterized by the pdf Fi( ⭈ ) gives the
Timed DES Models interval between two successive occurrences of the event
Timed DES models were developed primarily to allow the tk⫹1 ⫺ tk ⫽ ␶k,i where k ⫽ 1,2,. . .. A certain time instant t in
quantitative evaluation of DESs by computing performance
measures like holding times or event occurrence rates, which
imply counting events in a given time interval or measuring σ1 σ2 σk σ k+1
the time between two specific event occurrences and obtaining q0 q1 q2 qk–1 qk qk+1
the appropriate statistics. The timed event trajectory of a t1 t2 tk t tk+1
DES is specified by the sequence 兵␴k, tk其k僆N*, whereas the timed τ k, j
state trajectory is 兵qk, tk其k僆N, where tk gives the moment of the
xk,i yk,i
kth event occurrence. Significant analytical results have been
obtained in the special case of queuing theory. For the sys- Figure 5. Generic sample path of a timed DES with one event type.
tems that do not satisfy the specific hypotheses of the queuing The moment t divides the kth lifetime ␶k,i of event of type i into the
theory, timed DES models have been studied by using simula- age xk,i and the residual lifetime yk,i.
616 DISCRETE EVENT SYSTEMS

this interval, t 僆 [tk, tk⫹1], divides it into two parts that define with x1,i ⫽ 0. The event time instances are given by the time
the age xk,i ⫽ t ⫺ tk of the event i (the time elapsed since its Eq. (7)
most recent occurrence), and the residual lifetime yk,i ⫽ tk⫹1 ⫺
t ⫽ ␶k,i ⫺ xk,i of the event of type i (the time until its next tk+1,i = tk,i + min {τ j − xk, j } (11)
j= f (q k )
occurrence). When several types of events are possible, the
next event occurrence is determined by the currently feasible
The model is similar to the one used by the min-plus dioid
event with the smallest residual lifetime ␴k⫹1 ⫽ arg min␴i僆⌺f(qk)
algebra approach presented in a later section of this article.
兵yk,i其, where yk,i is a random variable generated with
the pdf: Formal Languages and Automata DES Models

Hk,i (u, xk,i ) = P[ yk,i ≤ u|xk,i ] = P[τk,i ≤ xk,i + u|τk,i > xk,i ] Formal Languages—Regular Expressions. Using the previous
notation, let the generator G of an untimed (logical) DES have
Fi (xk,i + u) − Fi (xk,i ) (5)
= the finite state set Q, the finite set of events ⌺, and the behav-
1 − Fi (xk,i ) ior described by the set of all (physically) possible finite event
strings L(G) 傺 ⌺*, a proper subset of ⌺*—the set of all finite
The dynamic model of a timed DES, allowing the step-by-step strings built with elements of the alphabet ⌺, including the
construction of a sample path, is thus given by empty string ⑀. In the formal language approach, let us con-
sider that each event is a symbol, the event set ⌺ is an alpha-
qk = δ(qk−1 , σk ) (6) bet, each sample event path w ⫽ ␴1␴2 . . . ␴n of the DES is a
word, and the (event) behavior L(G) is a language over ⌺. The
tk+1 = tk + min { yk, j } (7) length 兩w兩 of a word w (i.e., of a sample path) is the number
σ j ∈ f (q k )
of symbols ␴ from the alphabet ⌺ (i.e., events) it contains. The
σk+1 = arg min { yk, j } (8) length of ⑀ is zero.
σ j ∈ f (q k ) Given two languages, L1 and L2, their union is defined by

xk,i + min { yk, j }; if σi ∈  f (qk ), σi = σk L1 + L2 = L1 ∪ L2 = {w|w ∈ L1 or w ∈ L2 } (12)
xk+1,i = σ j ∈ f (q k ) (9)
 whereas their concatenation is
0; otherwise
L1 L2 = {w|w = w1 w2 , w ∈ L1 or w ∈ L2 } (13)
for k 僆 ⺞* and for initial conditions specified by some given
s ⫽ qo and t1 ⫽ min␴j僆⌺f(q0) 兵y1, j其, ␴1 ⫽ arg min␴j僆⌺f(q0) 兵y1, j其, and The Kleene (iterative) closure of a language L is
x1,i ⫽ min␴j僆⌺f(q0) 兵y1, j其, where y1, j are random variables drawn
from Fj( ⭈ ) for all j. This stochastic dynamic model generates L∗ = {w|∃k ∈ N and w1 , w2 , . . ., wk ∈ L so that
(14)
a generalized semi-Markov process. For such processes, a w = w1 w2 · · · wk }
state is actually defined by two components: the discrete state
qk 僆 Q and the so-called supplementary variables xk,i (or, The union, concatenation, and Kleene closure are regular op-
equivalently, yk,i), for all i 僆 ⌺. A GSMP offers a convenient erators.
framework for representing timed DESs. The deterministic A string u is a prefix of w 僆 ⌺*, if there is some v 僆 ⌺* so
mechanism for the state transitions, defined here by the func- that w ⫽ uv. If w 僆 L(G), then so are all its prefixes. A prefix
tion 웃(q, ␴), can also be replaced by a probabilistic state tran- is called proper if v 僆 兵⑀, w其.
sition structure. Even more than in the case of untimed The prefix closure of L 傺 ⌺* is
DESs, despite the conceptual simplicity of the dynamics, the
exhaustive analysis of a stochastic timed DES model can be L = {u|uv ∈ L for some v ∈  ∗ } (15)
of prohibitive computational complexity, not only because of
the large number of states but also because of the nonlinear- A language L is prefix closed if L ⫽ L, i.e., if it contains the
ity of the equations and the age-dependent nature of the pdfs prefixes of all its words.
Hk,i(u, xk,i). On the other hand, if the dynamic equations are The (event) behavior of a DES can be modeled as a prefix
seen as a sample path model, than the timed trajectories of closed language L over the event alphabet ⌺. In the following,
DES can be generated relatively simple when the lifetime dis- the main relevant propositions will be stated, but the proofs
tributions Fi( ⭈ ) are known for all i 僆 ⌺. This allows the use will be omitted for briefness. We will write v*, u ⫹ v, and so
of techniques like perturbation analysis (38) or the likelihood on, instead of 兵v其*, 兵u其 ⫹ 兵v其, when no confusion is possible.
ratio method (39,40) for performance evaluation, control, or A regular expression in L1, L2, . . ., Lm 傺 ⌺* is any expres-
optimization purposes. sion in L1, L2, . . ., Lm containing a finite number of regular
The stochastic model can be reduced to a deterministic one operators. A language is called regular if it can be defined by
if the lifetimes are considered to be constants for all i 僆 ⌺. a regular expression in a finite set of symbols, i.e., events.
The residual lifetimes of events are determined by yk,i ⫽ ␶i ⫺ The set R of regular languages over an alphabet ⌺ is the
xk,i, for all i, k, whereas the event ages xk,i result from the state smallest set of languages satisfying:
equation
1. ␾ ⫽ 兵 其 僆 R , 兵⑀其 僆 R ,

xk,i + min {τ j − xk, j }; if i ∈  f (qk )\{σk } 2. {a} ∈ R, for ∀a ∈ , (16)
xk+1,i = j∈ f (q k ) (10)

0; otherwise
3. ᭙A, B 僆 R , A 傼 B, AB, A* 僆 R .
DISCRETE EVENT SYSTEMS 617

Regular expressions are notations for representing the regu- which is composed the words that start from the specified ini-
lar languages, constructed with these rules: tial state s ⫽ q0, and lead to a marked state qn 僆 Qm. Because
the marked language Lm(G) is a subset of the language L(G),
1. ␾, ⑀, and the elements of the alphabet ⌺ are regular so is its prefix closure [see Eq. (15)] Lm(G) 債 L(G), i.e., every
expressions. prefix of Lm(G) is also an element of L(G). A generator G is
2. If 움 and 웁 are regular expressions, then 움 傼 웁, 움웁, 움* called nonblocking if the equality Lm(G) ⫽ L(G) holds, mean-
are also regular expressions. ing that every word in L(G) is a prefix of a word in Lm(G). In
this case, every sample path of events in L(G) can be extended
Obviously, a regular expression can be considered itself a to include a marker state or—in other words—can be contin-
word (a string of symbols) over the alphabet ⌺⬘ ⫽ ⌺ 傼 兵), (, ␾, ued to the completion of a task.
傼, *, ⑀其. The links between the states q 僆 Q and the words w 僆
A language L(␰), represented by a regular expression ␰, is ⌺* can be put on a more formal basis using the concept of
defined by configuration. The configuration of a finite automaton is de-
fined by the ordered pair (q, w) 僆 Q ⫻ ⌺*, which makes up a
1. L(␾) ⫽ ␾, L(⑀) ⫽ 兵⑀其, state q and a word w applied in this state.
2. L(a) ⫽ 兵a其, ᭙a 僆 ⌺, A configuration (q⬘, w⬘) can be derived from a configura-
tion (q, w) by the generator G, the relation of which is denoted
3. L(α ∪ β ) = L(α) ∪ L(β ), (17) by (q, w) 哫 *G (q⬘, w⬘), if there is a finite number k ⱖ 0 and a
sequence 兵(qi, wi)兩0 ⱕ i ⱕ k ⫺ 1其 so that (q, w) ⫽ (q0, w0), (q⬘,
4. L(움웁) ⫽ L(움)L(웁), w⬘) ⫽ (qk, wk), and (qi, wi), 哫 (qi⫹1, wi⫹1), for every i, 0 ⱕ i ⱕ
k, i.e., wi ⫽ ␴i⫹1 wi⫹1, qi⫹1 ⫽ 웃(qi, ␴i). Each word wi is composed
5. L(움*) ⫽ L(움)*.
of the first symbol ␴i⫹1 and the remaining word wi⫹1, so that
the words in the sequence are related by
It can be shown that a language is regular if it is represented
by a regular expression. The set of all the words constructed
w = w0 = σ 1 w1 = σ 1 σ 2 w2 = · · · = σ 1 σ 2 · · · σ k wk = σ 1 σ 2 · · · σ k w
with the symbols from an alphabet ⌺ ⫽ 兵␴1, ␴2, . . ., 움n其, in-
(22)
cluding the empty word ⑀, is represented by the regular ex-
pression ⌺* ⫽ 兵␴1 ⫹ ␴2 ⫹ ⭈ ⭈ ⭈ ⫹ ␴n其*. The set of all the non-
empty words constructed with symbols from ⌺ is given by the The execution of an automaton on a word w is (s, w) 哫 (q1,
regular expression ⌺⫹ ⫽ ⌺⌺*. w1) 哫 ⭈ ⭈ ⭈ 哫 (qn, ⑀), with

DES Deterministic Generators. Consider the generator of a w = σ 1 w1 = σ 1 σ 2 w2 = · · · = σ 1 σ 2 · · · σ n (23)


DES modeled by a finite deterministic state machine (automa-
ton) defined now by the 5-tuple For a deterministic automaton, each word w defines a unique
execution, thus a unique trajectory of the system.
G = {Q, S, d, s, Qm } (18) Using this formalism, a word w is accepted or marked by
a generator (automaton) G if the execution of the automaton
where Q is a (finite) state set, ⌺ is the (finite) alphabet recog- on the given word leads to a marker state qn 僆 Qm:
nized by G, 웃: Q ⫻ ⌺ 씮 Q is the transition function, s ⫽ q0 is
the initial state, and Qm 債 Q is the set of marker states. For ∗
(q0 , w) → (qn , ); qn ∈ Qm (24)
sake of simplicity, we considered here ⌺f(q) ⫽ ⌺, ᭙q 僆 Q [see G

comments on Eq. (1)]. As already mentioned, the marker


states have been introduced by Ramadge and Wonham (see The language Lm(G) accepted or marked by the automaton G
Ref. 16) to represent the completed tasks of a DES by the is the set of words accepted by G:
state trajectories that end in (or contain a) marker state.

Therefore, along with B(G), the previously defined Lm (G) = {w ∈  ∗ |(q0 , w) → (qn , ); qn ∈ Qm } (25)
G
unmarked behavior of a DES [Eq. (2)], we define the marked
behavior
DES Nondeterministic Generators. A finite nondeterministic
Bm (G) = {q0 σ1 q1 σ2 , . . ., σn qn ∈ B(G)|qn ∈ Qm } (19) state machine (automaton) is the 5-tuple

which includes all the system trajectories that end in a G = {Q, , , s, Qm } (26)
marked state, i.e., result in the accomplishment of a certain
task. Correspondingly, in addition to the language generated
where Q, ⌺, s ⫽ q0, Qm retain the meanings defined for deter-
by G, the subset L(G) 傺 ⌺* of all the (physically) possible
ministic generators [Eq. (18)], whereas the evolution law is
words generated by G over the alphabet ⌺,
given by the transition relation ⌬ 傺 Q ⫻ ⌺ ⫻ Q, which gener-
L(G) = {w = σ1 σ2 , . . ., σn ∈ |q0 σ1 q1 σ2 , . . ., σn qn ∈ B(G)} (20) alizes the previously defined transition function 웃. For a given
state q 僆 Q, an event ␴ 僆 ⌺ can induce a transition of the
we define the language marked or accepted by G, as the re- system to a state p 僆 Q, with (q, ␴, p) 僆 ⌬. The set of states
stricted subset Lm(G) 債 L(G) reachable in one step from the state q, after a transition in-
duced by the event ␴, is
Lm (G) = {w = σ1 σ2 , . . ., σn ∈  ∗ |q0 σ1 q1 σ2 , . . ., σn qn ∈ Bm (G)}
(21) Q(q, σ ) = {p ∈ Q|(q, σ , p) ∈ } (27)
618 DISCRETE EVENT SYSTEMS

The set ⌺f(q) of all feasible events for a given state q can be φ
expressed as
σ
σ ∈Σ
 f (q) = {σ ∈ |∃p ∈ Q, (q, σ , p) ∈ } = {σ ∈ |Q(q, σ ) = ?} Figure 6. Elementary automata that accept the languages corre-
(28)
sponding to the basic regular expression ␾, ⑀, and ␴ 僆 ⌺.
The deterministic generator can be seen as a special case of
the nondeterministic generator with the property that, for all
q 僆 Q and ␴ 僆 ⌺, there exist at most one state p 僆 Q such languages over some alphabets of events. The following prop-
that (q, ␴, p) 僆 ⌬. In this case, a transition function 웃: Q ⫻ ⌺ ositions express the fundamental links between regular lan-
씮 Q 傼 兵⌳其 can be defined such that 웃(q, ␴) ⫽ p 僆 Q, when guages and finite automata:
(q, ␴, p) 僆 ⌬, and 웃(q, ␴) ⫽ ⌳, when (q, ␴, p) 僆 ⌬, i.e., when ␴
僆 ⌺f(q). • A language is regular if it is accepted by a finite automa-
It is convenient to extend further the definition of the evo- ton.
lution law to a relation ⌬* 傺 Q ⫻ ⌺* ⫻ Q, by stating (q0, w, • If a language can be constructed by a regular expression,
qn) 僆 ⌬* if there exist the sequences 兵qk兩qk 僆 Q, k ⫽ 0, 1, . . ., then it is accepted by a finite nondeterministic automa-
n其 and w ⫽ 兵␴k兩␴k 僆 ⌺, k ⫽ 1, 2, . . ., n其 僆 ⌺*, such that ton.
(qk⫺1, ␴k, qk) 僆 ⌬, for all k ⫽ 1, 2, . . ., n. • For each basic regular expression ␾, ⑀, ␴ 僆 ⌺, there is an
Using the relation ⌬*, the language generated by G can be automaton that accepts the corresponding language as
expressed as shown in Fig. 6.
• For each composed regular expression 움1움2, 움1 ⫹ 움2, 움*1 ,
L(G) = {w ∈  ∗ |∃q ∈ Q: (q0 , w, q) ∈ ∗ } (29)
an automaton accepting the same language can be built
based on the automata A1 and A2 that accept the lan-
and the language accepted or marked by G as the restricted
guages described by 움1 and 움2, respectively: 움1 ⇔ A1 ⫽
subset Lm(G) 債 L(G)
兵Q1, ⌺, ⌬*1 , q(1)
0 , Qm 其, 움2 ⇔ A2 ⫽ 兵Q2, ⌺, ⌬*
(1)
2 , q0 , Qm 其. For
(2) (2)

Lm (G) = {w ∈  ∗ |∃qm ∈ Qm ; (q0 , w, qm ) ∈ ∗ } (30) instance, the automaton A corresponding to the regular
expression 움1움2 is 움1움2 ⇔ A ⫽ 兵Q, ⌺, ⌬*, q0, Qm其, where
A configuration (q⬘, w⬘) is derivable in one step from the con- Q ⫽ Q1 傼 Q2, ⌬ ⫽ ⌬1 傼 ⌬2 傼 兵(q, ⑀, q(2) 0 )兩q 僆 Qm 其, q0 ⫽
(1)

figuration (q, w) by the generator G, the relation of which is q 0 , Qm ⫽ Q m .


(1) (2)

denoted by (q, w) 哫 G (q⬘, w⬘), if w ⫽ uw⬘, (i.e., the word w


begins with a prefix u 僆 ⌺*) and (q, u, q⬘) 僆 ⌬*. Algorithm for Constructing the Marked Language of a Generator
A class of equivalent states is a set of states that have the G. Consider again the generator of a DES G ⫽ 兵Q, ⌺, ⌬, s,
property that the system can pass from one state in the class Qm其, with the finite set of states Q ⫽ 兵q1, q2, . . ., qn其, where
to another without the occurrence of any event, i.e., by transi- the order is arbitrary. Let us find the language Lm(G) marked
tions on the empty word ⑀. The equivalence class E(q) of a by G, i.e., the set of words over the alphabet ⌺ that end in a
state q is defined as an equivalence class comprising the marker state [see comments on Eq. (30)].
state, q, i.e., the set of states reachable from the state q by Let us denote by R(i, j, k) the partial language made up of
transitions on the empty word the set of words allowing the transition from the state qi to
the state qj, passing either directly, or only through states
∗ with indices lower than k. Then
E(q) = {p ∈ Q|(q, w) → (p, w)} = Q(q, ) (31)
G

{w|(qi , w, q j ) ∈ ∗ }, i = j
Two generators G1 and G2 are called equivalent if L(G1) ⫽ R(i, j, 1) = (32)
{} ∪ {w|(qi , w, q j ) ∈ ∗ }, i = j
L(G2).
For any nondeterministic finite generator G ⫽ 兵Q, ⌺, ⌬*,
q0, Qm其, it is possible to build formally an equivalent determin- and the following recurrence relation holds:
istic finite generator G⬘ ⫽ 兵Q⬘, ⌺⬘, 웃⬘, q⬘0, Q⬘m其, for which the
states are replaced with classes of equivalent states. Corre- R(i, j, k + 1) = R(i, j, k) ∪ R(i, k, k) ∪ R(k, k, k)∗ R(k, j, k),
spondingly, the state set becomes the set of equivalence k = 1, 2, . . ., n (33)
classes Q⬘ 債 2Q (the set of the subsets of the state set Q), the
initial state is replaced by the set q⬘0 ⫽ E(q0) ⫽ Q(q0, ⑀) of Choosing the initial state s ⫽ q1, the language Lm(G) marked
states in which the generator can be before any event occurs, by G results:
the transition function is defined by 웃⬘(q, ␴) ⫽ 傼p僆Q 兵E(p)兩᭚q 
僆 q : (q, ␴, p) 僆 ⌬*其, and the set of marker equivalence classes Lm (G) = R(1, j, n + 1) (34)
is Q⬘m ⫽ 兵q 傺 Q⬘兩q 傽 Qm ⬆ ␾其. The last equation shows that a q j ∈Q m

‘‘state’’ of G⬘ is a marker state if it contains a marker state


of G. Both the partial languages R and the language Lm(G) are reg-
ular languages.
Regular Languages and Finite Automata Representation. As
stated earlier, regular expressions and finite automata are Example 3. Consider a simple DES, having the generator G
formalisms adequate for representing regular languages, as given by Eq. (26), with the state set Q ⫽ 兵q1, q2其, the event set
well as for representing the behaviors of DESs, which are ⌺ ⫽ 兵a, b其, the initial state s ⫽ q1, the set of marker states
DISCRETE EVENT SYSTEMS 619

a b b x1
q1 q2 Aj1
a
Figure 7. Transition graph of a simple determinist generator. The Aif
initial state s ⫽ q1 is marked with an entering arrow, whereas the xj xi
marker state q2 is represented with a double circle.
Ain
xn
k k+1
Qm ⫽ 兵q2其, and the transition relation ⌬ ⫽ 兵(q1, ⑀, q1), (q1, a, Figure 8. Section of a timed event graph showing only the edges
q1), (q1, b, q2), (q2, a, q1), (q2, ⑀, q2), (q2, b, q2)其, for which corre- coming into the node attached to event i. Input variables xj(k); j ⫽ 1,
sponds the transition graph in Fig. 7. Using the relations (32) . . ., n give the moments when events j occur at step k, and the
and (33), the partial languages R(i, j, k), i, j, k ⫽ 1, 2, of G weights Aij; j ⫽ 1, . . ., n of the edges correspond to the delays pro-
listed in Table 1 can be computed successively. Thus, the lan- duced by the transport from j to i.
guage accepted by G results:
Consider the section of a timed event graph represented in
L ∗ G) = R(1, 2, 3) Fig. 8. Each node corresponds to a certain activity, whereas
= [b ∪ ( ∪ a)( ∪ a)∗ b] ∪ [b ∪ ( ∪ a)( ∪ a)∗ b] the arcs coming into a node represent the conditions required
[ ∪ b) ∪ a( ∪ a)∗ b]∗ [( ∪ b) ∪ a( ∪ a)∗ b] to initiate the activity attached to the node. An event i (e.g.,
the start of a process) occurs at step k ⫹ 1 in the moment
xi(k ⫹ 1) when all the input events (e.g., the end of the prereq-
Max-Plus Algebra Representation of uisite processes) have occurred at step k in the respective mo-
Timed Discrete Event Systems ments xj(k); j ⫽ 1, . . ., n, and have propagated from j to i
with the transport delays Aij; j ⫽ 1, . . ., n. The corresponding
The max-plus (max, ⫹) algebra deals with a subclass of the discrete-time dynamic system model is given by the equa-
timed Petri nets, namely the timed event graphs. Originally, tions:
Petri nets were introduced as nontimed logical models. Timed
Petri nets have been developed for modeling and performance xi (k + 1) = max(Ai1 + x1(k) , . . ., Aij + x(k)
j
, . . ., Ain + xn(k) ),
analysis, but were found less adequate for control purposes. (35)
i = 1, . . ., n
The theory of timed DES emerged from the combination of
the max-plus algebra framework with the system-theoretic The analysis of this model is significantly simplified by the
concepts. The trends of the research on the max-plus algebra max-plus algebra formalism.
approach to DESs can be found in Ref. 23. Max-plus algebra The max-plus algebra (⺢max, 丣, 丢) is a dioid over the set
is a convenient formalism for the systems in which synchroni- ⺢max ⫽ ⺢ 傼 兵⫺앝其, where ⺢ is the set of real numbers.
zation is a key request for event occurrence, including both The additive operation 丣 is the maximization
discrete events systems and continuous systems that involve
synchronization. Max-plus algebra adequately describes sys- x ⊕ y = max(x, y) (36)
tems for which the start of an activity requires the completion
of all the activities that provide the inputs needed to perform and the multiplicative operation 丢 is the usual addition
the considered activity. In such cases, maximization is the
xy = x ⊕ y = x + y (37)
basic operation. The complementary case is that of the sys-
tems in which an activity starts when at least one input be-
The neutral element e with respect to 丢 (the ‘‘one’’ element of
comes available. Minimization is the basic operation and the
the structure) is 0, whereas the neutral element ⑀ with re-
min-plus algebra is the adequate algebraic structure. These
spect to 丣 (the ‘‘zero’’ element of the structure) is ⫺앝, which
two limit cases correspond to the AND and OR operators from
is also the absorbing element of the multiplicative operation:
the binary logic, respectively. In mixed systems, both types of
a 丢 ⫺앝 ⫽ ⫺앝 丢 a ⫽ ⫺앝, ᭙a 僆 ⺢max. This dioid is not a ring
conditions can be present, and other related (usually isomor-
because, in general, an element of ⺢max has no inverse with
phic) dioid algebraic structures must be used. In the following
respect to 丣. One distinctive feature of this structure is the
we will refer only to the max-plus case.
idempotency of the addition:

x ⊕ x = x, ∀x ∈ Rmax

The matrix product AB ⫽ A 丢 B of two matrices of fitting


Table 1. Partial Languages of the Generator G in Example 1
sizes (m ⫻ p) and (p ⫻ n) is defined by
R(i, j, k) k⫽1 k⫽2

p
R(1, 1, k) ␧傼a (␧ 傼 a) 傼 (␧ 傼 a)(␧ 傼 a)*(␧ 傼 a) (A ⊗ B)ij = Aik ⊗ Bk j = max (Aik + Bk j ), i = 1, . . ., m;
R(1, 2, k) b b 傼 (␧ 傼 a)(␧ 傼 a)*b k=1,..., p
k=1
R(2, 1, k) a a 傼 a(␧ 傼 a)*(␧ 傼 a) j = 1, . . ., n
R(2, 2, k) ␧傼b (␧ 傼 b) 傼 a(␧ 傼 a)*b (38)
620 DISCRETE EVENT SYSTEMS

The matrix sum A 丣 B of two matrices of the same size (m ⫻ a path for which the initial and the final node coincide. In
n) is defined by the following, we will consider only elementary circuits, i.e.,
circuits that do not pass twice through the same node. The
(A ⊕ B)ij = Aij ⊗ Bij = max(Aij , Bij ), i = 1, . . ., m; j = 1, . . ., n length of a path (circuit) is defined as the number of edges in
(39) the path (circuit). The weight of a path (circuit) is defined as
the 丢 multiplication (i.e., the conventional sum) of the
The multiplication by a scalar a of a matrix A is defined by weights of all the edges in the path (circuit): w(i1 씮 i2 씮 ⭈ ⭈ ⭈
씮 ik) ⫽ Aikik⫺1 ⫹ ⭈ ⭈ ⭈ ⫹ Ai2i1. The average weight of a path is
(a ⊗ A)ij = a ⊗ Aij = a + Aij (40) its weight divided (in the classical way) by its length. For a
circuit, the average weight is sometimes called the circuit
With the formalism of the max-plus algebra, the equations of mean.
a time event graph become
Example 5. Examples of paths in the graph in Fig. 9 are

n
xi (k + 1) = Aij x j (k) i = 1, . . ., n (41)
j=1
1 씮 2 (length ⫽ 1, weight ⫽ 1, average weight ⫽ 1),
1 씮 2 씮 3 (l ⫽ 2, w ⫽ 3, aw ⫽ 1.5),
or, in matrix form, 1 씮 2 씮 3 씮 3 씮 2 (l ⫽ 4, w ⫽ 12, aw ⫽ 3).

x(k + 1) = Ax(k) (42) There are three (elementary) circuits in this graph:

where x(k) ⫽ [x(k) (k) T


1 , . . ., xn ] is the state vector at time k, and
1 씮 2 씮 1 (l ⫽ 2, w ⫽ 4, circuit mean ⫽ 2),
A ⫽ [Aij, i, j ⫽ 1, . . ., n] is the (n ⫻ n) system matrix. 2 씮 1 씮 2 (l ⫽ 2, w ⫽ 8, cm ⫽ 4),
The weighted graph corresponding to a square (n ⫻ n) ma- 3 씮 3 (l ⫽ 1, w ⫽ 3, cm ⫽ 3).
trix A is the triple G(A) ⫽ (N, E, ␸), where N is the set of n
nodes, E is the set of edges, each representing a nonzero entry A graph is strongly connected if there exists a path be-
of A, and ␸ : E 씮 N ⫻ N, with ␸(eij) ⫽ ( j, i), eij 僆 E if and only tween any two nodes of the graph. The matrix corresponding
if Aij ⬎ ⑀. The weight of the edge eij is Aij. In the following, to a strongly connected graph is called irreducible. For an ir-
only graphs for which there is at most one edge between any reducible matrix A, then is a permutation P such that PTA P
ordered pair of nodes, oriented from the first node to the sec- is an upper triangular matrix.
ond, will be considered.
Example 6. The graph in Fig. 9 is strongly connected.
Example 4. The graph in Fig. 9 corresponds to the system
matrix The power of a square matrix Ak is defined recursively by
 
 9  Ak = A ⊗ Ak−1 , k ∈ N∗ (43)
 
A = 1  6
where A0 ⫽ I is the identity matrix, which has (A0)ij ⫽ e if
 2 3 i ⫽ j, and (A0)ij ⫽ ⑀ if i ⬆ j. The entry (Ak)ij of the kth power
of a square matrix A equals the maximum weight for all the
Considering the state at step k given by the vector x(k) ⫽ paths of length k from node j to node i.
[3, 2, 1]T, the vector at step (k ⫹ 1) is A square matrix is aperiodic if there exists k0 僆 ⺞* such
  that (Ak)ij ⬆ ⑀ for all k ⱖ k0. Aperiodicity implies irreducibility
 9  3 because (Ak)ij ⬆ ⑀ means that there exists at least one path of
   length k from node j to node i with weight (Ak)ij. The reverse
x(k + 1) = Ax(k) = 1  6 2
 2 3 1 is not true.
   
(9 ⊗ 2) 11 Example 7. The matrix A corresponding to the graph in Fig.
   
= (1 ⊗ 3) ⊕ (6 ⊗ 1) =  7 9 is aperiodic with k0 ⫽ 4.
(2 ⊗ 2) ⊕ (3 ⊗ 1) 4
As in conventional algebra, if for a square matrix A there
exist a vector v ⬆ [⑀, ⑀, . . ., ⑀]T and a scalar ␭ such that
A path in a graph is a sequence of adjacent edges and nodes:
(i1, i2), (i2, i3), . . ., (ik⫺1, ik) ⬅ i1 씮 i2 씮 ⭈ ⭈ ⭈ 씮 ik. In general, A⊗v = λ ⊗v (44)
it is accepted that a path can pass twice through the same
node or through the same edge. A circuit is a closed path, i.e., then v is called an eigenvector of A, and ␭ is the correspond-
ing eigenvalue.

9 6 Example 8. It is easy to check that


     
x1 x2 x3 7 12 7
1 2 3      
A 3 =  8 = 5 3
Figure 9. Timed event graph corresponding to the system matrix in
e 5 e
Example 4.
DISCRETE EVENT SYSTEMS 621

where A is the matrix corresponding to the graph in Fig. 9. state is reached within a finite number of steps. The periodic
The vector v ⫽ [7 3 e]T is an eigenvector of A for the eigen- regime is determined only by the length and the average
value ␭ ⫽ 5. weight of the critical circuit, which is the slowest circuit in
the system. If A is irreducible and the corresponding graph
Some very important properties of the eigenvalues and ei- has a unique critical circuit of length m and average weight
genvectors of irreducible matrices are stated next without ␭ (the eigenvalue of A), then A is asymptotically periodic with
proof. period m, i.e., there exists a kA 僆 ⺞* such that

• Every square matrix has at least one eigenvalue. Ak+m = λm Ak , for all k ≥ kA (48)
• The eigenvalue is unique for an irreducible matrix.
• For an irreducible matrix, the eigenvalue equals the Example 11. For the matrix A considered in Example 4, the
maximum circuit mean taken over all circuits in the length of the critical path m ⫽ 2, its average weight (the ei-
strongly connected graph corresponding to the matrix. genvalue of A) is ␭ ⫽ 5, and kA ⫽ 4, so that A6 ⫽ 10 丢 A4.
Indeed, in the max-plus algebra 10 ⫽5 丢 5 ⫽ 52.
Any circuit for which the circuit mean is maximum is called
a critical circuit. The max-plus algebra can thus be used to evaluate the per-
formance of timed discrete systems, in the asymptotic steady
Example 9. The critical circuit of the graph in Fig. 9 is 1 씮 state. For this purpose, the eigenvalue ␭ is the key parameter
2 씮 1, which has the maximum average weight over all cir- of a system described by an irreducible matrix because ␭ de-
cuits of the graph. This weight determines the eigenvalue termines the speed in the periodic state. Usually, 1/ ␭ is re-
␭ ⫽ 5 of the matrix A. ferred to as the throughput of the system.

The matrix A⫹ is defined by Petri Nets Models


∞ Petri nets theory has been developed as a formalism able to
A+ = Ak (45) describe in a unified way systems that included computers,
k=1 programs, and a certain environment. Previously, the various
components of such systems had to be described in different
Each entry (A⫹)ij of the matrix gives the maximum weight for and unrelated formalisms: automata theory for the computer
all paths of arbitrary length from node j to node i. The length hardware, code in a sequential programming language for the
increases unboundedly, so that the matrix A⫹ diverges. For program, and narrative prose for the interaction of the pro-
an irreducible matrix A, with the eigenvalue ␭, a matrix A␭ is gram with the environment. From the three mentioned ele-
defined by ments, at most one—the program—is sequential so that the
capacity to deal with the characteristics of parallel systems
Aλ = λ−1 A (46)
was a basic request. The timed Petri nets have been intro-
duced in the late seventeen to quantitatively study the perfor-
meaning that (A␭)ij ⫽ Aij ⫺ ␭.
mances of parallel systems, especially referring to (1) concur-
The matrix A␭ has the remarkable property that
rence, the possibility that events occur independently; (2)

∞ 
n synchronization, the necessity that some events wait for the
A+
λ = Akλ = Akλ (47) others before they can occur; and (3) conflicts, the mutual ex-
k=1 k=1 clusion of some events. Petri nets have the advantage to have
a precise semantics and to allow the efficient use of algebraic
where n is the dimension of the square matrix A. As before,
techniques. The event graphs, which are adequate for model-
(A␭⫹)ij is the maximum weight for all paths of arbitrary length
ing collision-free synchronous systems, form a special class of
from node j to node i, in the directed graph corresponding to
Petri nets and can be described by linear equations when us-
A␭. The critical circuit in this graph has the weight e. A␭ has
ing max-plus algebra. An overview of Petri nets and of the
the same eigenvectors as A, but for the eigenvalue e. For any
concepts related to their properties can be found in the survey
node j in a critical circuit of A, the jth column of A␭⫹ is an
paper of Murata (26).
eigenvector of A (and of A␭).
Untimed Petri Nets. An untimed Petri net is defined by (S,
Example 10. For the matrix A considered earlier, the ma-
M0), where S describes the structure of the graph attached to
trix A⫹ diverges, but we can readily calculate A␭ and A␭⫹:
the net and M0 is the initial marking of the net.
    The structural part is characterized by the 5-tuple
 4  e 4 5
   
Aλ = −4  1 , A+λ = −4 e 1 S = (P, T, F, r, s) (49)
 −3 −2 −7 −3 −2
with P the (finite) set of places and T the (finite) set of transi-
The first two columns of A␭⫹ are eigenvectors of A for the ei- tions. The places P (customarily represented by circles) and
genvalue ␭ ⫽ 5. It happens that the third column is also an ei- the transitions T (drawn as bars) form the vertices of a graph.
genvector. The arcs of the graph are given by F 傺 P ⫻ T 傼 T ⫻ P. The
maps r : P ⫻ T 씮 ⺞* and s : T ⫻ P 씮 ⺞* give the (positive)
The asymptotic behavior of the systems described by irre- integer weights of the arcs going from the places toward the
ducible matrices is periodic. Remarkably enough, the steady transitions, and from the transitions toward the places, re-
622 DISCRETE EVENT SYSTEMS

p1 p3 p1 p3 set of markings reachable when starting from a marking M


1 1 and firing transitions is denoted by R(M). The rechability
2 3 2 3
p4 p4 problem—given M1 and M2, establish if M2 僆 R(M1)—is expo-
1 1 1 1 nentially decidable.
t t
p2 p5 p2 p5 A marking M is bounded if for any place p 僆 P the number
of tokens is bounded, i.e., there is a constant integer b 僆 ⺞*
(a) (b) such that M(p) ⬍ b, ᭙p 僆 P. A Petri net is bounded for a
Figure 10. Firing of a transition in a Petri net. (a) Transition t is given initial marking M0 if it is uniformly bounded for any
fireable because for ᭙p 僆 *t ⫽ 兵 p1, p2其, the markings exceed the M 僆 R(M0). A Petri net is safe if the bound is 1. A Petri net
threshold: M(p1) ⫽ 2 ⱖ r(p1, t) ⫽ 2 and M(p2) ⫽ 2 ⱖ r(p2, t) ⫽ 1. (b) is structurally bounded if it is bounded for any initial mark-
After the firing, the markings are M⬘(p1) ⫽ M(p1) ⫺ r(p1, t) ⫽ 0, ing M0. A Petri net is conservative if the number of tokens is
M⬘(p2) ⫽ 1, M⬘(p3) ⫽ M(p3) ⫹ s(t, p3) ⫽ 1, M⬘(p4) ⫽ 4, M⬘(p5) ⫽ 2. constant during the evolution of the system:

|M(p)| = |M0 (p)|, ∀M ∈ R(M0 )
spectively. It is customary to inscribe only the arcs with the p∈P p∈P
weights exceeding one, whereas the arcs without any inscrip-
tion have unit weight by default. Sometimes, edges with a Example 13. The Petri net in Fig. 10 is not conservative.
larger weight are represented by the corresponding number
A transition t in a Petri net is alive for a marking M 僆
of unit weight arcs in parallel. The places may contain zero
R(M0), if there exists M⬘ 僆 R(M) such that t is fireble under
or more tokens, usually drawn as black circles. A marking or
M⬘. A transition is structurally alive if it is alive for any ini-
‘‘state’’ of a Petri net is given by the distribution of the tokens
tial marking. A Petri net is (structurally) alive if all its transi-
at a certain moment: M : P 씮 ⺞, where M(p) gives the number tions are (structurally) alive.
of tokens in the place p 僆 P. The initial marking is given The incidence matrix of a Petri net is the 兩T兩 ⫻ 兩P兩 matrix
by M0. A with the elements
Given a transition t 僆 T, the input place set of t is defined
by Aij = s(i, j) − r( j, i) (55)

t = {p ∈ P : (p, t) ∈ F} (50) The evolution vector uk at step k is a unipolar binary vector
of size 兩T兩
and the output place set, by:
u k = (1, 0, 1, . . ., 0, 0)T (56)

t = {p ∈ P : (t, p) ∈ F} (51)
which has the entries one for the transitions that fire at step
Similarly, for a place p 僆 P, the input transition sets of p is: k and zero for the others.

The net marking at step k can be described by a vector
p = {t ∈ T : (t, p) ∈ F} (52) Mk for which the evolution law is

whereas the output transition set is M k = M k−1 + A T u k ; k ∈ N∗ (57)

p∗ = {t ∈ T : (p, t) ∈ F} (53) A firing sequence 兵uk兩k ⫽ 1, 2, . . ., d其 is globally character-


ized by the firing vector
The dynamics of the Petri net is determined by the marking
M. A transition t is enabled on a marking M, if the number
d
x= uk
of tokens in each place p from which there is an arc toward
k=1
the transition t exceeds or at least equals the weight of the
arc, i.e., if M(p) ⱖ r(p, t) for all p 僆 *t. An enabled transition whereas the final marking is given by
may fire. When a transition t fires, the number of tokens in
the places p 僆 *t 傼 t* 傺 P changes. The number of tokens is M f = M0 + A T x (58)
decreased for each input place p 僆 *t with r(p, t) pieces and
increased with each output place p 僆 t* with s(t, p) pieces. where M0 is the initial marking, and Mf is the final marking.
Consequently, the marking of the network places p 僆 P
Example 14. Untimed Petri nets have been used for the vali-
changes from M(p) to M⬘(p), according to the rule
dation of communication protocols. The Petri net in Fig. 11

 ∗
M(p) − r(p, t), p ∈ t

M  (p) = M(p) + s(t, p), p ∈ t ∗ (54) Send message Receive message


M(p), otherwise Write Read
message message
Read Write
Example 12. Figure 10(a) represents a transition for which acknowledgment acknowledgment
the firing conditions are fulfilled. Figure 10(b) gives the mark-
ing resulted after the fire. Receive Send
acknowledge acknowledge

A marking M2 is reachable from a marking M1 if a se- Figure 11. Untimed Petri net model of a communication protocol
quence of transition firings leading from M1 to M2 exists. The with acknowledge of reception.
DISCRETE EVENT SYSTEMS 623

shows such a protocol with acknowledge of reception. The sys- p p′ tp p′′


tem comprises cycles on the emitting and receiving parts. The
position of the tokens gives the state of the system, whereas
the actions are represented by the transitions. The sending σ p(n) σ p′(n) = 0 σ p′′(n) = 0
side waits for confirmation from the receiving part before pro-
σtp(n) = σp(n)
ceeding to the transmission of the next message. The receiv-
ing side is ready for a new message only after having sent out (a)
the acknowledgment for the preceding one. The arrival of the
next message can then trigger a new cycle for sending out
the confirmation. t t′ pt t′′

Timed Petri Nets. Timed Petri nets offer a general formal-


ism adequate for including a measure of time in the descrip- ϕ t(n) ϕ t′(n) = 0 ϕ t′′(n) = 0
tion of a DES. Petri nets are especially adequate to model σpt(n) = ϕ t(n)
concurrent or parallel discrete systems. A First In–First Out
(b)
(FIFO) discipline is usually adopted for all the places and all
the transitions. Time-related parameters are attached Figure 12. (a) Petri net comprising only timed transitions where the
to each process taking place in the net. If the nth token enters rest time of place p has been assigned as the duration of the equiva-
a place p at the moment u, it becomes ‘‘visible’’ for the transi- lent transition tp. (b) Dual case of a net comprising only timed places
tions in p* only after the moment u ⫹ ␴p(n), where ␴p(n) is where transition t has been replaced with place pt.
the rest time of the nth token in place p. An initial latency
time is also ascribed to each initial token in a place p. If
M0(p) ⱖ n, the nth token existing in place p at the initial
moment becomes available for the transitions in p* starting t 僆 T; vp(n), wp(n) is the entering and the release mo-
from a moment ␰p(n). The initial latency time is a special case ments, respectively, of the nth token in the place p 僆 P,
of the rest time and allows modeling the peculiarities of the
• The counters: xt(u), yt(u) is the number of times the tran-
initial phase, whenever necessary. Similarly, the nth fire of a
sition t 僆 T has started and ended, respectively, the fire
transition t started at a moment u, ends at moment u ⫹
at moment u; vp(u), wp(u) is the number of tokens enter-
␸t(n), where ␸t(n), is the duration of the nth firing of the tran-
ing and leaving, respectively, place p at moment u.
sition t. The tokens are taken from the input places of the
transition t and moved to the output places at the moment
u ⫹ ␸t(n). The following conventions are commonly accepted:
The time parameters have to satisfy certain natural re-
strictions:
• xt(0) ⫽ yt(0) ⫽ vp(0) ⫽ wp(0) ⫽ ⫺앝,
• All the rest times and transition durations must be non- • xt(n) ⫽ yt(n) ⫽ vp(n) ⫽ wp(n) ⫽ 앝, if the transition t never
negative ␴p(n) ⱖ 0, ␸t(n) ⱖ 0 for all p 僆 P, t 僆 T, and fires n times, or the place p never receives n tokens,
n 僆 N*. • xt(u) ⫽ yt(u) ⫽ wp(u) ⫽ 0 and vp(u) ⫽ M0(p) for u ⬍ 0.
• The initial latency times can be both positive and nega-
tive, but they are restricted by the weak compatibility
conditions that require that for each place p: (1) there For any transition t 僆 T, where n 僆 N*
exists no transition before the initial moment t ⫽ 0 so
that M0(p) retains its meaning of initial marking, (2) the yt (n) = xt (n) + ϕt (n) (60)
initial tokens in a place p are taken by the output transi-
tions in p* before the tokens supplied to p by the input The FIFO rule requires
transitions in *p.
wp (n) ≥ vp (n) + σp (n) for ∀p ∈ P, ∀n ∈ N ∗ (61)
A timed Petri net is thus defined by the n-tuple

TPN(S, M0 , , φ, ) (59) meaning that the order of the tokens are not changed at any
of the places, and
where S is the structural part, M0 is the initial marking, ⌺ ⫽
兵␴p(n); n 僆 N*兩p 僆 P其 is the set of rest times, ␾ ⫽ 兵␸t(n); n 僆
y t [ yt (n)] = x t [xt (n)] for ∀t ∈ T, ∀n ∈ N ∗ (62)
N*兩t 僆 T其 is the set of transition durations, and ⌶ ⫽ 兵␰p(n);
n 僆 N*兩p 僆 P其 is the set of initial latencies.
Equivalent Petri nets having only timed transitions or only meaning that a transition cannot start its (n ⫹ 1)th fire before
timed places can be built, as shown in Fig. 12 (a, b). ending the nth one.
The following state variables are defined to describe the A Petri net is called FIFO if all its places and transitions
time evolution of a Petri net: observe the FIFO discipline. Usually, the stronger conditions
of constant rest times and constant transition durations are
• The schedulers: xt(n), yt(n) is the beginning and the end used. The FIFO constrained can result from the structure of
moments, respectively, of the nth fire of the transition network, without any hypothesis on the net temporizations.
624 DISCRETE EVENT SYSTEMS

tij ti
pi pij
pj
ph phj tj
Figure 13. Cyclic transition with structurally restricted FIFO be-
havior. thj th

(a) (b)

Example 15. The Petri net in Fig. 13 contains a cyclic transi- Figure 15. Special cases of Petri nets: (a) model of a state machine,
tion which behaves FIFO for any sequencing of the firing. (b) model of an event graph.

Timed Petri nets can be used for quantitative performance


evaluation, e.g., when studying various queuing types. Most parameters like throughput of a transition or average number
classical networks like Jackson single classes, fork-join of tokens in a place. Petri nets include as special cases other
queues, and token rings can be modeled with Petri nets, frequently used models like state machines, event graphs,
whereas others like multiclass networks, Kelly networks, and and free-choice nets. The following structural conditions de-
processor-sharing systems cannot. fine the mentioned special cases:

Example 16. Figure 14 represents the Petri net models of • A state machine is a Petri net for which
some classic types of queues. The Kendall notation is used to
describe a queue. The simplest queue, with any input process |∗ t| = |t ∗ | = 1; ∀t ∈ T (63)
(.), any distribution of the timings of the server (.), one server
(1) and an unlimited buffer (앝) is designated by ././1/앝. i.e., each transition has exactly one input place and one
output place. As a consequence, between any two places
Petri nets allow a unified treatment of a large class of sys- pi and pj there is at most one transition that would be
tems, avoiding the usual case-by-case performance evalua- denoted by tij, with 兵 pi其 ⫽ *tij, 兵 pj其 ⫽ tij*, 兵tij其 ⫽ pi*傽*pj, as
tion. It has been shown that Petri nets with inhibitor edges shown in Fig. 15(a).
(i.e., with a special kind of edges from places to transitions, • An event graph is a Petri net with
which trigger the transitions only when the place is empty)
have the computing power of a Turing machine. |∗ p| = |p∗ | = 1; ∀p ∈ P (64)
The Petri nets can be characterized both by basic qualita-
tive properties like stability, existence of a stationary state, i.e., each place has exactly one input transition and one
and the duration of the transient state and by performance output transition. Correspondingly, between any two
transitions ti and tj, there is at most one place pij, with
兵ti其 ⫽ *pij, 兵tj其 ⫽ pij*, 兵 pij其 ⫽ ti*傽*tj, as shown in Fig. 15(b).
• A free-choice net is a Petri net for which
Que././1/• ∀p ∈ P, |p∗ | > 1 ⇒ ∀t ∈ p∗ , |∗ t| = 1 (65)
...
meaning that if a place p has more than one output tran-
(a) sition, than the place p is the only input place for each of
its output transitions. It results that a free-choice graph
contains substructures of the type shown in Fig. 16, so it
can model both synchronization [Fig. 16(a)] and choice
Que././1/k [Fig. 16(b)], but not both of them for the same process.
... Free-choice machines include the state machines and the
event graphs, again as special cases. The event graphs
... model only synchronization; they exclude choice. It has
k 2 1
(b)

Que././2/•
...

(c) (a) (b)

Figure 14. Queue theory and Petri net models of some classic types Figure 16. Special cases of Petri nets—the free-choice nets: (a) sub-
of queues: (a) Infinite buffer, single server; (b) Finite buffer, single structures modeling synchronization, (b) substructures modeling
server; (c) Infinite buffer, double server. choice.
DISCRETE EVENT SYSTEMS 625

been shown than an event graph is alive if each circuit ././1/•→././1/•


in the graph contains at least one token. In the opposite
case, the net will run into a dead lock after a finite num-
ber of firing instances. In a timed event graph, a place
containing k tokens can be replaced by k chained places,
each one containing exactly one token, interlaced with p1 t1 p2 t2
k ⫺ 1 transitions (Fig. 17). The rest time ␴p of the initial
(a)
place is attributed to one of the places in the chain, all
the other places and transitions having no delays.
././1/•→././1/•
Timed event graphs can be represented as linear systems
by using max-plus algebra. Because of the special structure
of a timed event graph, it is convenient to make the analysis
in terms of the transitions. Let us denote by xi(n) the start
moment of the nth firing instance of the transition ti, i ⫽ 1, p1 t1 p2 t2 p3 t3
. . ., k; k ⫽ 兩T兩, and by ●ti the set of the input transitions of
ti:
p5 p4
•ti = ∗ (∗ti ) = {t j |t j ⊂ ∗ p, ∀p ∈ ∗ ti } ⊂ T (66)
(b)
Consider the nth firing of a transition ti 僆 ●ti. Using the Figure 18. Chained queues: (a) no deadlocks, (b) after-service
equivalence in Fig. 16, the place pji 僆 P contains at most one deadlock.
token. If M(pji) ⫽ 0, then the token enables the nth firing of
ti; else if M(pii) ⫽ 1, it enables the (n ⫹ 1)th firing of ti. This
results in the equation The minimal solution of (71) is given by the linear recurrence
relation
x j (n + 1) > max{x j [n + 1 − M(p ji )] + ϕt + σ p ji } (67)
i
j∈•t i
x(n + 1) = A∗0 A1 x(n) (73)

where x ⫽ xj[n ⫹ 1 ⫺ M(pji)] is the start moment of the [n ⫹ Using the max-plus algebra framework, the equations of a
1 ⫺ M(pji)]th firing of the transition tj, x ⫹ ␸tj is the end mo- timed event graph become linear. As shown at Eq. (48), a re-
ment of this process, and xi ⫹ ␸tj ⫹ ␴pji is the moment the lation in the form of Eq. (73) determines a periodic stationary
transition ti is enabled by tj. solution. This means that event graphs have a cyclicity prop-
With the delay matrices A움, 움 ⫽ 0,1, defined by erty: after n firings of each transition, the marking returns
 exactly to the initial marking. However, this is only a formal
ϕt i + σ p ji , if ti ∈ •ti and M(p ji ) = α
(Aα )ij = (68) result valid in the firing event ordering scale, not in the time
 = −∞, otherwise scale. The nth firing for different transitions occurs at differ-
ent time moments xti(n) so that there exists no time period
Eq. (67) can be written in the matrix form which after the marking is repeated.

x(n + 1) ≥ A0 x(n + 1) ⊕ A1 x(n) (69) Example 17. Figure 18 presents two examples of chained
queues and their corresponding Petri net (event graph) mod-
With els. The systems contain each of two servers preceded by
queues. The example in Fig. 18(a), for which both queues

∞ 
k
A∗0 = Ai = Ai = I + A+
0 (70) have infinite buffers, has no deadlocks. The example in Fig.
i=0 i=0 18(b), exhibits an after-service deadlock. A client leaving the
first queue when the buffer of the second queue is full must
[see Eq. (45)], wait in place p2; consequently, the access of a new client to
the first service is denied.
A∗0 (I − A0 ) = A∗0 (I − A0 ) = I (71)

results. Relation (69) becomes CONTROL OF DISCRETE EVENT SYSTEMS

x(n + 1) ≥ A∗0 A1 x(n) (72) One major goal in studying DESs has been to devise methods
for controlling the trajectory of a system so as to reach a cer-
tain set of desired states, or to avoid some undesired states—
ϕ t1 ϕ t2 ϕ t1 ϕ t′ = 0 ϕ t′′ = 0 ϕ t2 including deadlocks or traps. As pointed out in the work of
σp σ p′ = 0 σp′′ = 0 σp Ramadge and Wonham (16–18), DESs fully qualify as objects
≡ for the control theory because they exhibit the fundamental
p p′ p′′ p features of potentially controllable dynamic systems. Actu-
t1 t2 t1 t′ t′′ t2
ally, a large part of the work performed in the DES domain
Figure 17. Equivalence of a place containing k tokens with k chained has been motivated by the search for proper techniques to
places each one containing exactly one token. control event sequences and to select the ones that comply
626 DISCRETE EVENT SYSTEMS

with various restrictions or optimization criteria. In the fol- A generator is called trim if it is both reachable and con-
lowing, we will explore the basics of DESs control within the trollable.
framework of state machines and formal languages, as initi- A generator is called deterministic [see Eq. (18)] if for all
ated by Ramadge and Wonham. The events are considered q 僆 Q and ␴ 僆 ⌺, there exist at most one state q⬘ 僆 Q such
spontaneous and process-generated. The control consists of that (q, ␴, q⬘) 僆 ⌬*. In this case, a transition (partial) function
forbidding the occurrence of some of the events so as to re- can be defined such that q⬘ ⫽ 웃(q, ␴), as shown at Eq. (1) and
strict the behavior of a system to avoid undesirable trajector- discussed at Eq. (26).
ies. Automatic control is performed by means of another sys- The control of a DES described by a generator G is pro-
tem, which tests the controlled system and acts upon it vided through a control pattern 웂 : ⌺ 씮 兵0, 1其, defined such
according to the available information. Thus, the set of events that for a state ␴ 僆 ⌺c, 웂(␴) ⫽ 1 if ␴ is enabled and 웂(␴) ⫽ 0
⌺ can be partitioned into two disjoint subsets: ⌺u, containing if ␴ is disabled. For all ␴ 僆 ⌺u, 웂(␴) ⫽ 1 as these events can
the uncontrollable events, and ⌺c, containing the controllable not be disabled. The set of control patterns 웂 is denoted by ⌫
ones. The control is provided by a supervisor or a discrete 傺 兵0, 1其⌺.
event controller (DEC), which has the ability to influence the For each control pattern, a new generator G(웂) ⫽ (Q, ⌺,
evolution of the system by enabling and disabling the control- ⌬웂, s, Qm) is obtained, where the controlled evolution relation
lable events, i.e., by allowing or prohibiting their occurrence, ⌬웂 is defined by
so as to perform a certain control task. Various control tasks
∀q, q ∈ Q, ∀σ ∈  :
can be defined: (1) control invariance requires that a specified
predicate remains invariantly satisfied whenever initially sat- (q, σ , q ) ∈ γ ⇔ (q, σ , q ) ∈  and γ (σ ) = 1 (74)
isfied, meaning that the behavior of the system remains con-
fined within specified bounds, (2) region avoidance requires The set of enabled events, also called the control input, for a
that the system does not satisfy undesirable predicates when control pattern 웂 is given by
traversing the state space, and (3) convergence requires that
 e (γ ) = {σ ∈ |γ (σ ) = 1} = ce (γ ) ∪ u (75)
the system to evolve toward a specified target predicate from
given initial conditions.
where the control pattern 웂 plays the role of the characteristic
The main difficulty in modeling complex processes by
function of the set.
considering all the states and all the events is the combina-
As mentioned earlier, ⌺u 傺 ⌺e(웂), for any control pattern 웂.
torial explosion in the number of their states. A way to
The set of feasible events for a state q 僆 Q of the genera-
keep the complexity manageable is to use event internaliza-
tor G(웂) is given by ⌺f(q) 傽 ⌺e(웂).
tion, or partial observation, which leads to nondeterministic
The set of all control inputs is
process behavior. Markov chain representation, or GSMP
models, can be used to describe complex DESs in a formal-  e () = { e (γ )|γ ∈ } ⊆ 2 (76)
ism that has the capability to relax the requirement that
all states and all event sequences be explicitly in the model. The control of G through ⌫ consists in choosing a specific 웂
Other approaches to achieve an effective modeling are when the system is in a certain state q 僆 Q, after a certain
based on the concept of modularity and hierarchy that lead sequence of events w 僆 L, according to the assumed control-
to structured models of lower complexity in comparison ling task.
with the case when all individual components are taken The choice of a particular control pattern 웂 僆 ⌫ can be
directly into account. considered itself an event, so that a controlled discrete event
system (CDES) with the generator
Controllability and Reachability
G() = (Q,  × ,  , s, Qm ) (77)
Consider a DES modeled by the generator G ⫽ (Q, ⌺, ⌬, s,
Qm), where Q is the state space (an arbitrary set), ⌺ is the can be defined where the evolution law given by
event set (or the alphabet, a finite set), ⌬ is the evolution law
[a relation on Q ⫻ ⌺ ⫻ Q, which generalizes the transition [q, (σ , γ ), q] ∈  ⇔ (q, σ , q ) ∈ γ (78)
function, see comments on Eq. (26)], s ⫽ q0 is the start (initial)
state, and Qm 傺 Q is the set of marker states. As mentioned Example 18. For the single model of a machine shown in
before, the marker states were introduced by Ramadge and Fig. 2, the control could consist of honoring or turning down
Wonham to identify the ‘‘completed tasks.’’ The set of events requests to start a new task and passing from idle (I) to work-
⌺ is partitioned into ⌺c, the set of controllable events, and ing state (W), taking into account the history of machine evo-
⌺u, the set of uncontrollable events, with ⌺ ⫽ ⌺c 傼 ⌺u, ⌺c 傽 lution.
⌺u ⫽ 0 兾.
A state q 僆 Q is called reachable from the initial state The set ⌫ consist of two control patterns, namely 웂0, which
s ⫽ q0, if there exists a path (q0␴1q1␴2 . . . ␴nqn) 僆 B(G), such disables the requests
that qn ⫽ q, i.e., if there exists w ⫽ ␴1␴2 . . . ␴n 僆 ⌺*, such
that (q0, w, qn) 僆 ⌬*. γ0 (S) = 0, γ0 (C) = γ0 (B) = γ0 (R) = 1
A state q 僆 Q is called controllable if there exists w 僆 ⌺*
and qm 僆 Qm, such that (q, w, qm) 僆 ⌬*. and 웂1, which enables the requests
Correspondingly, a generator is called reachable (controlla-
ble) if all the states q 僆 Q are reachable (controllable). γ1 (S) = γ1 (C) = γ1 (B) = γ1 (R) = 1
DISCRETE EVENT SYSTEMS 627

For the system in Fig. 4 comprising two simple machines, the


control of one of the machines can be made dependent of the c2
2
state of the other (e.g., the second machine accepts requests m2 c3
only if the first one is down). 1
m2 m1

Supervision c1
0
In the standard control terminology, the generator G plays m6
c7
the role of the plant, the object to be controlled. The agent c4 m4
doing the controlling action will be called the supervisor. For- 3
mally, a supervisor over ⌫ is a pair c5 c6
m5 4
S = (T, ϕ) (79)
Figure 19. The cat-and-mouse maze. The cat starts from room 2; the
where T is a reachable deterministic generator T ⫽ (Q⬘, ⌺, ⌬, mouse starts from room 4. The cat and the mouse each use only the
s⬘0, Q⬘m) and ␸ : Q⬘ 씮 ⌫ is the map that specifies, for each state passages labeled c and m, respectively. Control the system by (mini-
q⬘ 僆 Q⬘ reached by the generator of the supervisor, what con- mally) forbidding some of the passages (except c7), to prevent the dan-
trol pattern 웂 ⫽ ␸(q⬘) must be applied to G(⌫). gerous encounter of the parties.
If the behavior of G(⌫) is used to determine the state of T,
a supervised generator results
by the control are not included in the transition structure
(G, S) = [Q × Q , , G,S , (s0 , s0 ), Qm × Qm ] (80)
of S.
• If s 僆 L(G, f), s␴ 僆 L(G) and ␴ 僆 f(s), then s␴ 僆 L(S).
where This condition ensures that a transition possible in G
and allowed by the control is included in the transitive
[(q1 , q1 ), σ , (q2 , q2 )] ∈ G,S structure of S.
 (81)
(q1 , σ , q2 ) ∈  and (q1 , σ , q2 ) ∈  and γ (σ ) = [ϕ(q2 )](σ ) = 1 An event ␴ can occur in G ⫻ S and produce the transition
(q, x) 씮 (q⬘, x⬘), only if ␴ is possible in both G and S, and
produces the transitions q 씮 q⬘ and x 씮 x⬘. This form of su-
The supervisor has authority only over controllable events.
pervision can be obtained from the state realization (S, ␸) by
The uncontrollable events ⌺f(q) 傽 ⌺u that may occur in a state
trimming the transition structure of S (16).
q of the plant are called disturbances (disturbing events).
Consider a DES for which the unsupervised (open loop) be-
Again, in standard control theory terminology T is the ob-
havior is given by a language L. One of the key issues is to
server, while ␸ implements the feedback, so that the super-
specify the properties of a sublanguage K 債 L that is achiev-
vised generator operates in closed loop. Various algorithms
able under supervision. Because the uncontrollable events
are given in the literature for the synthesis of supervisors
continue to occur even for the closed loop (supervised) system,
able to achieve different control tasks for deterministic or sto-
the prefix closure K of such a controlled language K has to be
chastic DESs.
invariant under the perturbation of the uncontrollable events.
The supervisor implements a map f: L(G) 씮 ⌫e specifying
On the other hand, as K is a restriction of L, not any words
for each observed string of events w 僆 L(G) the control input
in ⌺* containing uncontrollable events can occur, but only
⌺e(웂) ⫽ f(w) that must be applied to G. When designing a
those that are also generated in the open loop conditions (i.e.,
supervisor, the objective is to obtain a CDES that obeys the
that belong to L). It results that every word that belongs to L
control constraints imposed by the considered control task.
and is composed by a prefix string w 僆 K, followed by an
This means suppressing the undesirable sequences of events,
uncontrollable event ␴ 僆 ⌺u (i.e., every word of the form
while restricting as little as possible the overall freedom of
w␴ 僆 L), must also be a prefix string of K, i.e., w␴ 僆 K.
the system.
The behavior of the supervised generator is described by
the language L(G, f) defined by ⑀ 僆 L(G, f), w␴ 僆 L(G, f), if
and only if w 僆 L(G, f), ␴ 僆 f(w) and w␴ 僆 L(G). 2 2
c2
The marked language controlled by f in G is Lm(G, f) ⫽ m2
Lm(G) 傽 L(G, f), i.e., the part of the original marked language
1 c3 1 m1
that is allowed under the supervision. If Qm represents com- c1
pleted tasks, the language Lm(G, f) indicates the tasks that m3
c7 0 m6 0
will be completed under supervision.
The supervisor S can also be modeled as another DES c4
3 c6 3 m4
whose transition structure describes the control action on G.
The following requirements have to be satisfied: c5 m5
4 4

• If s 僆 L(G, f) then s 僆 L(S), and s␴ 僆 L(S) only if ␴ 僆 Figure 20. Generator models for the cat and for the mouse moving
f(s). This condition ensures that the transitions disabled independently in the maze of Fig. 19.
628 DISCRETE EVENT SYSTEMS

c 1, c 4, c 7, m 6 door c7 is uncontrollable, ⌺u ⫽ 兵c7其, whereas all the other doors


c 3, m 5
can be opened or closed to control the movement of the cat
and the mouse. As shown earlier (see Figs. 3 and 4 at Exam-
q′0 q′1
c 2, m 4 ple 2), the joint generator model when composing the genera-
tors of two subsystems has the state set Q ⫽ Q1 ⫻ Q2, and
Figure 21. The generator of the supervisor for the cat-and-mouse
the event set ⌺ ⫽ ⌺1 傼 ⌺2. The problem is to find the control
problem.
scheme that leaves the greatest freedom of movement to both
parties but that ensures that they (1) never occupy the same
room simultaneously and (2) can always return to their initial
Thus, a language K 債 L 債 ⌺* is called controllable if state, i.e., the cat in room 2 and the mouse in room 4. The
first condition forbids the states (i, i), while the second sets
Ku ∩ L = K (82)
the marker state set Qm ⫽ 兵(2, 4)其. To build the generator of
the controlled system, i.e., of the system obeying the con-
Consider now a nonblocking DES with the behavior L(G) and
straints, the following pruning steps are performed on the
the marked behavior Lm(G). For any nonempty K 債 L, there
composed generator model for both the cat and the mouse:
exists a supervisor f such that Lf ⫽ K if and only if K is a
prefix closed and controllable language. Similarly, for any
nonempty K 僆 Lm, there exists a supervision f such that 1. Delete the forbidden states 兵(i, i)兩i ⫽ 0, 1, . . ., 4其, that
Lmf ⫽ K and the closed loop behavior is not blocking correspond to the cat and the mouse being in the same
if and only if K is controllable and Lm is closed (i.e., K 傽 Lm room.
⫽ K). 2. Eliminate the edges of the composed graph ending in
Thus it is possible to find a supervisor f so that Lf ⫽ K the forbidden states, i.e.,
when K is prefix closed and controllable. The proof of this
c3 c6 m3
proposition (18) provides an algorithm for constructing the (2, 0) → (0, 0), (4, 0) → (0, 0), (0, 1) → (0, 0), (0, 3)
state realization (S, ␸) of the supervisor f from a generator m6 c1 c7
of the controllable language K. For an arbitrary K 債 ⌺*, → (0, 0), (0, 1) → (1, 1)(3, 1) → (1, 1), (1, 2)
m2 c2 m1
the family of controllable sublanguages of K is nonempty → (1, 1), (1, 2) → (2, 2), (2, 0) → (2, 2), (0, 3)
and closed under the set union and has a unique supremal c4 c7 m5
element K† under the partial order of subset inclusion. This → (3, 3), (1, 3) → (3, 3), (3, 4) → (3, 3), (3, 4)
c5 m4
supremal sublanguage (which can be the empty language) → (4, 4), (4, 0) → (4, 4)
provides an optimal approximation of K by preserving the
restrictions imposed by K, but requiring a minimally re- 3. Discard the states reachable only from the previously
strictive control. Denote by P(⌺*) the set of all languages deleted states, i.e., the states (4, 3) and (2, 1).
over ⌺* (the power set of ⌺*), and define ⍀ : P(⌺*) 씮 4. Remove the states for which the output edges corre-
P(⌺*) by spond to uncontrollable events (⌺u ⫽ 兵c7其) and lead to
previously deleted states, i.e., the states (1, 3) and
(J) = K ∩ sup[T : T ⊆  ∗ , T = T, Tu ∩ L = J] (83)
(3, 1).
The supremal sublanguage K† is the largest fixpoint of ⍀, i.e., 5. From the resulting graph retain only the trim part, con-
the largest language satisfying ⍀(J) ⫽ J. The iterations taining the reachable and controllable states.

K j+1 = (K j ), j = 0, 1, 2, . . ., with K0 = K (84) The supervisor can be further simplified by an aggregation
of technique. The result is a supervisor S ⫽ (T, ␸), where T is
converge to K† after at most mn steps, where m and n are the given in Fig. 21, and the map ␸ is given in Table 2. The state
number of states of the generators of L and K, respectively. set Q⬘ of T is made up of only two states q⬘0, q⬘1. In the initial
state q⬘0 —when the cat is in room 2 and the mouse in room
Example 19. Consider the famous cat-and-mouse maze (Fig. 4—all the transitions are enabled; in the state q⬘1 —when one
19) introduced by Ramadge and Wonham (16), and used as a of the parties has left its initial room—the set of transitions
typical example of untimed DES control ever since (e.g., see c3, c5, m1, and m5 are disabled. This actually isolates either
the attractive Ref. 15). The cat uses only the doors labeled the mouse in room 4 (closing c5 and m5) when the cat is out of
c1, . . ., c7, whereas the mouse uses only those labeled m1, room 2 or the cat in room 2 (closing c3 and m1) when the
. . ., m6. The generator models for the cat and the mouse are mouse is out of room 4. It can be noticed that transitions c5,
shown in Fig. 20. The state i for either of them corresponds c6, m1, m2, m3 can no longer occur for the controlled system,
to the room it occupies, whereas the events correspond to the being either directly forbidden, or impossible because of the
transitions i 씮 j from one room to another. We assume that restrictions.

Table 2. Mapping of Supervisor States to Control Patterns for the Cat-and-Mouse Maze Example
␴ c1 c2 c3 c4 c5 c6 c7 m1 m2 m3 m4 m5 m6
␸ (q⬘0 ) ⫽ 웂0 1 1 1 1 1 1 1 1 1 1 1 1 1
␸ (q⬘1 ) ⫽ 웂1 1 1 0 1 0 1 1 0 1 1 1 0 1
DISCRETE EVENT SYSTEMS 629

BIBLIOGRAPHY 24. K. Hiraishi and M. Nakano, On symbolic model checking in Petri


nets, IEICE Trans. Fundamentals of Electron. Commun. Comput.
1. R. D. Brandt et al., Formulas for calculating supremal and nor- Sci., E78-A: 1479–1486, 1995.
mal sublanguages, Syst. Control Lett., 15 (8): 111–117, 1990. 25. L. E. Holloway and B. H. Krogh, Synthesis of feedback control
2. R. Kumar and V. K. Garg, Modeling and Control of Logical Dis- logic for a class of controlled Petri nets, IEEE Trans. Autom. Con-
crete Event Systems, Norwell, MA: Kluwer, 1995. trol, 35: 514–523, 1990.
3. R. Kumar, V. K. Garg, and S. I. Marcus, On supervisory control 26. T. Murata, Petri nets: Properties, analysis and applications, Proc.
of sequential behaviors, IEEE Trans. Autom. Control, 37 (12): IEEE, 77: 541–580, 1989.
1978–1985, 1992. 27. A. Ohta and T. Hisamura, On some analysis properties of Petri
4. R. Kumar, V. Garg, and S. I. Marcus, Predicates and predicate net systems under the earliest firing rule, IEICE Trans. Funda-
transformers for supervisory control of discrete event dynamic mentals Electron. Commun. Comput. Sci., E79-A: 1791–1796,
systems, IEEE Trans. Autom. Control, 38 (2): 232–247, 1993. 1996.
5. R. Kumar, V. K. Garg, and S. I. Marcus, Language stability and 28. S. Takai, S. Kusumoto, and S. Kodama, Modular control of Petri
stabilizability of discrete event systems, SIAM J. Control Optim., nets under partial observation, Trans. Inst. Syst. Control Inf. Eng.,
31 (5): 1294–1320, 1993. 9: 598–605, 1996.
6. Y. Li, Robust and adaptive supervisory control of discrete-event 29. X. Xie, Dynamics and convergence rate of ordinal comparison of
systems, Proc. Amer. Control Conf., Chicago, IL, 1992. stochastic discrete-event systems, IEEE Trans. Autom. Control,
42 (4): 580–590, 1997.
7. Y.-H. Li, Optimal control of fair discrete-event systems, Appl.
Math. Comput. Sci., 6: 803–814, 1996. 30. J. G. Braker, Algorithms and applications in timed discrete event
systems, Ph.D. Thesis, Technische Universiteit Delft, The Nether-
8. Y. Li and W. M. Wonham, Controllability and observability in the
lands, 1993.
state-feedback control of discrete-event systems, Proc. 27th Conf.
Decision Control, Austin, TX, 1988, pp. 203–208. 31. B. A. Brandin and W. M. Wonham, Supervisory control of timed
discrete-event systems, IEEE Trans. Autom. Control, 39 (2): 329–
9. Y. Li and W. M. Wonham, Decentralized control and coordination
342, 1994.
of discrete-event systems with partial observation, IEEE Trans.
Autom. Control, 35: 1330–1337, 1990. 32. P. J. Haas and G. S. Shedler, Recurrence and regenerative in
non-Markovian networks of queues, Stochastic Mode, 3: 29–52,
10. Y. Li and W. M. Wonham, Control of vector discrete event sys-
1987.
tems I—The base model, IEEE Trans. Autom. Control, 38 (8):
1214–1227, 1993. 33. H. Shimohata, S. Kataoka, and T. Yamada, A resource allocation
problem on timed marked graphs: A decomposition approach, Int.
11. Y. Li and W. M. Wonham, Control of vector discrete event sys-
J. Syst. Sci., 27: 405–411, 1996.
tems II—Controller synthesis, IEEE Trans. Autom. Control, 39
(3): 512–531, 1994. 34. C. G. Cassandras and S. G. Strickland, Observable augmented
systems for sensitivity analysis of Markov processes: The predict-
12. Y. Li and W. M. Wonham, Concurrent vector discrete event sys-
tems, IEEE Trans. Autom. Control, 40 (4): 628–638, 1995. ability of discrete-event-systems, IEEE Trans. Autom. Control, 34
(10): 1026–1037, 1989.
13. F. Lin and W. M. Wonham, On observability of discrete event
systems, Inf. Sci., 44: 173–198, 1988. 35. C. Glasserman and P. Vakili, Comparing Markov chains simu-
lated in parallel, Probability Eng. Infor. Sci., 8 (3): 309–326, 1994.
14. F. Lin and W. M. Wonham, Decentralized supervisory control of
discrete-event systems, Inf. Sci., 44: 199–224, 1988. 36. C. Cassandras and S. G. Strickland, Sample path properties of
timed discrete event systems, Proc. IEEE, 77 (1): 59–71, 1989.
15. C. Praagman, Discrete event systems, Description by formal lan-
guages, Res. Memo., 505, University of Gröningen, The Nether- 37. P. W. Glynn, A GSMP formalism for discrete event systems, Proc.
lands, 1992. IEEE, 77 (1): 14–23, 1989.
16. P. J. Ramadge and W. M. Wonham, Supervisory control of a class 38. C. Cassandras and Y. C. Ho, An event domain formalism for sam-
of discrete-event processes, SIAM J. Control Optim., 25: 206– ple path perturbation analysis of discrete event dynamic systems,
230, 1987. IEEE Trans. Autom. Control, AC-32: 858–866, 1987.
17. P. J. Ramadge and W. M. Wonham, Modular feedback logic for 39. Y. C. Ho, Performance evaluation and perturbation analysis of
discrete event systems, SIAM J. Contr. Optim., 25: 1202–1218, discrete event dynamic systems, IEEE Trans. Autom. Control,
1987. AC-32 (7): 563–572, 1987.
18. P. J. G. Ramadge and W. M. Wonham, The control of discrete 40. Y. C. Ho, Special issue on dynamics of discrete event systems,
event systems, Proc. IEEE, 77: 81–98, 1989. Proc. IEEE, 77 (1): 1–232, 1989.
19. P. J. Ramadge, Some tractable problems in the supervisory con- 41. R. Y. Rubinstein and A. Shapiro, Discrete Event Systems—
trol of discrete event systems described by Büchi automata, IEEE Sensitivity Analysis and Stochastic Optimization by Score Function
Trans. Autom. Control., AC-34: 10–19, 1989. Method, New York: Wiley, 1993.
20. F. Baccelli and M. Canales, Parallel simulation of stochastic Petri 42. S. G. Strickland and C. G. Cassandras, Sensitivity analysis of
nets using recurrence equations, ACN Trans. Modeling Comput. discrete-event systems with non-Markovian event processes,
Simulation, 3 (1): 20–41, 1993. Proc. 29th IEEE Conf. Decision Control, 111–116, 1989.
21. F. Baccelli et al., Synchronization and Linearity: An Algebra for 43. J. Banks, Output analysis capabilities of simulation software,
Discrete Event Systems, New York: Wiley, 1992. Simulation, 66: 23–30, 1996.
22. F. Baccelli, N. Furmento, and B. Gaujal, Parallel and distributed 44. W. T. Chang, S. Ha, and E. A. Lee, Heterogenous simulation—
simulation of free choice Petri nets, PADS, Lake Placid, NY, Mixing discrete-event models with dataflow (invited paper for
1995, pp. 3–10. RASSP special issue), J. VLSI Signal Processing, 13: 1–25, 1997.
23. F. Baccelli and B. Gaujal, Liveness in free-choice Petri nets—An 45. S. Ghosh, E. Debenedictis, and Yu Meng-Lin, YADDES: A novel
algebraic approach, INRIA Sophia—Antipolis, Rapport de recher- algorithm for deadlock-free distributed discrete-event simulation,
che no. 2839, 1996, pp. 39. Int. J. Comput. Simulation, 5: 43–83, 1995.
630 DISCRETE EVENT SYSTEMS

46. D. L. Kettenis, An algorithm for parallel combined continuous P. E. Caines and S. Wang, COCOLOG: A conditional observer and
and discrete-event simulation, Simulation Practice and Theory, controller logic for finite machines, SIAM J. Control Optim., 33:
5: 167–184, 1997. 1687–1715, 1995.
47. J. Lin, A discrete event simulation support system in C/sup ⫹⫹/, X. R. Cao, A comparison of the dynamics of continuous and discrete
J. Syst. Sci. Syst. Eng., 5: 456–464, 1996. event systems, Proc. IEEE, 77: 7–13, 1989.
48. L. Mallet and P. Mussi, Object oriented parallel discrete event C. G. Cassandras, S. Lafortune, and G. J. Olsder, Introduction to the
simulation: The prosit approach, Modeling and Simulation, Lyon, modeling, control and optimization of discrete event systems,
France, June 1993; also in INRIA Res. Rept. 2232. Trends in Control. A European Perspective, Rome, Italy, 1995,
49. P. Mussi and G. Siegel, Sequential simulation in PROSIT: Pro- 217–291.
gramming model and implementation, INRIA Tech. Rept. RR- C. Chase and P. J. Ramadge, On real time scheduling policies for
2713; Eur. Simulation Symp., Erlangen, Germany, 1995, pp. flexible manufacturing systems, IEEE Trans. Autom. Control, 37
297–301. (4): 491–496, 1992.
50. T. Nakanishi, A discrete system simulation language SIM- S. L. Chung, S. Lafortune, and F. Lin, Limited look ahead policies in
SCRIPT II.5, Joho Shori, 37: 226–223, 1996. supervisory control of discrete event systems, IEEE Trans. Autom.
51. N. T. Patsis, C. H. Chen, and M. E. Larson, SIMD parallel dis- Control, 37 (12): 1921–1935, 1992.
crete-event dynamic system simulation, IEEE Trans. Control R. Cieslak et al., Supervisory control of discrete event processes with
Syst. Technol., 5 (1): 30–40, 1997. partial observations, IEEE Trans. Autom. Control, 33 (3): 249–
52. S. Taylor, N. Kalantry, and S. C. Winter, The parallelization of 260, 1988.
discrete event simulation: A methodology, IEE Colloquium: In- D. D. Cofer, Control and analysis of real-time discrete event systems,
creased Production Through Discrete Event Simulation, London, Ph.D. Thesis, Department of Electrical and Computer Engi-
1993. neering, University of Texas at Austin, Austin, TX, 1995.
53. E. G. Ulrich, V. D. Agraval, and J. H. Arabian, Concurrent and G. Cohen and J. P. Quadrat (eds.), Discrete event systems, 11th Int.
Comparative Discrete Event Simulation, Norwell, MA: Kluwer Conf Anal. and Optimization of Syst., INRIA France: Springer Ver-
(Academic), 1994. lag, 1994.
54. P. Vakili, L. Mollamustafaoglu, and Y. C. Ho, Massively parallel G. Cohen et al., A linear-system theoretic view of discrete-event pro-
simulation of a class of discrete-event systems, Proc. IEEE Symp. cesses and its use for performance evaluation in manufacturing,
Frontier Massively Parallel Comput., 1992. IEEE Trans. Automat. Control, AC-30 (3): 210–220, 1985.
55. P. Varaiya, Process models for discrete event systems, in M. A. A. A. Desrochers and R Y. Al-Jaar, Applications of Petri Nets in Manu-
Kaashoek, J. H. van Schuppen, and A. C. M. Ran (eds.), Proc. Int. facturing Systems, New York: IEEE Press, 1995.
Symp. MTNS, Amsterdam 1989, vol. 1, Realization and Modeling
M. Fabian and B. Lennartson, Object-oriented supervisory control
in System Theory, Boston, MA: Birkhäuser, 1990, pp. 23–41.
with a class of nondeterministic specifications, 33rd CDC, Buena
56. V. Varpaaniemi et al., PROC Reference Manual, Series B, Tech. Vista, FL, 1994.
Rept. 13, Helsinki University of Technology, 1995.
H. E. Garcia and A. Ray, State-space supervisory control of reconfig-
57. K. Watkins, Discrete Event Simulation in C, New York: McGraw- urable discrete event systems, Int. J. Control, 63 (4): 767–797,
Hill, London, 1993. 1996.
58. K. C. Wong, Discrete-event control architecture: An algebraic ap- B. Gaujal, Parallélisme et simulaion des systèmes à événements dis-
proach, Ph.D. Thesis, Department of Electrical Engineering, Uni- crets, Ph.D. Thesis, L’université de Nice—Sophia Antipolis, 1994.
versity of Toronto, Toronto, Ontario, Canada, 1994.
B. Gaujal et al., High speed simulation of discrete event systems by
59. K. C. Wong and W. M. Wonham, Hierarchical control of discrete- mixing process oriented and equational approaches, Parallel Com-
event systems, Discrete Event Dynamic Syst., 6 (3): 241–273, puting, 23: 219–233, 1997.
1996.
M. Heymann, Concurrency and discrete event control, IEEE Control
60. P. Fanti, G. Maione, and B. Turchiano, New policies for deadlock
Syst. Mag., 10 (4): 103–112, 1990.
avoidance in flexible manufacturing cells, Autom. Strum., 44: 91–
95, 1996. K. Inan and P. Varaiya, Finitely recursive process models for discrete
event systems, IEEE Trans. Autom. Control, 33 (7): 626–639, 1988.
61. W. M. Wonham and P. J. Ramadge, On the supremal controllable
sublanguage of a given language, SIAM J. Control Optim., 25 (3): V. S. Kouikoglou and Y. A. Phillis, An exact discrete-event model and
637–659, 1987. control policies for production lines and buffers, IEEE Trans. Au-
tom. Control, 36 (5): 515–527, 1991.
62. W. M. Wonham and P. J. Ramadge, Modular supervisory control
of discrete systems, Math. Control, Signals Syst., 1: 13–30, 1988. A. M. Krasnosel’skii and M. Sorene, Discrete asynchronous iterations,
Autom. Remote Control, 56, 1557–1564, 1996; translation of Au-
tom. Telemekh., 56 (11): 64–73, 1995.
Reading List S. R. Kulkarni and P. J. Ramadge, Model and controller selection
V. Anantharam and T. Konstantopoulos, Stationary solutions of sto- policies based on output prediction errors, IEEE Trans. Autom.
chastic recursions describing discrete event systems, Stoch. Pro- Control, 41: 1594–1604, 1996.
cess. Appl., 68: 181–194, 1997. R. Kumar and L. E. Holloway, Supervisory control of deterministic
H. Arsham, Goal-seeking problem in discrete event systems simula- Petri nets with regular specification languages, IEEE Trans. Au-
tion, Microelectron. Reliab., 37: 391–395, 1997. tom. Control, 41: 245–249, 1996.
P. Astuti and B. J. McCarragher, The stability of a class of discrete G. Lingzhong et al., D-automaton model of discrete event dynamic
event systems using Markov Chains, Int. J. Control, 64 (3): 391– system, Chin. J. Automation, 7: 231–238, 1995.
408, 1996. J. M. Lopez et al., A software engineering method for the design of
J.-L. Boimond and J.-L. Ferrier, Internal model control and max⫹ discrete manufacturing cell control, Int. J. Comput. Integr. Manuf.,
algebra: Controller design, IEEE Trans. Autom. Control, 41: 457– 10: 126–141, 1997.
461, 1996. O. Maimon and M. Last, Information-efficient control of discrete
Y. Brave and M. Heymann, On stabilization of discrete-event pro- event stochastic systems, IEEE Trans. Syst. Man Cybern., 27: 23–
cesses, Int. J. Control, 51 (5): 1101–1117, 1990. 33, 1997.
DISCRETE TIME FILTERS 631

B. McCarragher, Petri net modeling for robotic assembly and trajec-


tory planning, IEEE Trans. Ind. Electron., 41: 631–640, 1994.
B. McCarragher and H. Asada, The discrete event modeling and tra-
jectory planning of robotic assembly, ASME J. Dynamic Syst. Mea-
surement Control, 117 (3): 394–400, 1995.
K. Mossig, Synthesis of a controller for discrete event systems by ei-
genvector assignment with respect to max-plus-algebra, Automati-
sierungstechnik, 44: 80–86, 1996.
G. J. Olsder, Eigenvalues of dynamic max-min systems, Discrete
Event Dynamic Syst., 1: 177–207, 1991.
K. M. Passino, A. N. Michel, and P. J. Antsaklis, Lyapunov stability
of a class of discrete event systems, IEEE Trans. Autom. Control,
39: 269–279, 1994.
A. Patra, S. Mukhopadhyay, and S. Bose, Logical models of discrete
event systems: A comparative exposition, Sadhana, 21: 683–718,
1996.
K. Rudie and W. M. Wonham, Think globally, act locally: Decentral-
ized supervisory control, IEEE Trans. Autom. Control, 37 (11):
1692–1708, 1992.
M. Sampath et al., Failure diagnosis using discrete-event models,
IEEE Trans. Control Syst. Technol., 4: 105–124, 1996.
A. V. Savkin et al., Hybrid dynamic systems: Robust control synthesis
problems, Syst. Control Lett., 29: 81–90, 1996.
S. G. Tzafestas and C. N. Athanassiou, Flexible Petri nets for intelli-
gent robot cell modeling, Found. Computing Decision Sci., 20: 239–
252, 1995.
A. Tzes, K. Seongho, and W. R. McShane, Applications of Petri net-
works to transportation network modeling, IEEE Trans. Veh. Tech-
nol., 45: 391–400, 1996.
R. A. Williams, B. Benhabib, and K. C. Smith, A DES-theory-based
hybrid supervisory control system for manufacturing systems, J.
Manuf. Syst., 15 (2): 71–83, 1996.
Y. Willner and H. Heymann, Supervisory control of concurrent dis-
crete-event systems, Int. J. Control, 54 (5): 1143–1169, 1991.
Y. Xiaojun, M. D. Lemmon, and P. J. Antsaklis, On the supremal
controllable sublanguage in the discrete-event model of nondeter-
ministic hybrid control systems, IEEE Trans. Autom. Control, 40:
2098–2103, 1995.
K. Yamalidou et al., Feedback control of Petri nets based on place
invariants, Automatica, 32: 15–28, 1996.
S. Young and V. K. Garg, Model uncertainty in discrete event sys-
tems, Internal Report, Department of Electrical and Computer
Engineering, University of Texas, Austin, TX, 1994.
H. Zhong, Hierarchical control of discrete-event systems, Ph.D. The-
sis, Department of Electrical Engineering, University of Toronto,
Toronto, Ontario, Canada, 1992.

PAUL DAN CRISTEA


‘‘Politehnica’’ University of
Bucharest

DISCRETE EVENT SYSTEMS (DES). See DISCRETE


EVENT DYNAMICAL SYSTEMS.
DISCRETE HARTLEY TRANSFORMS. See HARTLEY
TRANSFORMS.
DISCRETE-TIME ANALOG CIRCUITS. See SWITCHED
CAPACITOR NETWORKS.
DISCRETE TIME FILTERS 631

independent variable can be any physical value, for example


distance, it is usually refered to as ‘‘time’’. The independent
variable may be either continuous or discrete. If the indepen-
dent variable is continuous, the signal is called continuous-
time signal or analog signal. Most of the signals that we en-
counter in nature are analog signal, such as a speech signal.
The discrete-time signals are those for which the independent
variable is discrete. The amplitude of both the continuous-
and discrete-time signals may be continuous or discrete. Digi-
tal signals are those discrete-time signals for which the am-
plitude is discrete, and switched-capacitor signals are dis-
crete-time signals with continuous amplitude. Any operation
on a signal which is performed in order to obtain some more
desirable properties, such as less noise or distortion, is called
signal processing. A system which performs signal processing
is called a filter. Signal processing depends on used technol-
ogy and can be (1) analog signal processing (ASP) and (2) dis-
crete-time signal processing (DTSP). Prior to 1960, ASP was
mainly used; this means signals are processed using electrical
systems with active and passive circuit elements. ASP does
have some limitations such as (1) fluctuation of the compo-
nent values with temperature and aging, (2) nonflexibility, (3)
cost, and (4) large physical size. In order to overcome those
limitations, discrete-time technologies are introduced, such as
digital technology and switched-capacitor technologies. Digi-
tal technology, which gives many advantages over ASP [see
Kuc (1) for a more detailed analysis], needs to convert an ana-
log signal into a digital form. Processing the signal by digital
technology is called digital signal processing (DSP), and is a
special case of DTSP. In DSP, both amplitude and time are
discrete, unlike switched-capacitor processing where ampli-
tude is continuous.

DISCRETE-TIME SIGNALS AND SYSTEMS

A discrete-time signal (discrete signal) is defined as a function


of an independent variable n that is an integer. In many
cases, discrete signals are obtained by sampling an analog
signal (taking the values of the signal only in discrete values
of time). According to this, elements of the discrete signals
are often called samples. But this is not always the case.
Some discrete signals are not obtained from any analog signal
and they are naturally discrete-time signals. There are some
problems in finding a convenient notation in order to make
the difference between continuous-time and discrete-time sig-
nals, and various authors use different notations [see Rora-
baugh (2) for detailed analysis]. Recent practice, introduced
by Oppenheim and Schafer, 1989, (3) uses parantheses () for
analog signals and brackets [ ] for discrete signals. Following
this practice, we denote a discrete signal as 兵x[n]其 or x[n].
Therefore x[n] represents a sequence of values, (some of
which can be zeros), for each value of integer n. Although the
x-axis is represented as the continuous line, it is important to
note that a discrete-time signal is not defined at instants be-
tween integers. Therefore, it is incorrect to think that x[n] is
zero at instants between integers.
Discrete signals can be classified in many different ways.
If the amplitude of the discrete signal can take any value in
DISCRETE TIME FILTERS the given continuous range, the discrete signal is continu-
ously in amplitude, or it is a nonquantized discrete-time sig-
A signal is defined as any physical quantity that varies with nal. If the amplitude takes only a countable number of dis-
the changes of one or more independent variables. Even that crete values, the signal is discrete in amplitude or a quantized
J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
632 DISCRETE TIME FILTERS

discrete-time signal. This signal is also called a digital signal. that the frequency for the continuous signal has the dimen-
If the signal has a finite number of elements, it is finite; oth- sion radians/sec. For this difference, several notations for the
erwise, it is infinite. Therefore, the finite signal is defined for frequency of the discrete signals and the continuous signals
a finite number of index values n. Unlike an infinite signal, are being used. The former is usually denoted as 웆 and the
which is defined for an infinite number of index values n and latter as ⍀. Let the time axis t is divided into intervals
can be: (1) right-sided, (2) left-sided, and (3) two-sided. The of the length T: t ⫽ nT. The axes of the discrete time signals
right-sided sequence is any infinite sequence that is zero for can be understood as obtained from the axis t by dividing
all values of n less than some integer value N1. The left-sided with T: n ⫽ t/T. Because the frequency and the time are in-
sequence is equal to zero for all n more than some integer verse of each other, dividing in the time domain corresponds
value N2. The infinite sequence which is neither right-sided to multiplying in the frequency domain. Therefore, the rela-
nor left-sided is a two-sided sequence. According to their na- tion between continuous and discrete frequency is the
ture, signals can be deterministic and random. The signals following:
where all values can be determined without any uncertainty
are deterministic. Otherwise, they are random and cannot be ω = T (6)
described by explicit mathematical relationships but by using
the probability theory. We consider here deterministic signals Due to the different units of those two values, there are some
and systems. Schwartz and Shaw (4), Hayes (5), and Candy important distinctions between them. Continuous frequency
(6) consider random discrete signals and systems. A discrete has the values ⫺앝 ⬍ ⍀ ⬍ 앝, and 웆 has only values from
signal is periodic if the values of the sequence are repeated 0 to 2앟. All other values are repeated with the period 2앟.
every N index values. The smallest value of N is called the Usually, the discrete frequencies are represented in the inter-
period. A continuous periodic signal does not always result in val
a periodic discrete signal.
There are some basic discrete signals which are used for −π ≤ ω ≤ π (7)
the description of more complicated signals. Such basic sig-
nals are (1) unit sample, (2) unit step, and (3) complex expo- As 웆 increases from 0 to 앟, oscillations become higher and
nential sequences. Unit sample sequence is the finite se- have a maximum at 웆 ⫽ 앟, and going from 앟 to 2앟, they be-
quence which has only one nonzero element at the index come slower. Therefore, 웆 ⫽ 앟 is the highest frequency, and
n ⫽ 0, 웆 ⫽ 0 and 웆 ⫽ 2앟 are the lowest frequencies. Figure 1 shows
how the sequence oscillates more rapidly with the increase of

1 n=0 the frequency from 0 to 앟 and more slowly with the increase
δ[n] = (1) of the frequency from 앟 to 2앟.
0 otherwise
A discrete-time system (or discrete system) is defined as
the transformation that maps an input sequence x[n] into an
It plays the same role in the digital signal processing as the output sequence y[n]:
unit impulse (delta function) plays in continuous-time signal
processing so that the characteristic of a discrete system can y[n] = T{x[n]} (8)
be represented as the response to the unit sample sequence.
Any discrete signal can be presented as the sum of scaled where T兵 其 presents transformations, or the set of rules for
delayed unit sample sequences, obtaining the output sequence from the given input one. De-

∞ pending on transformation a discrete-time system may have
x[n] = x[k] δ[n − k] (2) different properties. The most common properties are (1) lin-
k=−∞ earity, (2) time-invariance, (3) stability, (4) memoryless, and
(5) invertibilty. The system is linear if the response to a
Unit step sequence u[n] is the right-sided sequence which is scaled sum of the input sequences is equal to the sum of the
used to denote the start of any right-sided sequence and is responses to each of the scaled input:
defined as  

N
N
1 for n ≥ 0 T ai xi [n] = a1 T{xi [n]} (9)
u[n] = (3) i=1 i=1
0 otherwise
This relation is also known as the superposition principle.
Therefore, any sequence x[n], which is zero for n ⬍ N1, can be The system is time-invariant if the shift of the input sequence
written as causes the same shift of the output sequence. In other words,
 the properties of the time-invariant system do not change the
x[n] n ≥ N1 time:
x[n]u[n − N1 ] = (4)
0 otherwise
T{x[n − n0 ]} = y[n − n0 ] (10)
A complex exponential sequence is defined as
The systems that are in the same time linear and time-invari-
e jnω = cos(nω) + j sin(nω) (5) ant are called linear time-invariant systems (LTI). The sys-
tem is causal if the values of the output sequence at any in-
By analogy with the continuous-time case, the quantity 웆 is dex n0 depend only on the values of the input sequence at
called frequency, and has a dimension in radians. We recall indexes n ⱕ n0. In other words, in a causal system the output
DISCRETE TIME FILTERS 633

x[n] x[n]
ω = 0; ω = 2 π ω = π /4; ω = 7π /4

ω ω

Figure 1. The interpretation of high and low fre-


quencies for a dicrete-time sinusoisal signal. As 웆
x[n] x[n] increases from zero toward 앟 the sequence oscillates
ω = 3 π /4; ω = 5 π /4 ω =π
more and more rapidly and as 웆 increases from 앟
toward 2앟, the sequence oscillates more and more
slowly. Therefore the values of 웆 in the neighbor-
hood of 웆 ⫽ 0 are low frequencies (slow oscillations),
and those in the vicinity of 웆 ⫽ 앟 are high frequen-
ω ω cies (rapid oscillations). Due to the periodicity in
general the low frequencies are those in the vicinity
of 웆 ⫽ 2앟k, k ⫽ 0, 1, 2, . . . , and the high frequen-
cies are those in the vicinity of 웆 ⫽ 앟 ⫹ 2앟k, k ⫽ 0,
1, 2, . . . .

does not precede the input (i.e., it is not possible to get an output to any other input sequence may be related with the
output before an input is applied to the system). Noncausal unit sample response. In order to answer we use relation (2),
systems occur only in theory, and do not exist in this uni- and we obtain
verse. A causal system can be designed by introducing corre-  
sponding amounts of delay. The system is stable if a limited

input always gives a limited output. If for a limited input, the y[n] = T{x[n]} = T x[k] δ[n − k] (13)
k=−∞
output is unlimited, the system is not stable. Therefore, the
output of an unstable system is infinite with nondecaying val-
ues. The system is memoryless if the output y[n] depends only If the system is linear, the superposition principle (9) can be
on the input at the same value n. The system is invertible if used, and therefore, the Eq. (13) can be written as
the input sequence may be uniquely determined by observing



the output. y[n] = T{x[k] δ[n − k]} = x[k]T{δ[n − k]} (14)
k=−∞ k=−∞
Time-Domain Description
From here, we obtain the relation for the linear system:
There are two main ways to describe discrete systems in the
time domain. The first one considers only the relation be-

tween the input and the output of the system and is generally y[n] = x[k]hk [n] (15)
named the input-output analysis. The second one, besides the k=−∞
relation of the input and the output gives also an internal
description of the system, and it is named as a state-space where hk[n] depends on both k and n:
analysis. Both descriptions are useful in practice and are used
depending on the problem under the consideration (see Ref. hk [n] = T{δ[n − k]} (16)
7). A convenient way to present the behavior of the discrete
system is to put the unit sample sequence at the input. If the This relation is called the convolutional relationship. This
system is relaxed initially, equation can be simplified for the time-invariant system, us-
ing Eq. (10),
y[0] = 0 (11)

the output y[n] would be the only characteristic of the system, y[n] = x[k]h[n − k] (17)
k=−∞
and it is called unit sample response or shortly impulse re-
sponse, and is denoted as h[n]:
This relation is called the convolution sum or convolution. It
h[n] = T{δ[n]} (12) completely describes the output of an LTI system for the
known input and for zero initial conditions. The operation
A discrete system which has the finite impulse response is convolution between sequences has its own signs *. Therefore,
called a finite impulse response (FIR) filter, and one with the the convolution (17) can be written as
infinite impulse response is known as an infinite impulse re-
sponse filter (IIR). The question which arises is whether the y[n] = x[n] ∗ h[n] (18)
634 DISCRETE TIME FILTERS

This operation is commutative and distributive [see Kuc (1) b0


for detailed analysis]. Proakis and Manolakis (7) explain the x[n] Σ Σ y[n]
computing of the convolution step by step. From the unit sam-
ple response, we may see some important characteristics of
the LTI system, such are stability and causality [see Orfan- z–1 z–1
idis (8) for detailed analysis]. An LTI system is stable if and b1 –a1
only if this condition is satisfied:



S= |h[n]| < ∞ (19)
n=−∞
z–1 z–1
FIR filter has a finite length of impulse response, and the bNp –aN
condition (19) shall always be satisfied, which means that an
FIR filter is always stable. An LTI system is causal if the next
condition is satisfied: Figure 2. Direct form I realization of the causal LTI filter follows
directly from the difference equation and shows explicitly the delayed
values of input and output. (z⫺1 is interpreted as one-sample delay.)
h[n] = 0, for n < 0 (20)

A natural question which may arise is if we can implement


digital filter by using the convolution. The answer depends on A state-space approach considers that the output of the
whether the system is FIR or IIR. In the case of an FIR, the system is the result of the actual input and the set of initial
convolution summation directly suggests how to implement conditions. This suggests that the system may be divided into
the filter. The problem arises for an IIR filter which has an two parts. One part contains memory and describes past his-
infinite impulse response since it requires an infinite number tory, and the second one describes the answer to the actual
of memory locations, additions, and multiplications. The solu- input. Following this approach, Antoniou (10) derived the
tion is given by introducing the difference equations. Such a state space equations for the system of an order N in the ma-
difference equation describes an LTI system having any ini- trix-vector form
tial conditions unlike the discrete convolution that describes
the system in which all inputs and output are initially zero q[n + 1] = Aq[n] + Bx[n] (22)
(the system is initially relaxed). The difference equation is y[n] = Cq[n] + Dx[n] (23)
often written in the form
where q[n] is the n-dimensional state vector at time n, and

Np

N
x[n] and y[n] are the input and output sequences, respec-
y[n] = bk x[n − k] − ak y[n − k] (21) tively. The matrices A, B, C, and D, correspond to a particu-
k=−Nf k=1
lar realization of the filter.

where bk and ak are constant coefficients and Nf and Np are Transform Domain Description
integer values. The first summation contains past, present,
and future inputs, while the second one contains only past Frequency Domain. The sinusoidal sequences are usually
outputs. The difference equation for FIR filter contains only used in frequency-domain description of discrete signals and
the first sum where we can recognize the convolution (17). If systems because sinusoidal sequences have one useful charac-
the system is casual, it does not depend on the future values teristic which is shown in Eq. (24):
of the input, and the difference equation has Nf ⫽ 0. The part
of the right side of the difference equation which involves past y[n] = H(e jω )e jωn (24)
outputs is called the recoursive part, and the other part is the
nonrecoursive one. The system which has only a nonrecour- Therefore, if the sinusoidal sequence is applied to the LTI sys-
sive part is called the nonrecoursive filter. Otherwise, it is the tem, the output is also a sinusoidal sequence with the same
recoursive filter. In general, the computation of the output frequency, multiplied with the complex value:
y[n] at the index n of a recoursive filter needs previous out-

puts: y[n ⫺ 1], y[n ⫺ 2], . . ., y[0]. Therefore in this case, the H(e jω ) = h[k]e− jωk (25)
output must be computed in an order. As the difference, the K =−∞
output of the nonrecoursive filter can be computed in any or-
der. An implementation of the casual LTI filter based on the The sum in Eq. (25) presents Fourier transform of h[n] and is
difference equation (21) and which is called direct form I is named as frequency response, as it specifies response of the
presented in the Fig. 2. We see that the filter consists of an system in the frequency domain. The frequency response, be-
interconnection of three basic elements: (1) unit delay, (2) ing the Fourier transform of the unit sample response, is a
multiplier, and (3) adder. Direct form I is not optimal in the periodic function with the period 2앟. Therefore, ‘‘low frequen-
sense that it uses a minimum number of delaying elements. cies’’ are those that are in the neighborhood of an even multi-
Proakis and Manolakis (7) describe different and more effi- ple of 앟, and the ‘‘high frequencies’’ are those that are close to
cient structures of discrete systems. Signal-flow graphs are an odd multiple of 앟. Equation (24) has also an interpretation
often used to describe the time-domain behavior of LTI sys- using the eigenvalue and eigenfunction. If an input signal
tems [see Haykin (9) for a detailed analysis]. produces the same output signal but multiplied by a constant,
DISCRETE TIME FILTERS 635

this signal is called eigenfunction, and the constant is the ei- The output phase is equal to the sum of the input phase and
genvalue of the system. Therefore, the complex sinusoidal se- the phase response:
quence is the eigenfunction, and H(ej웆w) is the corresponding
eigenvalue. Fourier transform of the unit sample response Arg{Y (e jω )} = Arg{X (e jω )} + Arg{H(e jω )} (34)
h[n] exists only if the sum [Eq. (25)] converges, that is if the
next condition is satisfied: Those changes can be either desirable or undesirable when
they are referred as to the magnitude and phase distortion.


|h[n]| < ∞ (26) Generally, we may view the LTI as a filter, passing some of
k=−∞ the frequencies of the input signal and suppressing the oth-
ers. Filters are usually classified according to what frequen-
The magnitude of H(ej웆), 兩 H(ej웆)兩, is called magnitude re- cies pass and to what frequencies suppress as: (1) lowpass, (2)
sponse, and the argument of H(ej웆) is called phase response highpass, (3) bandpass, and (4) bandstop filters. The ideal fil-
and denoted as Arg兵H(ej웆)其. Therefore, we have ters have constant magnitude response (usually 1) in the
passband and zero magnitude characteristic in the stopband.
jω )} The magnitude characteristics of the different ideal filters are
H(e jω ) = |H(e jω )|e jArg{H (e (27)
shown in Fig. 3. Ideal filters have the linear phase in the
passband which means that the output is equal to the scaled
Frequency response can be expressed by its real and imagi-
and delayed input. Therefore, linear phase causes only de-
nary part:
laying of the input sequence, what is not considered as the
distortion and the linearity of the phase is the desirable char-
H(e jω ) = HR (e jω ) + jHI (e jω ) (28)
acteristic. The group delay is introduced as the measure of
the linearity of the phase
From here, the magnitude response and phase response can
be expressed as follows: d[Arg{H(e jω )}]
p  ∗
τ (ω) = −

(35)
|H(e )| =

HR2 + HI2 = H(e H (e )
jω jω
(29)
The group delay can be interpreted as the time delay of the
where H*(ej웆) is the complex-conjugate of H(ej웆). signal components of the frequency 웆, introduced by the filter.
Filters with symmetric impulse response have linear phase
 
HI (e jω ) [see Oppenheim and Schafer (3) for detailed analysis]. Ideal
Arg{H(e jω )} = arctg (30)
HR (e jω ) filters are not physically realizable and serve as the mathe-
matical approximations of physically realizable filters. As an
Instead, the linear scale, magnitude characteristic is usually example, we consider in Fig. 4 the magnitude characteristic
plotted on the logarithmic scale. of the physically realizable lowpass filter [see Ingle and Pro-
akis (11) for a detailed analysis].
|H(e jω )|db = 10 log10 |H(e jω )|2 = 20 log10 |H(e jω )| (31)
Z-Domain. Z transform is a generalization of the Fourier
In order to show better both the passband and the stop- transform that allows us to use transform techniques for sig-
band characteristics, the log-magnitude response is plotted on nals not having Fourier transform. It plays the same role in
two different scales: one for the passband and the second one discrete-time signals and systems as the Laplace transform
for the stopband. For an LTI system with a real impulse re- does in continuous-time signals and systems. Z transform of
sponse, the magnitude and phase responses have symmetry the unit sample sequence is called system function:
properties from which follows that the magnitude response is

an even function of 웆, and the phase response is an odd func- H(z) = Z{h[n]} = h[n]z−n (36)
tion of 웆. n=−∞
Oppenheim and Schafer (3) show that the Fourier trans-
form of the output is the product of the Fourier transforms of The concept of a Z transform is only useful for such values
the input and the impulse response: of z for which the sum [Eq. (36)] is finite. Therefore, for the
sequence h[n] it is necessary to define the set of z values for
Y (e jω ) = H(e jω )X (e jω ) (32) which

This expression explains why Fourier transform is so useful



|h[n]z−n | < ∞ (37)
in the analysis of LTI. As this expression shows, the operation n=−∞
of convolution is replaced by a simpler operation of multiplica-
tion in the transform domain. This equation also shows that This set of z values is called the region of convergency (ROC).
the input spectrum is changed by the LTI system in both am- Many characteristics of a filter can be seen from ROC. For a
plitude and the phase. The output magnitude is obtained as FIR filter, the number of elements in the sum [Eq. (36)] is
the product of the input magnitude spectrum and the magni- finite and, therefore, the problem of the existence of the Z
tude response: transform does not exist, and the ROC is all z-plane, except
the origin. Proakis and Manolakis (7) show that ROC for the
|Y (e jω )| = |H(e jω )| |X (e jω )| (33) right-sided sequence is given by 兩z兩 ⬎ R1, for the left-sided is
636 DISCRETE TIME FILTERS

H(e jω ) H(e jω )
Passband Passband

Stopband Stopband

ω ω
0 ωc π 0 ωc π
Low-pass filter High-pass filter

H(e jω ) H(e jω )
Passband Passband Passband

Figure 3. Magnitude characteristics of the differ-


Stopband Stopband Stopband
ent ideal frequency-selective filters. The ideal filters
pass without any attenuation all frequencies in the ω ω
0 ω1 ω2 π 0 ω3 ω4 π
passband and completely attenuate all frequencies
in the stopband. Bandpass filter Bandstop filter

given as 兩z兩 ⬍ R2, and for the two-sided sequence as R1 ⬍ Remember that Eq. (38) is valid for LTI systems. The system
兩z兩 ⬍ R2. function for an important class of LTI systems which are de-
The operation of convolution in the time-domain reduces scribed by the constant coefficients difference equation can be
to the most simple operation of multiplication in the expressed as the rational function and is expressed as the
Z-domain: ratio of polynomials in z⫺1. By taking the Z transform of Eq.
(21) and using that the delay by k samples in the time-domain
Y (z) = Z{y[n]} = Z{x[n] ∗ h[n]} = X (z)H(z) (38) corresponds to the multiplication by z⫺k, we have:
N p
where
Y (z) b z−k
k=Nf k
H(z) = = N (40)
X (z) = Z{x[n]} X (z) 1 + k=1 ak z−k
(39)
Y (z) = Z{y[n]}
[see Proakis and Manolakis (7) for detailed analysis]. The val-
ues of z for which H(z) become zero are called zeros, and the
values of z for which H(z) become infinity are called poles.
H(e jω ) The zeros are roots of the numerator N(z), and the poles are
roots of the denominators D(z). Both poles and zeros are
Passband named as the singularities of H(z). The plot of zeros and poles
1+ δ 1 in the z-plane is called a pole-zero pattern. Pole is usually
1 denoted by a cross ⫻ and the zero by a circle . We can write
the system function H(z) in the factoring form:
1+ δ 1
Np +N
f (1 − zk z−1 )
H(z) = KzNf k=1
N
(41)
k=1 (1 − pk z−1 )
Transition
band Stopband where zk and pk are the zeros and the poles, respectively, and
δ2 K is gain. Kuc (1) shows that each factor in the numerator of
Eq. (41) generates one zero at z ⫽ zk and one pole at z ⫽ 0;
ω each factor in the denominator generates one pole at z ⫽ pk
ωp ωs π
and one zero at z ⫽ 0; factor zNf generates Nf zeros at z ⫽ 0
and Nf poles at z ⫽ 앝. For the system function, the total num-
Figure 4. Magnitude specification of the physically realizable low-
pass filter. Instead of sharp transition between passband and stop- ber of poles is equal to the total number of zeros. If pole and
band, the transition band is introduced, and instead of a flat charac- zero are in the same location, they cancel each other. Complex
teristic, a small amount of ripples is tolerable: In the passband: 1 ⫺ singularities are always in the complex-conjugate pairs for
웃1 ⬍ 兩H(ej웆)兩 ⬍ 1 ⫹ 웃1, where 웃1 is the passband ripple. In the stop- the system presented by the difference equations with the
band: 兩H(ej웆)兩 ⬍ 웃2, where 웃2 is the stopband ripple. real coefficients.
DISCRETE TIME FILTERS 637

Pole-zero pattern gives much useful information about the Ingle and Proakis (11) derive geometrical presentation of the
LTI system. From the pole–zero pattern, we can see whether phase response as
the filter is casual or not. For the casual filter, Nf ⫽ 0 and
Np +Nf
therefore there are no poles in the infinity. Besides causality,
the type of the filter can also be seen from the pole–zero pat- arg{H(e jω 0 )} = C + ((Np + Nf ) − N)ω0 + arg{(zk , z0 )}
tern. For an FIR filter, all singularities are only zeros (except k=1

poles at the origin and possibly in the infinity). Unlike a FIR


N (44)
filter, an IIR filter has zeros and poles or only poles. (Zeros − arg{(pk , z0 )}
k=1
are in the origin.) As the system function becomes infinity in
the poles, all poles must be outside the ROC. However, for
where C is equal 0 or 앟, depending if the real frequency re-
a casual right-sided sequence, ROC must be outside of the
sponse is negative or not. This expression can be interpreted
outermost pole (the pole having the largest absolute value).
as the sum of the constant linear with 웆 term and the nonlin-
Another useful characteristic about LTI which can be seen
ear term. We notice that the singularities in the origin do not
from the pole–zero pattern is the stability. The problem of
affect the magnitude response but affect the phase response.
stability is only addressed to the IIRs and, therefore, is con-
As shown in Eq. (43), the magnitude response will be equal
nected only with the position of poles. Kuc (1) shows that for
to zero at the points corresponding to the zeros on the unit
a causal IIR filter, all poles must be inside the unit circle. If
circle. Similarly, the poles that are close to the unit circle (re-
the pole is on the unit circle, the system is not stable.
membering that these cannot be on the unit circle for a stable
Oppenheim and Shafer (3) shows that Z transform is equal
LTI) give the peak value to the magnitude response. There-
to the Fourier transform on the unit circle:
fore, the singularities that are close to the unit circle domi-
nate the magnitude response, and they are called the domi-
H(e jω ) = H(z) z=e jω (42) nant singularities.

In this order, the frequency response belongs to the system


s-PLANE TO z-PLANE TRANSFORM
function evaluated on the unit circle. The magnitude response
at 웆 ⫽ 웆0 can be presented geometrically as the ratio of the
The s-plane to z-plane transform depends on the characteris-
distances between the zeros and the point z0 ⫽ ej웆0 on the unit
tic of the filter we want to preserve in the process of trans-
circle and the distances between poles and the point z0 ⫽ ej웆0,
forming an analog to a digital filter. The most used trans-
as it is shown in Fig. 5:
forms are impulse invariance transformation, where the
Np +N impulse response is preserved, and bilinear transform, where
f |(zk , z0 )| the system function is preserved.
|H(e jω 0 )| = K k=1
N
(43)
k=1 |(pk , z0 )|
Impulse Invariance Transformation
The unit sample response of a digital filter is obtained by
sampling the impulse response of the analog filter:
Im Z-plane
h[n] = hA (nT ) (45)
Unit circle
pk
e jω o = zo where T is the sampling interval. Using Eq. (6) between the
discrete and analog frequency, knowing that the frequency
points in s-plane are
ωo
Re s = jωT (46)
zk
and that those in the z-plane are

z = e jω (47)

we obtain the relation:

Figure 5. Geometric presentation of the Fourier transform in Z- z = esT (48)


plane along the unit circle. The magnitude response at 웆 ⫽ 웆0 can be
presented geometrically as the ratio of the distances between the From Eqs. (46)–(48), it follows that the part of the frequency
zeros zk and the point z0 ⫽ ej웆0 on the unit circle and the distances axis in the s-plane from 0 to 앟/T is mapped to the frequency
between poles pk and the point z0 ⫽ ej웆0. If the singularity is close to points on the unit circle from 웆 ⫽ 0 to 앟 in the z-plane. In a
the unit circle it is called dominant singularity, because the distances similar way, the frequency points from 0 to ⫺앟/T are mapped
from it to the neighborhood points on the unit circle are very small.
to the points on the unit circle from 웆 ⫽ 0 to ⫺앟. Expressing
Therefore the dominant zero decreases and the dominant pole in-
creases the magnitude characteristic at the corresponding frequency.
the complex value z in the polar form
For every dominant zero on the unit circle, the magnitude character-
istic is equal to the zero at the corresponding frequency. z = re jω (49)
638 DISCRETE TIME FILTERS

and the complex variable s, with real value ␴ and imaginary Rf Cf


value ⍀
Ri Ci
ν in – ν in –
s = σ + j (50) νo νo
+ +
we have the relation between r and the real value ␴
(a) (b)
r = eσ T (51)
Figure 6. Continuous-time amplifiers: (a) resistor based and (b) ca-
We have the next observations: (1) the transform from contin- pacitor based. The small signal voltage gain for the resistor and the
capacitor based amplifiers is ⫺Rf /Ri and ⫺Ci /Cf, respectively.
uous-time domain to the discrete-time domain is linear, (2)
the mapping is not one-to-one, but many-to-one and (3) the
frequency interval 0 to 2앟/T maps into the unit circle, and the
rely on the precision of the absolute value of both resistors
strips in the left side of the s plane of width 2앟/T are mapped
and capacitors. In integrated circuits, the tolerances of RC
inside the unit circle. The entire left side of the s-plane maps
products can be as high as ⫾30%. In the past, to overcome
into the unit circle, which means that the stable analog filter
this drawback, the resistors were adjusted by using laser
will result in a stable digital one. Due to the many-to-one
trimming techniques; this approach, however, increases the
mapping, the aliasing effect is present, and this is the main
cost of the system. In order to increase the precision of the
disadvantage of the impulse invariance transform.
filters, several techniques have been aroused; the main idea
is to replace the resistor by another device like a switched-
Bilinear Transform
capacitor or a switched-current. Switched-capacitor tech-
To overcome the aliasing limitation, the bilinear transform niques are discussed in this chapter.
could be used, as it presents a one-to-one mapping. The sys-
tem function H(z) is obtained from HA(s) by replacing the s by Basic Components of Continuous-Time Filters

2 z−1 Resistors, capacitors, and inductors are the main passive ele-
s= (52) ments of continuous-time filters. The operational amplifiers
T z+1
are other important elements for the implementation of ac-
tive RC filters. For the resistor, the voltage to current rela-
To find the mapping of the frequencies from ⍀ to 웆, we set
tionship is given by
s ⫽ j⍀ and use Eqs. (49) and (52)
1
1 + jT/2 1 + (t/2)2 e jarctg(T /2) i= v (56)
z=e jω
= = R
1 − jT/2 1 + (T/2) e− jarctg(T /2) (53)
= e2 jarctg(T /2) For the inductor, this relationship is

From here follows 1


i= v (57)
sL
ω = 2arctg(T/2) (54)
where s is the frequency variable j⍀. For the capacitor, the
For low frequencies, the transform is approximately linear, voltage-current relationship is given by
and for higher frequencies, the transform is highly nonlinear,
1
and frequency compression or frequency warping occurs. The v= i (58)
effect of the frequency warping can be compensated for by sC
prescaling or prewarping the analog filter before transform,
In the design of complex transfer functions, a basic function
which means to scale the analog frequency as follows:
is the voltage amplification; two structures are depicted in
2

T
 Fig. 6. The inband gain of the amplifiers is given by ⫺Rf /Ri
 = tg (55) and ⫺Ci /Cf , respectively. While the resistor based amplifier is
T 2
stable, the circuit of Fig. 6(b) is quite sensitive to offset volt-
[See Kuc (1) for a detailed analysis.] The whole left side of the ages due to the lack of dc feedback. Active filters are based
s-plane is mapped into the inside of the unit circle, and the on lossless integrators; the typical RC implementation of this
right side is mapped outside of the unit circle. Therefore, the block is shown in Fig. 7.
stable analog filter will result in the stable digital filter [see
Proakis and Manolakis (7) for detailed analysis].
Cf

DISCRETE-TIME ANALOG FILTERS Ri


ν in –
νo
+
During the 1960s and 1970s the analog integrated filters were
implemented by circuits based on resistors, capacitors, and
operational amplifiers; these are denominated RC active fil- Figure 7. Continuous-time integrator. Note that the operational am-
ters. The precision of RC filters depend on RC products which plifier operates in open loop for dc signals.
DISCRETE TIME FILTERS 639

φ1 C φ1 φ1 C φ2 connected to a low impedance voltage source, and the other


ν1 ν2 ν1 ν2 one is connected to the input of an operational amplifier a
φ2 φ1 virtual ground. Hence, every clock period, in the case of the
φ2 φ2
inverting switched-capacitor resistor, the capacitor extract
charge equal to ⫺C(v1 ⫺ v2) or the charge C(v1 ⫺ v2) is injected
(a) (b) in the case of the non-inverting resistor. In average, the
Figure 8. Switched-capacitor resistors: (a) series and (b) parallel.
switched-capacitor simulated resistors are transferring
␾1 and ␾2 are two nonoverlapping clock phases. charges proportional to the clock period leading to the follow-
ing equivalent resistance

1
If the voltage gain of the operational amplifier, AV, is large Req ∼
= (62)
f ckC
enough, the voltage at the inverting input, given by v0 /AV, is
very small, and this terminal can be considered as a virtual
where f ck is the frequency of the clock. Switched-capacitor
ground; hence, the current flowing through the resistor is de-
based resistors are the key elements for the design of
termined by the value of both resistor and input voltage.
switched-capacitor filters; with these elements, voltage ampli-
Since the input impedance of the operational amplifier is typi-
fiers, integrators, resonators, filters, and other type of func-
cally very large, the resistor current is injected to the capaci-
tions can be implemented; more applications can be found in
tor, and the output voltage becomes
Refs. 13, 15, 19–20. The simplest voltage amplifier is the cir-
 t cuit of Fig. 6(b); other voltage amplifiers are shown in Fig. 9.
1
vo (t) = vo (t0 ) − vi (t) dt (59) Observe that Cf and Ci are sharing several switches. In Fig.
RiCf t0
9(a), during the clock phase ␾2, the operational amplifier is
shortcircuited, and the capacitors are discharged. During the
The minus sign appears because the current is injected from next clock period, the input capacitor is charged to Ci vin, and
the virtual ground to the output node. As we are interested the current needed for this charge flows through the feedback
in transfer functions, it is easier to manipulate the variables capacitor; therefore, the gain voltage becomes
in the frequency domain; therefore, the previous equation can
be expressed as follows vo C
=− i (63)
vin Cf
vo 1
=− (60)
vi sRiCf Note that the amplifier is available during clock phase ␾1. The
amplifier shown in Fig. 9(b) behaves as the previous one, but
The differentiator can be implemented by using inductors in- the input signal is sampled at the end of the clock phase ␾2,
stead of capacitors or exchanging the role of the resistor and and the charge is injected during the next clock period. Ob-
capacitor in Fig. 7. Nevertheless, these approaches are im- serve that the injected charge is inverted; hence, the voltage
practical in most of the cases; the inductors are typically im- gain is
plemented by using integrators as will be shown in the next
section. More details can be found in Refs. 12–15. vo C
= i z−1/2 (64)
vin Cf
Building Blocks for Switched-Capacitor Filters
Herein after, it is assumed that the non-overlapping clock where: z⫺1/2 represents the half delay. Other voltage amplifi-
phases are defined as follows: ers can be found in Refs. 13–15, 19–20.

φ1 (t) ⇒ nT − T/2 < t ≤ nT Switched-Capacitor Integrators


(61)
φ2 (t) ⇒ nT − T < t ≤ nT − T/2 The parasitics-insensitive integrators allow the design of
high-performance analog integrated circuits. Biquadratic
In switched-capacitor circuits, the resistors are implemented based filters, ladder filters, and other type of filters are based
by a capacitor, four switches and two non-overlapping clock on active integrators. Switched-capacitor filters have the ad-
frequencies; Fig. 8 shows the stray insensitive switched-ca- vantage that arranging the clock phases inverting and non-
pacitor simulated resistors. Typically, one of the terminals is inverting simulated resistors can be realized, as shown in Fig.

Cf φ1 Cf φ1

φ2 φ2

φ1 φ1 φ2 φ2 φ1 φ2
ν in – ν in – Figure 9. Switched-capacitor amplifiers available
νo νo
φ2 φ2 + φ1 φ2 + during clock phase ␾1 (a) inverting and (b) nonin-
verting. Because the operational amplifier is short-
circuited during ␾2, the output is available only dur-
(a) (b) ing the clock phase ␾1.
640 DISCRETE TIME FILTERS

Cf Cf

φ1 Ci φ1 φ2 Ci φ1
ν in – ν in –
Figure 10. Switched-capacitor integrators: (a) νo νo
inverting and (b) noninverting. The inverting φ2 φ2 + φ1 φ2 +
and noninverting integrators employ the series
and parallel switched-capacitor resistors, re-
spectively. (a) (b)

8. As a result of this, the design of systems can be further A similar analysis for the non-inverting integrator leads to
simplified. The inverting and non-inverting integrators shown the following transfer functions:
in Fig. 10 are an example of this advantage. For the imple- 
mentation of an RC noninverting integrator, an additional in- vo  C z−1/2
 = i (69)
verter is needed. vin φ Cf 1 − z−1
2
The inverting integrator operates as follows. During the 
clock phase ␾2, Ci is discharged while the output voltage re- vo  Ci z−1
= (70)
mains constant due to Cf ; the output voltage is then charac- vin φ Cf 1 − z−1
2
terized by the next equation

vo (t) = vo (nT − T ) (65) First-Order Filters


The amplifiers and integrators can be easily implemented by
In the next clock period, Ci extracts a charge equal to Ci vin; using switched-capacitor circuits; a general first order filter is
therefore, the charge distribution can be described by the fol- shown in Fig. 11. By using adequate equations, we can see
lowing expression that the z-domain output-input relationship is described, dur-
ing the clock phase ␾1, by the following expression:
Cf vo (t) = Cf vo (nT − T/2) − Ci vin (t) (66)
(1 − z−1 )Cf vo = −(1 − z−1 )Ci1 vin − Ci2 vin + z−1/2Ci3 vin − Cf 2 vo
If the output voltage is evaluated at the end of the clock
(71)
phase ␾1, and considering that v0(nT ⫺ T/2) is equal to
v0(nT ⫺ T), the z-domain transfer function will result in where the left hand side term represents the charge contribu-
 tion of Cf . The first right hand side term is due to capacitor
vo  C 1
=− i (67) Ci1. Note the term 1 ⫺ z⫺1 present in the non-switched capaci-
vin φ Cf 1 − z−1 tors; these terms appear as the injected or extracted charge
1
is the difference between the actual one minus the previous
Note that the output voltage can be sampled during the next clock period charge. The other terms represent the charge
clock period; then the output voltage is delayed by a half pe- contribution of the Ci2, Ci3, and Cf2, respectively. In order to
riod, leading to the following transfer function facilitate the analysis of complex circuits, it is convenient to
represent the topologies with the help of flow diagrams; see,

vo  C z−1/2 for example, Ref. 20. Note that the output voltage is feedback
 =− i (68) by the capacitor Cf2; this capacitor is considered in a similar
vin φ Cf 1 − z−1
2 way as the other capacitors. Solving the circuit, or equiva-
lently, arranging Eq. (71), the z-domain transfer function can
be found as
φ2 Ci3 Cf2 φ1 
v0  −(1 − z−1 )Ci1 − Ci2 + z−1/2Ci3
 =  Cf
 (72)
vin φ
φ1 φ2 1 (Cf + Cf2 ) 1 − z−1
Cf + Cf2
Cf
If the output voltage is sampled during ␾2 and assuming that
φ1 Ci2 φ1 vin changes neither during the transition ␾1 ⫺ ␾2 nor during
ν in – the clock phase ␾2, we can observe that the output of the first
νo order circuit becomes
φ2 φ2 +

v0 |φ = z−1/2v0 |φ (73)
2 1
Ci1

In the first-order filter of Fig. 11, we can note that all


Figure 11. General first-order switched-capacitor filter. Ci1, Ci2, and switches connected to the inverting input of the operational
Ci3 implement an amplifier, an inverting integrator, and a nonin- amplifier have been shared by several capacitors as all of
verting integrator, respectively. Cf2 implements a switched-capacitor them are connected to ground during ␾2 and to the opera-
resistor in parallel with C. tional amplifier during clock phase ␾1.
DISCRETE TIME FILTERS 641

φ1 CR φ1

νo νo
φ2 φ2 +

C
L C

φ2 CR φ1

Figure 12. LC resonator: (a) passive and (b)
+ φ1 φ2 switched-capacitor implementation. The inductor
L is simulated by the switched-capacitor resistors,
the bottom operational amplifier and the bottom
(a) (b) capacitor C.

Active Resonator to component tolerances in the passband; see Refs. 14–17. A


general biquadratic filter is shown in Fig. 13. The z-domain
Ladder filters are based on LC networks, series and parallel
transfer function of the topology is given by
connected. While the capacitors are easily implemented in
metal-oxide-semiconductor technologies, the inductor must be A2 A5 z + (z − 1)(A1 A5 + A4 z) + A3 (z − 1)2
simulated by integrators and resistors. The inductor’s cur- H(z) = −   2 − A (A + A ) + A  1 − A A 
rent-voltage relationship is given by Eq. (57). For a grounded (1 + A8 ) z2 − 5 6 7 8
z+ 5 6
1 + A8 1 + A8
resonator as shown in Fig. 12(a), the conductor’s current can
be generated from the output node and an integrator. The (76)
transfer function of an RC integrator is given by ⫺1/sRC; if
an integrator’s output is converted to current by a resistor, By using this structure, several transfer functions could be
and the resulting current is fed back to the node v0, the re- implemented, namely
sulting current is then given by vo /sR2C. Hence, the simulated
A1 = A3 = A4 = 0 Low-pass filter (LDI)
inductance results in
4A2 A5 = A3 , A1 = A4 = 0 Low-pass filter (Bilinear)
L = R 2C (74) A2 = A3 = A4 = 0 or
A1 = A3 = A4 = 0 Bandpass filter (LDI)
Figure 12(b) shows the switched-capacitor realization of the A2 = A3 = 0, A1 A5 = A4 Bandpass filter (Bilinear)
resonator. For a high sampling rate ( f ck Ⰷ frequency of opera-
A1 = A2 = A4 = 0 High-pass filter
tion), the switched-capacitor resistors can be approximated by
R ⫽ 1/f ckCR; for details, the reader may refer to Refs. 12–15,
In order to illustrate the design procedure, consider a second
19–20. According to Eq. (74), the simulated inductance is ap-
order bandpass filter with the following specifications:
proximately given by

1 C Center frequency 10 kHz


L= 2 C2
(75) Bandwidth 1 kHz
f ck R Clock frequency 60 kHz

Observe that in the LC implementation, similar integrators


have been used.
A7C2

HIGH-ORDER FILTERS A4C2

High-order filters can be synthesized by using several ap- φ1 A2C1 φ1 A3C2 φ1


A6C1
proaches; two of the most common are based on biquads and
the emulation of ladder filters. High-order filters based on bi- φ2 φ2 C1 φ2
C2
quadratic sections are more versatile, but ladder filters pres-
ν in φ 2 A5C2 φ 1
ent low sensitivity to components’ tolerances in the passband. – –
A1C1 + νo
φ1 φ2 +
Second-order Filters
Second order filters are often used for the implementation of A3C2
high-order filters; these filters are versatile in the sense that
the filter parameters like center frequency, bandwidth, and Figure 13. General second-order switched-capacitor filter. By choos-
dc or peak gain are controlled independently. A drawback of ing appropriated coefficients either lowpass, bandpass, highpass, or
the biquadratic filters is that they present high sensitivity notch filters can be implemented.
642 DISCRETE TIME FILTERS

i1 i3 i1 i3
V2 ν2
ν in νo ν in νo
R1 L1 L2
C1 C1 Y1 Y3
L2 C2 R1 Z2 Z4

Figure 14. Passive sixth-order bandpass filter:


(a) detailed RLC prototype and (b) simplified sche-
(b)
matic. The element values can be obtained from
well-known tables; see, for example, Ref. 12. (a)

The continuous-time bandpass filter has the following age and current Kirchhoff ’s laws. Although this topic is
form: treated in another chapter, here we consider an example to
illustrate the design procedure of switched-capacitor ladder
BWs filters. Consider a third order, normalized, lowpass filter. For
H(s) = (77)
s2 + BWs + ω02 the design of a bandpass filter, the low-pass to bandpass
transformation must be used; this transformation has the
where 웆0 is the center frequency, equal to 2앟f 0, and BW the form
filter bandwidth. In low Q applications, it is more precise to
prewarp the center frequency and the ⫺3 dB frequencies; this sbp ω02
slp ⇒ + (79)
prewarping scheme is discussed in (18). By using the bilinear BW sbp BW
transform, the prewarped center frequency is f 0 ⫽ 11.0265
kHz, and the ⫺3 dB frequencies are mapped into 10.37 kHz where BW and 웆0 are the bandwidth and center frequency of
and 11.7 kHz. Applying the s-domain to z-domain bilinear the bandpass filter, respectively. Observe that the inductor is
transform to the continuous-time bandpass filter, the follow- mapped into a series of another inductor and a capacitor,
ing z-domain transfer function is obtained: while the capacitor is transformed into another capacitor in
parallel with an inductor. The bandpass filter prototype and
BWT a simplified schematic are shown in Figs. 14(a) and 14(b), re-
2 spectively. The transformed elements are then given by
H(z) =
BWT
 ω T 2
1+ + 0
LLP
2 2 L1 =
(z − 1)(z + 1) BW
  ω T 2   ω T 2 BW
BWT C1 =
 −2 + 2
0
 1− + 0 LLP ω02
 2  2 2 (80)
z +
2
 BWT
 ω T 2 
z+
BWT
 ω T 2 L2 =
BW
1+ + 0
1+ + 0
CLP ω02
2 2 2 2
(78) CLP
C2 =
BW
Using the prewarped values and equating the transfer func-
tion of the bilinear bandpass filter, Eq. (72) with appropriated Current i1 could be generated by the active circuit of Fig. 15.
conditions, and the previous equation, the capacitor ratios are By using circuit analysis, i1 could as well be obtained as
found: A1 ⫽ A4 ⫽ 0.04965, A5 ⫽ 1, A6 ⫽ 0.09931, A7 ⫽ 0.95034,
A8 ⫽ 0. 1
i1 = (vin − v2 ) (81)
R2QY11
Ladder Filters
Equating i1 of the passive realization with the simulated cur-
Active ladder filters are based on the simulation of the pas-
rent, the relationship between Y1 and Y11 can be determined
sive components of low-sensitive passive prototypes. The ac-
tive implementation of this type of filters is based on the volt- 1 1
Y1 = = 2 (82)
Z1 RQY11

RQ Y11
νin Z22 This expression means that the impedance Z1 is simulated by
the admittance Y11 multiplied by the factor RQ2 . For the band-
i1
−ν 2 pass filter, Z1 is the series of a resistor, an inductor, and a
RQ capacitor; this impedance can be simulated by the parallel of
RQ
ν2
similar elements. For grounded resonators, the capacitors are
connected to the operational amplifier, and the inductors are
simulated by using integrators and resistors, as previously
Figure 15. Active implementation of floating impedances. The ad- discussed. In the implementation of the 6th order bandpass
mittance Y11 is directly associated with the floating impedance Z1. filter, three resonators are being employed, one for each LC
Resistors RQ are scaling factors. resonator.
DISCRETE TIME SYSTEMS DESIGN METHODS 643

BIBLIOGRAPHY

1. R. Kuc, Introduction to Digital Signal Processing, New York:


McGraw-Hill, 1988.
2. C. B. Rorabaugh, Digital Designer’s Handbook, New York:
McGraw-Hill, 1993.
3. A. V. Oppenheim and R. W. Schafer, Discrete-Time Signal Pro-
cessing, Englewood Cliffs, NJ: Prentice-Hall, 1989.
4. M. Schwartz and L. Shaw, Signal Processing: Discrete Spectral
Analysis, Detection, and Estimation, New York: McGraw-Hill,
1975.
5. M. H. Hayes, Statistical Digital Signal Processing and Modeling,
New York: Wiley, 1996.
6. J. V. Candy, Signal Processing: The Modern Approach, New York:
McGraw-Hill, 1988.
7. J. G. Proakis and D. G. Manolakis, Digital Signal Processing,
Principles, Algorithms, and Applications, 2nd ed., New York: Mac-
millan, 1992.
8. S. J. Orfanidis, Introduction to Signal Processing, Englewood
Cliffs, NJ: Prentice-Hall, 1996.
9. S. Haykin, Modern Filters, 2nd ed., New York: McGraw-Hill,
1990.
10. A. Antoniou, Digital Filters Analysis and Design, New York:
McGraw-Hill, 1979.
11. V. K. Ingle and J. G. Proakis, Digital Signal Processing Using
MATLAB V.4, Boston: PWS Publishing, 1977.
12. L. P. Huelsman and P. E. Allen, Introduction to the Theory and
Design of Active Filters, New York: McGraw-Hill, 1980.
13. P. E. Allen and E. Sanchez-Sinencio, Switched-Capacitor Circuits,
New York: Van Nostrand, 1984.
14. R. Gregorian, K. Martin, and G. C. Temes, Switched-capacitor
circuit design, Proc. IEEE, 71 (8): 941–966, 1983.
15. R. Gregorian and G. C. Temes, Analog MOS Integrated Circuits
for Signal Processing, New York: Wiley, 1986.
16. K. Martin, Improved circuits for the realization of switched-ca-
pacitor filters, IEEE Trans. Circuits Syst., 27 (4): 237–244, 1980.
17. E. Sanchez-Sinencio, J. Silva-Martinez, and R. L. Geiger, Biquad-
ratic SC filters with small GB effects, IEEE Trans. Circuits Syst.,
32 (10): 876–884, 1984.
18. K. R. Laker and W. Sansen, Design of Analog Integrated Circuits
and Systems, New York: McGraw-Hill, 1994.
19. Wai-Kai Chen (Editor-in-Chief), The Circuits and Filters Hand-
book, Boca Raton, FL: CRC Press, 1995.
20. D. A. Johns and K. Martin, Analog Integrated Circuit Design, New
York: Wiley, 1997.

JOSE SILVA MARTINEZ


GORDANA JOVANOVIC-DOLECEK
Instituto Nacional de Astrofisica,
Optica y Electronica, INAOE

DISCRETE-TIME FILTERS. See DIGITAL FILTERS.


682 DISTRIBUTED AMPLIFIERS

DISTRIBUTED AMPLIFIERS
DEFINITION AND STRUCTURE

The objective of this article is to present various aspects of


distributed amplifiers. Distributed amplifiers are, by defini-
tion, electronic amplifiers consisting of distributed circuit pa-
rameters. Distributed circuit is a transmission line circuit,
and the physical length is comparable to operating wave-
length. However, in practice, an amplifier system consists of
a number of discrete amplifiers associated with distributed
parameter circuits, often termed the distributed amplifier.
The latter amplifier is actually a pseudo-distributed amplifier.
In practice, the distributed parameter circuit often takes
the form of a transmission line. The circuit parameters, the
inductance, the capacitance, and the resistance are distrib-
uted throughout the transmission line. If the transmission
line is a conventional passive transmission line, the electrical
output power of the transmission line is either equal to or less
than the electrical input power, depending on the power loss
of the transmission line.
If the transmission line is active, then the output power is
greater than the input. In this case, the transmission line is
considered as an amplifier. This is a distributed amplifier.
For example, an ordinary optical fiber cable is a passive
transmission line for lightwaves. The output light of the opti-
cal cable is always less than the input light due to the cable
loss. However, an erbium-doped optical fiber cable is differ-
ent. The lightwave output of the cable is larger than the
lightwave input. The input lightwaves, which are electromag-
netic waves, are amplified. The erbium-doped optical fiber ca-

J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
DISTRIBUTED AMPLIFIERS 683

Active transmission line Continuous Active Diode Distributed Amplifiers


Activated or properly biased tunnel diodes, Gunn diodes, and
varactor diodes are considered to be active diodes. When tun-
Input Output nel diodes and Gunn diodes are properly biased, these diodes
Active substrate exhibit negative resistance. Ordinarily a resistance is posi-
(a) Distributed amplifier tive. A positive resistance consumes electrical energy. A nega-
tive resistance generates electrical energy. Therefore, if the
amount of negative resistance is adjusted by material compo-
Discrete amplifiers sition, configuration and the bias current, and if the circuit
impedance of the transmission line is properly adjusted, then
the active diode-loaded transmission line can amplify propa-
Input Output gating electromagnetic waves on the transmission line. One
Transmission line possible biasing method is illustrated in Fig. 3(a). The trans-
mission line is most likely a microstripline or a coplanar cou-
(b) Pseudo-distributed amplifier
pled waveguide. The microstripline is dc biased through an
Figure 1. Generic configuration of distributed amplifiers. Signals to RF choke. If the active substrate is a tunnel diode of long
be amplified are fed at the left terminal. The signals are amplified degenerate or heavily doped pn junction, the properly forward
during propagation on the line. The amplified signals exit from the biased pn junction exhibits negative resistance by the tunnel
right. (a) Distributed amplifier. The amplifier consists of a continuous effect (1). If the active substrate is a long Gunn diode of prop-
active transmission line. (b) Pseudo-distributed amplifier. Lumped
erly doped n-type GaAs, the substrate exhibits negative resis-
amplifiers are periodically loaded on a passive transmission line.
tance by the carrier momentum transfer effect (2).
The negative resistance can also be created by a properly
biased and pumped long varactor diode junction. The varactor
ble is an active transmission line and it is one type of distrib- diode is a reverse biased pn-junction diode. This is a variable
uted amplifier. A schematic diagram of a generic distributed capacitance diode and the junction capacitance is varied de-
amplifier is shown in Fig. 1(a). In this distributed amplifier, pending on the bias voltage across the diode. The junction
the transmission line is continuously loaded by the continu- capacitance in the case of Fig. 3(b) is controlled by the dc bias
ously distributed power-pumping active substrate. and the pump oscillator voltage launched on the microstrip-
In a pseudo-distributed amplifier, a number of discrete am- line transmission line. Some varactor diodes work without dc
plifiers are periodically loaded as shown in Fig. 1(b). The in- bias. For optimal results, the pump oscillator frequency f p is
put power is amplified by these discrete amplifiers. Therefore, approximately twice that of the signal frequency f s. When the
the output of the transmission line is greater than the input pump oscillator frequency and phase are properly adjusted,
power. the energy of the pump oscillator transfers to the signal
The objective of the distributed amplifiers is to obtain a through the variable junction capacitance and the signal
wide-frequency bandwidth with high amplification gain. The waves are amplified as the waves propagate on the micro-
operating frequency ranges comprise radiofrequency (RF), mi- stripline. The amplifier that functions by using a junction ca-
crowaves, and lightwaves. Depending on the operating fre- pacitance is termed a varactor parametric amplifier (1). The
quency range, the amplifier configurations differ significantly. type of parametric amplifier shown in Fig. 3(b) is a traveling
The transmission line can be a two-wire line, a coaxial line, a wave varactor parametric amplifier. Because the junction ca-
waveguide, a microstripline, a coplanar waveguide, or an opti- pacitance is continuously distributed along the microstripline,
cal fiber cable. this is a distributed amplifier.
The term distributed amplifier contrasts against the terms The pump oscillator wavelength and phase are adjusted.
discrete amplifier and lumped amplifier. A lumped amplifier The pumping waves are synchronized with the input signal
is represented in a block diagram as shown in Fig. 2. In a so that the junction capacitance of the varactor transmission
lumped or discrete amplifier, point A is the input, point B is line is always minimum where the signal voltage wave travel-
the output, and the geometrical distance between points A ing is maximum.
and B is negligibly small in comparison with the operating
wavelength. A distributed amplifier can also be represented Periodically Loaded Active Diode Distributed Amplifiers
by a block diagram as shown in Fig. 2, but the geometrical
distance between actual point A and actual point B is compa- A schematic diagram of a periodically loaded active diode mi-
rable to the operating wavelength. crostripline distributed amplifier is shown in Fig. 4(a). The
active diodes are either discrete tunnel diodes or Gunn di-
odes. Periodicity L is usually less than a quarter wavelength
in order to avoid resonance. When the periodicity is made
equal to either a quarter or a half wavelength the amplifier
A G B will be at resonance. In such cases, the frequency bandwidth
narrows. It then may become unstable and oscillate. Reso-
Figure 2. A block diagram representation of a discrete amplifier, a nance should therefore be avoided. One of the objectives of the
lumped amplifier, or a distributed amplifier. A generic symbol of a distributed amplifier is to obtain a wide frequency bandwidth.
generic amplifier. G represents the gain of the amplifier. It can be the Therefore it is safe to keep periodicity L at less than a quarter
voltage, current, or power gain. A is the input, and B is the output of a wavelength. The diodes must be correctly dc biased in the
terminal. middle of the negative resistance region.
684 DISTRIBUTED AMPLIFIERS

Dc bias
supply
Microstripline

Microwave
Microwave RFC RF
RF input output

Active subtrate

Ground plate
Tunnel diode junction or
Gunn diode subtrate

(a)

Variable
phase-shifter
Microstripline
Microwave
RF
Microwave
input RFC RF
N
Figure 3. Continuous diode distributed amplifiers. output
Electromagnetic waves to be amplified are fed from the
left end, and amplified signals exit at right. (a) Continu- Varactor diode junction
ously loaded tunnel diode or Gunn diode transmission
line distributed amplifier. The entire transmission line P Ground plate
consists of a long and narrow section of tunnel diode fp fs Low-pass filter Low-pass filter
junction or Gunn diode active region. (b) Continuously
High-pass filter
loaded varactor diode transmission line parametric dis-
tributed amplifier. The entire section of the transmission Pump oscillator
line consists of a reverse-biased variable capacitance
PN junction. (b)

Periodicity
Microwave RFC Microwave
RF Microstripline RF
input L output

Ground plate Discrete diodes

(a)

Microstripline
Variable Microwave
phase- RF
shifter input Microwave
RFC RF
Figure 4. Periodically loaded active diode distributed output
L
amplifiers. (a) Periodically loaded active diode micros-
tripline distributed amplifier. A microstripline is peri- fp fs
odically loaded by active diodes with periodicity L. A
properly biased active diode is capable of amplifying
electromagnetic signals. (b) Periodically loaded active Ground plate LPF
HPF LPF Varactor
capacitive parametric distributed amplifier. A micro- diodes
stripline is loaded periodically by properly biased and Pump oscillator
pumped varactor diodes with periodicity L. Such varac-
tor diode acts as a lumped amplifier. (b)
yy
;; ;;;
yyy
DISTRIBUTED AMPLIFIERS 685

Coupled Drain-gate
waveguide Drain coplanar
conducting Quarter + – dc coupled
strips wavelength supply waveguide
Lumped choke

;;;
yyy
diodes
Microwave
output
Microwave
input

Dielectric substrate

Figure 5. An example of a coplanar coupled waveguide distributed L


amplifier. This is an example of a case in which the transmission Periodicity –
Discrete
line is a coplanar coupled waveguide. Lumped diodes are mounted on
transistors Gate-source +
it periodically. Gate
(FET) coplanar
coupled dc
waveguide supply
A schematic diagram of a periodically loaded variable ca-
Figure 7. A schematic diagram of a periodically loaded transistor
pacitance diode (varactor diode) parametric distributed ampli-
distributed amplifier. Discrete transistors are periodically loaded on a
fier is shown in Fig. 4(b). As seen from the diagram, varactor coplanar coupled waveguide. The input signals fed on the gate-source
diodes are reverse biased by the dc bias supply and are coplanar coupled waveguide are amplified as propagation on the line.
pumped by the pump oscillator. Pump frequency f p must be The amplified output appears on the drain-gate coplanar coupled
approximately twice that of the signal frequency f s to be am- waveguide. The output propagates on the line and exits from the
plified. The pump wave on the line must be synchronized with right.
the signal wave. Synchronization is accomplished using a
variable phase shifter as shown in the pump oscillator circuit.
The pump oscillator power is transferred into the signal guide, which consists of the gate strip and the source strip. As
through the varactor and the signal wave is amplified (1,2). the input microwaves propagate along this input gate-source
The varactor diodes are pumped so that when and where the coplanar coupled waveguide, the amplified signal waves ap-
signal waves crest the junction capacitance becomes mini- pear on the drain-gate coplanar coupled waveguide. Then the
mum. This phasing amplifies the microwave signal voltage. amplified microwaves exit at the end of the drain-gate copla-
The transmission line can be a microstripline as shown in Fig. nar coupled waveguide. The long transistor must be properly
4(b) or a coplanar coupled waveguide. An example of a copla- dc biased as shown in Fig. 6.
nar coupled waveguide distributed amplifier is sketched in
Fig. 5. As seen from this figure, fabrication of a coplanar cou-
Periodically Loaded Transistor Distributed Amplifiers
pled waveguide amplifier is easier than the microstripline
amplifiers. A schematic diagram of a periodically loaded transistor dis-
tributed amplifier is shown in Fig. 7. To be qualified as a dis-
Continuous Transistor Distributed Amplifiers tributed amplifier, the length of a coplanar coupled waveguide
must be longer than the wavelength of operating microwaves.
A schematic diagram of a continuous transistor distributed If the length is very short it indicates simple parallel opera-

;;
yy
amplifier is shown in Fig. 6. This is a field effect transistor tion of the transistors. The input microwave signals are fed
(FET) of long configuration. Line length must be greater than to the input of the gate-source coplanar coupled waveguide as
the wavelength of the operating carrier signals. The micro- shown in Fig. 7. As microwaves propagate down the gate-
wave input signals are fed into the coplanar coupled wave- source waveguide, the amplified microwaves appear on the
drain-gate coplanar coupled waveguide. The amplified micro-
waves propagate toward the output and exit from there. The
Intrinsic coplanar coupled waveguides are periodically loaded by dis-

;;
yy
semiconductor crete field effect transistors. Periodicity L must be less than
Drain strip substrate
a quarter of a wavelength to avoid resonance. Otherwise,
VD RFC n(␭l /2) ⬍ L ⬍ (2n ⫹ 1)(␭l /4) where ␭l is the transmission line
Output wavelength and n ⫽ 0, 1, 2, 3, . . ..
– +
– +
Input Thermionic Traveling Wave Distributed Amplifiers
VG
A thermionic traveling wave amplifier, also called a traveling
Source strip Gate strip wave tube amplifier (TWTA), is a vacuum tube (2). Electrons
are emitted from an electron gun into a vacuum as shown in
Figure 6. A schematic diagram of a continuous transistor distrib-
uted amplifier. This is a case of an extremely long gate field effect Fig. 8. The emitted electrons are pulled by the anode (a heli-
transistor. The length of the gate can be several wavelengths longer cal transmission line) and focused by the longitudinally ap-
than the operating wavelength. As the input signals propagate on the plied dc magnetic flux B. The electron beam is shot through
gate-source line, the amplified output signals travel on the drain-gate the helix line and hits at an end-plate called an electron col-
line. The amplified signals exit at the right. lector. The electron collector collects used-up electrons. The
686 DISTRIBUTED AMPLIFIERS

Microwave Microwave lightwave is propagating in the fiber cable, the signal


input output lightwave is intensified by the emission of radiation from the
erbium atoms that are pumped by the lightwave (propagating
Electron RFC in the fiber cable) from the pump laser. The pump lightwave
gun B travels with the signal lightwave and pumps the energy into
the signal lightwaves through the stimulated emission of ra-
diation from erbium atoms. This particular optical fiber is
considered to be a distributed parameter transmission line
Va Electron Electron amplifier for propagating optical electromagnetic wave
beam collector
signals.

Figure 8. A schematic diagram of a thermionic traveling wave dis-


tributed amplifier. The pitch of the helical transmission line is ad- GENERAL GOVERNING EQUATIONS
justed so that the axial speed of microwave propagation on the line
is almost equal to the speed of the electron beam. Under this condi- Gain
tion, the kinetic energy of electrons is transferred into the traveling
microwaves on the line, and the propagating microwaves are am- A generic configuration of a distributed amplifier transmis-
plified. sion circuit is shown in Fig. 10. In this diagram, R is the se-
ries resistance per meter of the distributed amplifier, L is the
series inductance per meter of the distributed amplifier, ⫺G
helix transmission line is a distributed parameter transmis- is the negative conductance per meter of the distributed am-
sion line. The pitch angle of the helix transmission line, the plifier, and C is the capacitance per meter of the distributed
diameter of the helix, and the electron acceleration voltage amplifier. The amplification constant of this amplifier is (1),
are adjusted in such a way that the speed of the electron
beam is equal to the axial propagation speed of microwaves ω(CR − LG)
α=   1/2 [neper/m] (1)
on the helix transmission line. Then the kinetic energy of the

2 (ω2 LC + RG) + ω (CR − LG)
2 2
electron beam is transferred to the microwave energy on the
helical line through the distributed capacitance between +(RG + ω2 LC)2
the electron beam and the helical line. As the microwaves on
the line and the electrons in the beam travel together, the where G is the magnitude of the negative conductance param-
microwaves are amplified and exit from the output of the tube eter. In a distributed amplifier, if the propagating power in-
as shown in Fig. 8 (2). The helical transmission line can be crease per meter is ⌬P(W/m) and the propagating voltage in-
replaced by a meanderline or an interdigital transmission crease parameter is ⌬V(V/m), the magnitude of the negative
line (2). conductance per meter is G ⫽ 2⌬P/(⌬V)2 (S/m).
The phase constant of this amplifier is (1),
Fiber Optic Distributed Amplifiers
 2  
A schematic diagram of a fiber optic distributed amplifier is (ω LC +RG) + ω2 (CR−LG)2 + (ω2 LC +RG)2 1/2
shown in Fig. 9. The main part of this amplifier is a section β= √ [rad/m]
2
of erbium-doped optical glass fiber cable (3). As seen from this (2)
figure, if a lightwave of proper wavelength is pumped into the
fiber cable through a directional coupler from a pump laser, If the length of the active region of the amplifier is ᐉ m long
and the lightwave signal to be amplified is fed into the input then the voltage gain of the amplifier is
of the fiber cable through an isolator, then while the signal
A = α [neper] (3)
Optical
isolator Amplified The total phase shift across the active region of the amplifier
Input lightwave is
Lightwave
connector output
input
φ = β [radian] (4)

Output
Optical
connector
Pump isolator R L R L R L
laser
Directional Output
coupler Erbium doped
optical fiber
cable Input –G C –G C –G C

Figure 9. A schematic diagram of a fiber cable lightwave distributed


amplifier. Active part is a long section of erbium-doped fiber cable.
The erbium atoms are pumped by a light from the pump laser at left. Figure 10. An equivalent circuit of a generic distributed amplifier.
The input lightwave signals are amplified by the stimulated emission The negative conductance ⫺G generates energy and amplifies signals
of radiation from the pumped erbium atoms in the active fiber cable. which are traveling on this line.
DISTRIBUTED AMPLIFIERS 687

Frequency Bandwidth where Ap is given by


In a generic distributed amplifier, the circuit parameters R, Ap =  2α (13)
L, C, and G, are functions of operating frequency f. Therefore,
the voltage gain of the amplifier is where 움ᐉ is given by Eq. (5).
A( f ) = α( f )
Noise Figure
(2π f )(C( f )R( f ) − L( f )G( f ))
= 
√ Noise figure F of an amplifier is given by definition (1)
2 ((2π f )2 L( f )C( f ) + R( f )G( f ))
 1/2 No
F= (14)
(2π f )2 (C( f )R( f ) − L( f )G( f ))2 KTBAp
+
+(R( f )G( f ) + (2π f )2 L( f )C( f ))2
(5) where No is the noise output of the amplifier (W). For a dis-
tributed amplifier, both the frequency bandwidth B and the
At the edge of the frequency bandwidth at a frequency f⬘, power amplification A are given by Eqs. (6) and (7), respec-
1 tively.
A( f  ) = √ A( f 0 ) (6)
2
Dynamic Range
where f 0 is the center frequency of the amplifier. Usually Eq. A range of input signal level in which the gain of the amplifier
(6) is at least the second-order equation of f⬘. One root is f⬘H, is constant is termed the dynamic range of the amplifier. Usu-
which is greater than f 0, and the other is f⬘L, which is less ally, the gain of an amplifier is less at an extremely small
than f 0. Then the frequency bandwidth is input signal level or at a large input signal level.
In semiconductor distributed amplifiers, thermionic dis-
 f = f H − f L (7)
tributed amplifiers, or even in fiber optic distributed amplifi-
ers, the values of L, C, R, and G are inherently functions of
Sensitivity operating signal levels ␯s. Therefore, in Eq. (1),
According to the IEEE Standard Dictionary of Electrical and
ω(C(νs )R(νs ) − L(νs )G(νs ))
Electronics Terms (4), sensitivity is defined as ‘‘the minimum α(νs ) = 

input signal required to produce a specified output signal hav- 2 (ω2 L(νs )C(νs ) + R(νs )G(νs ))
ing a specified signal to noise ratio.’’ This means that  1/2
ω2 (C(νs )R(νs ) − L(νs )G(νs ))2
Ps Ap So +
= (8) +(R(νs )G(νs ) + ω2 L(νs )C(νs ))2
KTBAp F No
(15)
where Ap is the power gain of an amplifier, K is the Boltz-
mann constant 1.38054 ⫻ 10⫺23 J/K, T is the absolute temper- If the gain constant in the linear region of the distributed
ature of the input circuit to the amplifier, B is the overall amplifier is 움0, then the power gain of the amplifier is
frequency bandwidth of the amplifier, Ps is the input signal
Ap0 = e2α 0  (16)
power, F is the noise figure of the amplifier, and So /No is the
signal-to-noise power ratio of the amplifier at the output.
Then, where ᐉ is the length of the active region of the distributed
amplifier. In a large signal level ␯s the gain will be com-
So pressed due to saturation and
Ps = KTBF (9)
No
Ap (νs ) = e2α (ν s ) (17)
As ‘‘a specified signal to noise ratio,’’ often
where 움(␯s) is given in Eq. (15).
So If the gain compression of ⫺n dB is chosen, then,
=1 (10)
No
A(νs )
is used for the definition of the sensitivity of the amplifier. −n[dB] = 10 log10 (18)
A0
Then, the sensitivity is
 or

Ps  = KTBF (11)
S o /No =1 n[dB] = 10 log10 e2{α 0 −α (ν s )}  (19)
For a distributed amplifier, the value of B is obtained using or
Eq. (7). The value of the noise figure F can be obtained from
the next section. Then the sensitivity is n[dB] = 8.686{α0 − α(νs )} (20)

 No
Ps  = (12) In practice, n ⫽ 1 is often chosen, and the value of the input
Ap
S o /No =1 voltage for n ⫽ 1 is termed the input signal voltage at 1 dB
688 DISTRIBUTED AMPLIFIERS

compression point. The 1 dB compression point input signal


voltage is then
L
1
α(νs ) = α0 − (21)
8.686
Rs C
Stability
As seen from Eq. (1), a generic distributed amplifier is inher-
–G Cj
ently stable. A controlling parameter in Eq. (1) is the magni-
tude of the negative conductance per meter G. Equation (1)
does not show any singularity due to the size of G within the
range of practical operation.
Figure 12. Equivalent circuit of a tunnel diode. This is for a pack-
aged diode properly biased. C is the package capacitance; L is the
PERIODICALLY LOADED ACTIVE lead inductance; Rs is the spreading resistance; Cj is the junction ca-
DIODE DISTRIBUTED AMPLIFIERS pacitance; and ⫺G is the negative conductance of the tunnel diode
packaged.
Periodically Loaded Tunnel Diode Distributed Amplifiers
In a periodically loaded tunnel diode disturbed amplifier, a At any diode in Fig. 4(a), half of the amplified power goes
number of discrete tunnel diodes are periodically loaded on back to the input and the other half of the amplified power
an RF transmission line as shown in Fig. 4(a). A generic volt keeps traveling toward the output. Thus, actual power gain
ampere curve of a tunnel diode is shown in Fig. 11. This is a of traveling waves toward the output is
plot of the diode current and the voltage across the diode.
1
When the diode is biased in a negative conductance region, A+ = (24)
the amount of the negative conductance is given by 2(1 − GD Z0 )

∂I If there are N diodes used in a distributed amplifier as shown


G= <0 (22)
∂V in Fig. 4(a), the total power gain of the amplifier is

In Fig. 12, an equivalent circuit of a discrete tunnel diode is 1


AT = (A+ )N = (25)
shown. In this figure, L is the lead inductance, Rs is the 2N (1 − GD Z0 )N
spreading resistance, CJ is the junction capacitance, Cp is the
package capacitance, and ⫺G is the negative conductance of after matching and tuning.
the tunnel junction created by the tunnel effect. With the help For the impedance matching and tuning, in addition to at-
of additional impedance matching components it is possible taching the impedance matching circuit components to the di-
to tune out the inductances and capacitances; under a ode mount, the adjustment of periodicity together with the
matched and tuned condition, the tunnel diode can be repre- diode biasing must be done properly.
sented by a negative conductance of magnitude GD.
The RF power gain due to a discrete negative conductance Periodically Loaded Gunn Diode Distributed Amplifiers
GD, which is matched to a characteristic impedance of the A volt-ampere characteristic of a generic Gunn diode is
transmission line Z0, is (3), shaped similarly to that shown in Fig. 11 except that the neg-
ative conductance is smaller than that of a tunnel diode. The
1
A= (23) negative conductance of a Gunn diode is created by the trans-
1 − GD Z 0 fer of the electronic momentum between a high electric field
domain and a low field domain in the bulk of a semiconductor
diode. The equivalent circuit of a Gunn diode is similar to the
∂I
<0 circuit shown in Fig. 12. Therefore, the principle of a periodi-
Tunnel ∂V
cally loaded Gunn diode distributed amplifier is similar to the
effect Negative principle of a periodically loaded tunnel diode distributed am-
I current conductance plifier. Then the power gain equation of a Gunn diode distrib-
region
uted amplifier, which consists of N Gunn diodes in the nega-
tive conductance GD and with the characteristics impedance
Z0, is
Diffusion
current 1
AT = (26)
2N (1 − GD Z0 )N
O V
Periodically Loaded Varactor Diode
Figure 11. Generic volt-ampere curve of a tunnel diode. Note that Distributed Parametric Amplifier
this volt-ampere curve does not follow Ohm’s Law. Note also the neg-
ative differential conductance at the mid-voltage region. This is a plot When discrete variable capacitance diodes (varactor diodes)
of the diode current versus the diode bias voltage relationship. are periodically mounted on a transmission line as shown in
DISTRIBUTED AMPLIFIERS 689

Fig. 4(b), RF voltage is amplified by the parametric effect of where 웁e is the phase constant associated with the dc electron
the junction capacitance. The voltage gain across a single beam and
parametric capacitance diode is given by Ref. 2.
 βe ≡ ω/u0 (34)
νp Qi 
A= + Qs (27) 웆 is the operating angular frequency and u0 is the speed of
4(vo + vro )
the electrons in the beam. Term C in Eq. (33) is termed the
where ␯p is the pump voltage across the junction capacitance gain parameter (1,2) and is given by
of the varactor diode, vo is the magnitude of the contact poten-
Z0 Ia
tial barrier of the diode, vro is the dc reverse bias voltage, and C3 = (35)
Qi and Qs are the quality factors of the idler circuit and the 4Va
signal circuit per diode, respectively.
where Z0 is the characteristic impedance of the helical line,
Usually in a parametric amplifier, the idler frequency
Ia is the dc electron beam current, and Va is the acceleration
shown in Fig. 4(b) is
anode voltage of the traveling wave tube.
fi = fp − fs (28) If the length of the active interaction region on the helical
transmission line is ᐉ m long, then the voltage gain of this
and traveling wave tube is (2)

fp
2 fs (29) A = e( 3/2)Cβ e 
(36)

for a high gain (5). Then,


QUANTUM ELECTRONIC DISTRIBUTED AMPLIFIERS
Qi
Qs (30)
A quantum electronic distributed amplifier can be a continu-
Applying the same concept as was done in Eqs. (25) and ous configuration as shown in Fig. 9. If the signal to be ampli-
(26), the total voltage gain of an N-diode distributed paramet- fied is a lightwave, then this distributed amplifier is a travel-
ric amplifier is, after matching and tuning, ing wave laser. If the signal to be amplified is a microwave,
  N then this distributed amplifier is a traveling wave maser. For
νp Qi  a traveling wave maser, instead of the optical fiber cable, a
Aν T = + Qs (31)
4(vo + vro ) microwave transmission line continuously loaded with maser
material (such as a ruby or a rutile crystal) and a microwave
local pump oscillator instead of the pump laser, are used.
PERIODICALLY LOADED TRANSISTOR At any rate, the gain constant of a traveling wave maser
DISTRIBUTED AMPLIFIER or laser distributed amplifier is given by (9)
ω
Similar concepts of Eqs. (25), (26), and (31) are applicable to α= (37)
a periodically loaded discrete transistor amplifier. The tran- 2Qmo νg
sistors can be either junction transistors or field effect tran-
sistors (6–8). If the s-parameter of the discrete transistor where 웆 is the angular frequency of the signal to be ampli-
from the gate (or base) to the drain (or collector) is S21, then, fied, Qmo is the quality factor/meter of the active cable and vg
after impedance matching and tuning, the voltage gain of an is the group velocity of the signal in the cable. The quality
n-transistor distributed amplifier is given by factor Qmo is given by

Aν T = SN (32) Wso
21 Qmo = ω (38)
P

THERMIONIC DISTRIBUTED AMPLIFIERS where Wso is the electromagnetic energy of the signal stored
per meter of the cable and ⌬P is the signal power loss per
Thermionic distributed amplifiers are vacuum tubes that are meter of the cable.
called traveling wave tubes. A schematic diagram of a generic The voltage gain of this continuously loaded distributed la-
traveling wave tube is shown in Fig. 8. The principle of the ser or maser amplifier is
traveling wave tube distributed amplifier was already briefly
explained in this article. While microwaves travel along the A =  ω/2Q mo ν g (39)
helical transmission line with the axial propagation speed ap-
proximately equal to the speed of electron beam, the kinetic where ᐉ is the length of active part of the cable.
energy of the electron beam is transferred gradually into the A quantum electronic distributed amplifier can be a peri-
propagating microwaves in the transmission circuit; hence odical loading configuration as shown in Fig. 13. A microwave
the microwaves are amplified. The propagation constant of a transmission line of a periodic structure is continuously
traveling wave tube is given by (1,2) loaded with an activated maser crystal and placed in a rectan-
gular microwave waveguide. The pump power from a pump
 √  
3 C oscillator is fed to the rectangular waveguide to activate the
γ̇ = βe − C+ j 1+ (m−1 ) (33) maser crystal. The pumped-up maser crystal emits radiation
2 2
when stimulated by the input microwave signals.
690 DISTRIBUTED AMPLIFIERS

Microwave Microwave EXAMPLES OF DISTRIBUTED AMPLIFIERS


input output
RF Distributed Amplifiers
Periodicity In practice, at RFs of less than 300 MHz, a distributed ampli-
fier can be built using discrete components or surface mount-
Pump able discrete components (10). An example of such distributed
oscillator amplifiers is shown schematically in Fig. 14.
input
Discrete field-effect transistors (FETs) are sequentially ex-
cited through the gate delay line or the gate artificial trans-
Termination Waveguide Periodical
mission line and consist of Cg, Lg, Lg, Lgg, and Rg. These are
line
discrete components. The Cg is a dc blocking input coupling
Ruby maser crystal capacitor, Lg is an inductor to produce desired phase delay
Figure 13. A schematic diagram of a periodically loaded quantum between stages of the FET amplifiers, and Rg is the matched
electronic maser distributed amplifier. The ruby maser crystal is terminating resistor for the artificial transmission line. The
pumped by the pump oscillator input in the waveguide. Microwave idea is to generate RF traveling waves on the gate artificial
input signals are amplified by the stimulated emission of radiation transmission line. The Lgg is a stray inductance of the gate
from the pumped ruby maser crystal, while propagating down the lead. In most cases Lgg is negligibly small at most RF frequen-
meander line. The meander line is structured to lengthen the interac- cies. The terms Rs and Cs signify the source bias resistor and
tion time between the input signals and the stimulated emission of bypass capacitor and Ldd is the stray inductance of the drain
radiation. of the FET. By making the drain lead as short as possible, it
is possible to make Ldd negligibly small at RF frequencies.
The drain delay line or the drain artificial transmission
The voltage gain of the periodically loaded quantum elec- line is formed by Rd, Ld, and Cd. The Rd is an impedance
tronic distributed amplifier given by matched resistor to the drain artificial transmission line and
Ld is the phase delaying inductor between stages. The value
A =  ω/2Q mp ν g (40) of Ld must be determined so that the phase of waves on the
drain artificial transmission line synchronizes with the phase
is in principle similar to the case of continuously loaded quan- of waves on the gate artificial transmission line. The Cd is a
tum electronic distributed amplifier where Qmp is the quality dc blocking RF coupling capacitor to the output load. The
factor within the periodicity of the periodical structure. transistors are biased through a radio frequency choke (RFC)
and a decoupling capacitor.
Wsp
Qmp = ω (41)
P Microwave Distributed Amplifiers
where Wsp is the signal energy stored within the periodicity of A variety of microwave frequency distributed amplifiers have
the structure of the transmission line and ⌬P is the signal been built in the past (10–12). In microwave frequencies, the
power loss within the periodicity. distributed amplifiers take the forms of monolithically devel-

Vdd

RFC

1/2Ld Ld Ld 1/2Ld Cd

Matched
termination Rd RF
Ldd Ldd Ldd Output

Rs Cs Rs Cs Rs Cs
Lgg Lgg Lgg
Cg 1/2Lg

RF Lg Lg Lg Lg
input Rg

Matched
termination

Figure 14. A schematic diagram of an example of an RF distributed amplifier. The input signals
are successively and sequentially amplified by properly phased multistage FET amplifiers.
DISTRIBUTED AMPLIFIERS 691

Vdd

RFC Cdd
Drain Microstripline

Rd Microwave
Output

Figure 15. A schematic diagram of a micro-


wave monolithic distributed amplifier. Both
Ccg Rg the gate and drain lines are microstriplines.
The gate line feeds the FET sequentially. On
the drain microstripline, the amplified sig-
Microwave Gate Microstripline
nals are sequentially combined and propa-
input gate out at right.

oped integrated circuits as shown in Fig. 15 (for example). As Lightwave Distributed Amplifiers
is the case in Fig. 14, the microwave input signals to be am-
The actual configuration of a lightwave distributed amplifier
plified are fed to the gate microstripline with impedance-
is shown in Fig. 9. These amplifiers are actually deployed to
matched terminating resistance Rg through a dc blocking cou-
boost lightwave signals for a long-haul lightwave signal
pling capacitor Ccg. The gate of each FET, which is properly
transmission such as in transoceanic lightwave cables. For
biased, is sequentially excited. Amplified microwave drain
example, the lightwave input signal to be amplified is 1500
current propagates along the drain microstripline toward the
nm wavelength (3). The pump laser is a 980 nm solid-state
output and it is coupled out to the output circuit through a dc laser diode that feeds the pump power through a directional
blocking coupling capacitor Ccd. The drain microstripline is coupler to the main cable. The directional coupler is a pair of
terminated with an impedance matched resistor Rd. If the cir- lightwave waveguides placed in proximity to each other so
cuit is properly balanced, current traveling toward Ccd adds that the lightwaves can couple one waveguide to another. The
in-phase, while current traveling toward Rd adds out-of- end of the lightwave guide for the pump laser, which is the
phase. This amplifier is actually a ‘‘current combiner.’’ The primary waveguide of the directional coupler, is reflec-
drain microstripline is biased through a RFC and a bypass tionlessly terminated using a lightwave absorbing component.
capacitor with VDD. The pump-laser light is fed into the main lightwave wave-
At best, a distributed amplifier has only half the efficiency guide, which is the erbium-doped optical fiber. The pump
and requires twice the total gate width as a balanced ampli- laser light excites or pumps up the atoms of erbium to pre-
fier, for the same gain (13). Gain, it should be noted, can be pare for emission of radiation at 1500 nm. When these
increased by adding more FETs—that is, by making it longer; pumped up erbium atoms receive stimulating radiation of
best efficiency can be achieved, however, by optimizing the 1500 nm from the input lightwaves, these atoms emit radia-
length. Once an optimal length is achieved, these distributed tion at the same 1500 nm wavelength. This is a laser ampli-
amplifiers can be cascaded in order to achieve the prescribed fier. The emission of radiation continues as the input
gain. lightwave travels in the erbium-doped optical fiber. The emit-
Because of losses in the amplifier, best performance can be ted wave travels together with the stimulating lightwave. The
achieved by tapering the gate (14), so as to ‘‘pre-distort’’ the amplified lightwave exits the output connector. The pump
applied gate voltage along the length of the amplifier, and aid power is minimal at this point. It has been used in the ampli-
in maintenance of a more steady voltage applied to the effec- fication process. The signal output is taken out of the system
tive gate. Higher power, large signal amplifiers have also through a bandpass filter for the signal lightwave. Any resid-
been designed and evaluated (15–19). Distributed amplifiers ual pump lightwave is rejected at the filter. The lightwave
are limited in the amount of power they deliver, generally gain of 15 dB is reported for several meters-long erbium-
about 1 Watt (when using a 50 ⍀ transmission line). Low- doped plastic optical fiber cable.
ering the impedance of the line can allow greater output pow- The amplifier cable can be praseodymium-doped fluoride
ers but at the expense of a reduction in gain. Tapering the fiberglass cable with a wavelength of 1300 nm (3). The gain
drain line has been found (20,21) to increase efficiency by im- of 40 dB for several meter-long cable length is reported (3).
proving the phasing of currents on the drain line.
Most microwave monolithic IC distributed amplifiers are
of extremely wide frequency band even though total gain is CONTINUOUS DISTRIBUTED AMPLIFIERS
not very high. They are also extremely compact. For example,
Continuous Active Diode Distributed Amplifiers
Kimura and Imai (11) monolithically integrated a seven-stage
distributed amplifier on a 1.5 mm ⫻ 2.5 mm integrated circuit In Fig. 5, it is possible to monolithically develop a continuous
(IC) substrate and reported that the flat gain over the fre- tunnel diode junction or Gunn effect diode contact between
quency range 0 to 55 GHz with 6 dB noise figure was 9 dB. the two strips of metallization by removing all discrete diodes
692 DISTRIBUTED AMPLIFIERS

and using an intrinsic semiconductor substrate instead of the BIBLIOGRAPHY


dielectric substrate. Then, if the conducting strips from the
coplanar waveguide are properly biased at the negative resis- 1. T. K. Ishii, Microwave Engineering, San Diego, CA: Harcourt
tance of the diode, the microwaves fed into one end of the Brace Jovanovich, 1989.
coplanar waveguide will be amplified by the distributed nega- 2. T. K. Ishii, Practical Microwave Electron Devices, San Diego, CA:
tive resistance as it travels along the coplanar waveguide and Academic Press, 1990.
the amplified microwave exits from the other end of the copla- 3. Editorials, Lightwaves, p. 26, November, 1993.
nar waveguide. 4. IEEE, IEEE Standard Dictionary of Electrical and Electronics
Terms, New York: Wiley-Interscience, 1972.
Continuous Transistor Distributed Amplifiers 5. J. T. Coleman, Microwave Devices, Reston, VA: Reston Pub. Co.,
1982.
A conceptual diagram of a continuous transistor distributed 6. J. B. Beyer et al., MESFET distributed amplifier design guide-
amplifier is shown in Fig. 6. It is desirable that the length of lines, IEEE Trans. Microw. Theory Tech., 32: 268–275, 1984.
the microstrips must be longer than several wavelengths of 7. K. B. Niclas et al., On theory and performance of solid-state mi-
the transmission line wavelength. The transmission line crowave distributed amplifiers, IEEE Trans. Microw. Theory
wavelength on the coplanar waveguide is smaller than the Tech., 31: 447–456, 1983.
free space wavelength and inversely proportional to the 8. W. Kennan and N. K. Osbrink, Distributed amplifiers: Their time
square root of the effective relative permittivity of the sub- comes again, Microwaves RF, 23: 119–125, 1984 (Part I); 23:
strate in the gap between the conducting strips. For a semi- 126–153, 1984 (Part II); 24: 13, 1985 (correction).
conductor substrate, it is not uncommon that the effective rel- 9. T. K. Ishii, Maser and Laser Engineering, Huntington, NY: Robert
ative permittivity is 10 or higher. E. Krieger Pub. Co., 1980.
10. K. W. Kobyashi et al., Extending the bandwidth performance of
Continuous Parametric Varactor Diode Distributed Amplifier heterojunction bipolar transistor-based distributed amplifiers,
IEEE Trans. Microw. Theory Tech., 44: 739–748, 1996.
A conceptual schematic diagram of a continuous parametric 11. S. Kimura and Y. Imai, 0-40 GHz GaAs MESFET distributed
varactor diode distributed amplifier is shown in Fig. 3(b). Us- baseband amplifier IC’s for high-speed optical transmission,
ing the same concept, an alternate method and more conve- IEEE Trans. Microw. Theory Tech., 44: 2076–2082, 1996.
nient approach to the monolithic integrated circuit technology 12. A. H. Baree and I. D. Robertson, Monolithic MESFET distrib-
is shown. Instead of using the microstripline as shown in Fig. uted baluns based on the distributed amplifier gate-line termina-
3(b), the configuration is changed to a coplanar waveguide as tion technique, IEEE Trans. Microw. Theory Tech., 45: 188–195,
shown in Fig. 5. A long junction varactor diode is monolithi- 1997.
cally developed flatly between the gap of a long parallel met- 13. J. L. B. Walker, Improving operation of classic broadband bal-
allization strip of the coplanar waveguide. anced amplifiers, Microwaves RF, 26: 175–182, 1987.
14. J. L. B. Walker (ed.), High-Power GaAs FET Amplifiers, Boston:
Artech House, 1993, pp. 264–281.
Continuous Ferrimagnetic Distributed Amplifiers
15. Y. Ayasli et al., 2-20 GHz GaAs travelling-wave power amplifiers,
Space between the long gap of metallization strips of either IEEE 1998 Microw. and Millimeter-Wave Monolithic Circuits
the microstripline as shown in Fig. 3(b) or the coplanar wave- Symp. Digest, 67–70, Boston, MA, May 31–June 1, 1983.
guide as shown in Fig. 5, can be filled with a magnetized ferri- 16. B. Kim and H. Q. Tserng, 0.5 W 2–21 GHz monolithic GaAs dis-
magnetic material or a ferrite. This is a ferrimagnetic contin- tributed amplifier, Electron. Lett., 288–289, March 1984.
uous distributed amplifier (1,22). The nonlinear magnetism of 17. Y. Ayasli et al., Capacitively coupled-travelling-wave power am-
a ferrite makes the system a variable inductance parametric plifier, IEEE Trans. Microw. Theory Tech., 32: 1704–1711, 1984.
amplifier when both the pump oscillator power and the signal 18. E. M. Chase and W. Keenan, A power distributed amplifier using
power are launched into the same transmission line. The constant-R networks, IEEE 1986 Microw. and Millimeter-Wave
pump oscillator power then gradually transfers into the sig- Monolithic Circuits Symp. Digest, 13–17, Baltimore, MD, June
nals through the distributed nonlinear inductance of the fer- 4–5, 1986.
rites as both the signals and the pump oscillator power travel 19. M. J. Schindler et al., A K/Ka-band distributed power amplifier
together along the ferrite loaded transmission line. with capacitive drain coupling, IEEE 1998 Microw. and Millime-
ter-Wave Monolithic Circuits Symp. Digest, NY, 5–8, May 24–
25, 1988.
20. E. L. Ginzton et al., Distributed amplification, Proc. IRE, 36:
CONCLUSIONS
956–969, 1948.
21. K. E. Jones, G. S. Barta, and G. C. Herrick, A 1 to 10 GHz ta-
Distributed amplifiers are electrical transmission lines with
pered distributed amplifier in a hermetic surface mount package,
periodically or continuously loaded amplifiers. A feature of Proc. of IEEE GaAs I.C. Symp., 137–140, Monterey, CA, Novem-
distributed amplifiers is the wide frequency bandwidth. The ber 12–14, 1985.
wide bandwidth amplifiers are capable both of having large 22. P. K. Tien, Parametric amplification and frequency mixing in
channel capacity and of handling extremely short or fast propagating circuits, Jour. Appl. Physics, 29: 1347–1357, Septem-
pulses. Distributed amplifiers are useful for fast digital data ber 1958.
transmission systems of gigabit rates. Distributed amplifiers
can be made compact by the use of monolithic integrated cir- T. KORYU ISHII
cuit technology. Marquette University
DISTRIBUTED DATABASES 693

DISTRIBUTED BATCH PROCESSING. See BATCH


CESSING (COMPUTERS).
54 ELLIPTIC FILTERS

ELLIPTIC FILTERS

An electrical filter will be defined as an electrical system that


can process electrical signals on the basis of the frequencies
composing that signal. This signal processing can affect both
the magnitude and phase of each individual frequency compo-
nent of the signal. For example, the output signal of an an-
tenna may represent an electrical signal that requires magni-
tude processing. The output signal of the antenna has a fairly
wide spectrum of frequencies, and yet we would like only a
small range of these frequencies, such as those centered

J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
ELLIPTIC FILTERS 55

around our favorite radio station, for example, to be processed 2


for our listening pleasure. One solution is to use a band-pass
filter in one of the stages following the antenna. The circuit 1.8
would process that signal in such a way that the band of fre-
1.6
quencies containing the information from the station would
be passed, and the signals outside of that band would be re- 1.4
jected or would not pass through. Although this example is
very much simplified in comparison to what actually happens, 1.2

Magnitude
it nonetheless illustrates the general idea of filtering.
1
Because of a need to filter signals in a variety of ways,
several ‘‘standard’’ types of filters or signal processing 0.8
schemes have evolved. These are low-pass, high-pass, band-
pass, band-reject, and all-pass filters. Low-pass filters strive 0.6
to allow frequencies below some predetermined cutoff fre-
0.4
quency to pass, while rejecting those frequencies above the
cutoff frequency. High-pass filters strive to allow frequencies 0.2
above some predetermined cutoff frequency to pass, while re-
0
jecting those frequencies below the cutoff frequency. Band- 0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
pass filters allow a band of frequencies to pass, while rejecting Frequency (radians/s)
frequencies outside of that band. Band-reject filters reject a
band of frequencies, allowing frequencies outside that band to Figure 1. The magnitude versus frequency plot of an ideal low-pass
pass. The main objective of these four types of filters is to filter transfer function shows that all frequencies of a signal below 1
process the signal’s magnitude as a function of frequency. The rad/s are passed while those above 1 rad/s are rejected.
all-pass filter lets all signals pass through, but selects a band
of frequencies for phase angle processing. The choice of filter
depends on the application. cessing, at the output of the filter at the same time. If the two
Filter design can be broken down into two broad phases. input signals add together to create a distinct response in the
The first phase is the selection of a transfer function pos- time domain at the input, it may be important that they re-
sessing the mathematical properties of filtering. A transfer construct together at the output to maintain the ‘‘shape’’ of
function describes the relationship between the input signal the input signal. Sometimes this is important, and sometimes
and the output signal. We will use it in the sense that for a it is not. A deviation from the linear phase response results
given input signal, we will have a specific output signal. Since in phase distortion. The functions shown in Figs. 1 and 2 are
filters process electrical signals according to the frequency normalized filters. That is, they have cutoff frequencies of 1
content, the transfer function for a filter is a function of s ⫽ rad/s and maximum gains of 0 dB, or 1 V/V in the passband.
j웆 ⫽ j2앟f, where 웆 is the frequency in radians/s and f is the It is conventional to begin a filter design with a normalized
frequency in hertz. filter. This allows for a common starting point for all low-pass
The second phase of filter synthesis is realization of a cir- filters, for example, and is also a convenient way of comparing
cuit that possesses the same transfer function as the mathe- the characteristics of other different types of low-pass filter
matical function selected to do the filtering. The circuit may
be an analog, digital, or a mixed analog–digital circuit de-
pending on the application. 0

–50
THE APPROXIMATION PROBLEM
–100
When filtering, engineers tend to think in terms of ideal fil-
ters. For example, when deciding to use a low-pass filter, the –150
Phase (deg)

engineer typically desires that all frequencies above a defined


cutoff frequency should be eliminated. An ideal low-pass –200
transfer function magnitude response with a cutoff frequency
–250
of 1 rad/s is shown in Fig. 1, and the ideal low-pass transfer
function phase characteristics are shown in Fig. 2. For the
–300
magnitude plot, all frequencies below 1 rad/s are passed, with
a gain of one, and all frequencies above 1 rad/s are rejected. –350
It is a ‘‘brick wall’’ function. It is intuitively obvious that this
is an ideal magnitude characteristic. The ideal phase charac- –400
teristic is not so intuitive. The important feature of the ideal
phase characteristics are not the values of the phase angle, –450
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
but that the phase response is linear. A transfer function that
Frequency (radians/s)
has linear phase characteristics means that separate signals
composed of two different frequencies applied at the same in- Figure 2. The ideal low-pass filter function phase characteristics
stant of time at the input of the filter will arrive, after pro- may be summarized as a linear phase response.
56 ELLIPTIC FILTERS

band region located from 웆s to infinity. Lastly, the transition


region is composed of the range between 웆p and 웆s. Figure 3
should be interpreted as follows: Signals at or below 웆p will
have a gain of at least of G dB and at the most H dB, and
signals above 웆s will be attenuated by at least A dB or will
have a maximum gain of SBR dB. Note that (G–H) dB ⫽ PBR
in dB. Filter types other than low-pass filters have similar
Magnitude

specifications, and the reader is encouraged to investigate


H these (1,2).
Past research in network theory has resulted in several
PBR
classic mathematical approximations to the ideal filter magni-
G tude function. Each of these were designed to optimize a prop-
A
erty of the filter function. The low-pass filter approximations
are usually of the form
Passband
1
|H( jω)|2 = (1)
Stopband SBR 1 +  2 T 2 (ω)
0
0 ωp ωs
By replacing T(웆) with different functions, different approxi-
Frequency (radians/s)
mations arise. The standard approximations to the ideal mag-
Figure 3. PBR, SBR, A, passband, and stopband are ways of charac- nitude response are the Butterworth Approximation, the
terizing an actual filter function’s magnitude versus frequency re- Chebychev Approximation, the Inverse-Chebychev Approxi-
sponse to that of an ideal response. For an ideal filter function, mation, and the Elliptic Approximation. Each has strong
PBR ⫽ SBR ⫽ 0 V/V and 웆s and 웆p are equal. points and weak points. A fifth classic approximation worth
mentioning is the Bessel function. This approximation will
not be discussed, because it strives to approximate the ideal
functions with each other. Moreover, numerous tables exist phase response. This article will focus on the Elliptic Approxi-
that provide the coefficients or poles and zeros of filter trans- mation.
fer functions. These tables provide information for normalized
filters. Since there is an infinite number of possibilities for THE ELLIPTIC APPROXIMATION
cutoff frequencies, it would be impractical, if not impossible,
to generate tables for all possible cases. Thus, the tables deal Before a mathematical discussion on the Elliptic Approxima-
only with the normalized case. It is trivial to scale a filter for tion is begun, it is useful to examine a plot of the magnitude
a desired cutoff frequency from the normalized frequency. of an elliptic filter function. A fifth order elliptic filter low-
The first step in low-pass filter design is to find a transfer pass transfer function magnitude response is depicted in Fig.
function of s having the same characteristics as the transfer 4. The passband exists for 웆 ⱕ 웆p. The stopband exists for
function depicted in Fig. 1 and being realizable with a circuit. 웆 ⱖ 웆s. The passband and stopband may be characterized as
Without having transfer function with an infinite number of equiripple. That is, the amplitude oscillates between a maxi-
terms, it is impossible to devise a transfer function with those
characteristics. Hence, from this simple example arises the
approximation problem. That is, may we find a transfer func- 2
tion magnitude response that approaches that shown in Fig.
1? In general, the higher the order of the filter, the closer the 1.8
transfer function magnitude response will approach the ideal
case. However, the higher the order of a filter, the more com- 1.6
plex the design and the more components that are needed to 1.4
realize the transfer function. Thus, the concept of trade-offs
and compromises arise. In general, a set of filter specifications 1.2
Magnitude

must be determined before selecting the transfer function.


The specifications may viewed as how far the actual filter re- 1
sponse may deviate from the ideal response. 0.8
Since it is impossible to come up with an ideal transfer
function that is practically realizable, several terms have 0.6
been defined and have been accepted as convention that
allows the description of the deviation of a practical filter 0.4
function from the ideal filter function. These terms are de- 0.2
picted in Fig. 3 and may be referred to as filter specifications.
The specifications are: the passband, the stopband, the pass- 0
ωp ωs
band ripple (PBR), the stopband ripple (SBR), and the stop-
Frequency (radians/s)
band attenuation, A. PBR, SBR, and A are usually specified
in dB. There are three distinct regions. The passband is lo- Figure 4. The magnitude characteristics of a fifth-order elliptic filter
cated from 0 rad/s to 웆p rad/s. The second region is the stop- show an equiripple passband and stopband, and at zero at 웆 ⫽ 앝.
ELLIPTIC FILTERS 57

2 Table 1. Filter Comparisons


Filter Type Transition Region Linear Phase Properties
1.8
Butterworth Poor Good
1.6 Chebychev Good Poor
Elliptic Best Very poor
1.4

1.2
Butterworth
1 From this discussion, the main attribute of the elliptic filter
may be stated. That is, for a given filter order, it provides the
0.8
sharpest cutoff characteristics; and thus out of all three fil-
0.6 Elliptic ters, it best approximates the ideal low-pass magnitude func-
Chebychev
tion in terms of a sharp transition region. This is very impor-
0.4 tant if filtering is required for frequencies near each other, if
we would like to pass one of these signals, and reject another.
0.2
The compromise in using the elliptic filter is its very poor
0 phase characteristics.
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2 The theory behind the mathematics of the elliptic filter is
Frequency (radians/s) complicated and is not suitable for this publication. Interested
readers may consult Refs. 2 and 3. A summary of the mathe-
Figure 5. The fifth-order elliptic function magnitude characteristics
show a much sharper transition from the passband to the stopband matics is discussed in this article.
than the Butterworth and Chebychev function characteristics. The general form of the elliptic filter magnitude squared
transfer function is given by Eq. (1). For the low-pass elliptic
filter function, T( j웆) is replaced with Rn( j웆). Rn( j웆) has two
mum and minimum throughout a fraction of each band. If the different forms: one for an even-order function and one for an
order of the filter is even, there are n/2 peaks in the pass- odd-order function. Rn( j웆) will be described for a normalized
band, and n/2 minimums or zeros in the stopband. If the or- low-pass filter. For the even-order case we have
der of the filter is odd, there are (n ⫺ 1)/2 peaks, plus one at
웆 ⫽ 0 in the passband. Also for the odd order case, there are 
n/2 2
ω − (ωs /ωi )2
(n ⫺ 1)/2 minimums or zeros, plus one at 웆 ⫽ 앝, in the Rn (ω) = M (2)
i=1
ω2 − ωi2
stopband.
In discussing the properties of the elliptic filter, it is impor-
tant to compare its characteristics with the other classic filter For the odd-order case we have
types. A comparison of fifth-order, low-pass, normalized, But-
terworth, Chebychev, and elliptic filter magnitude functions 
(n−1)/2
ω2 − (ωs /ωi )2
Rn (ω) = Nω (3)
is given in Fig. 5, and comparison of the phases is shown in
i=1
ω2 − ωi2
Fig. 6. From these comparisons, Table 1 may be generated.
M and N are normalization constants and are chosen so that
0 Rn(1) ⫽ 1. The 웆i are calculated for the even or odd case. For
the even case we have
–50
ωs
ωi =   1  (4)
–100
(2i − 1)K
sn  ωs 
Elliptic
–150 n
Phase (deg)

–200
and for the odd case we obtain
Butterworth
–250
ωs
ωi =   1  (5)
–300 Chebychev
sn  2iK ωs 
–350 n

–400
K(k) is the complete elliptic integral of the first kind and is
–450 defined as
0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2
π /2
Frequency (radians/s)
K(x) = (1 − k2 sin2 x)−1/2 dx (6)
Figure 6. The fifth-order elliptic function phase characteristics devi- 0

ate much farther from the desired ideal linear phase characteristics
than the Butterworth and Chebychev function characteristics. and sn is the Jacobian elliptic sine function.
58 ELLIPTIC FILTERS

The order of the filter function may be determined by of products of poles and zeros, depending on the type of real-
rounding up n to the nearest integer in the expression ization.
1 1 Because of the complexity of the calculations required to
K K find the transfer function, the usual method of finding H(s) is
n= ω1s   L1  (7)
usually either with a computer program or using one of the
numerous tables that have been generated and published
K K
ωs L (2,5).

where L is defined as

REALIZATIONS OF ELLIPTIC FILTERS

100.1 PBR − 1 There are an infinite number of possible synthesis algorithms


L= (8)
100.1 A − 1 that may be used. In this section we describe one.
The first step in elliptic filter design is to find a transfer
and PBR and A are in decibels. Lastly, function that will meet a set of specifications that have been
p determined from the application. The design usually begins
K  (k) = K( (1 − k2 )) (9) with specifying the passband frequency 웆p, PBR, 웆s, and A. If
filter tables are to be used, the frequencies of the filter speci-
When Rn(웆) is found, the substitution s ⫽ 웆/j is made, and fications must first be normalized. This is achieved by divid-
Rn(웆/j) may be inserted into Eq. (1). The poles of H(s)H(s*) ing 웆s and 웆p by 웆p. Other normalizations are possible. This
can be found. This is a standard synthesis technique (4). The results in a normalized passband frequency of 1, and a nor-
left half-plane poles and half of the zeros are selected and malized stopband frequency of 웆s /웆p. If a highpass filter is
combined to give the final form of the elliptic filter transfer desired, the specifications must be transformed further, by in-
function. For n even, we have verting 웆p to 1/웆p. Once the desired transfer function is deter-
mined, a method of realization is selected. The realizations

n/2 may be analog or digital. The analog realizations may be
(s2 + ωi2 ) passive or active. The choice depends on many practical is-
i=1
H(s) = H (10) sues (1).
a0 + a1 s + · · · + an−1 sn−1 + an sn
Passive Realizations
For the case of n odd, we obtain
Passive realizations utilize capacitors, inductors, and resis-

n−1/2 tors. The source and load resistances are considered part of
(s2 + ωi2 ) the realization. Systematic procedures exist for the synthesis
H(s) = H
i=1
(11) of passive filters to realize elliptic transfer functions. More-
a0 + a1 s + · · · + an−1 sn−1 + an sn over, normalized passive filters are available as part of table
look-up approaches (5). Examples of passive elliptic filters are
Note that the even-order transfer function given by Eq. (10) depicted in Fig. 7.
has no zeros at infinity while the odd-order transfer function Even-order passive realizations synthesized from Eq. (10)
of Eq. (11) has a single zero at infinity. It may be convenient will have negative elements because they do not have at least
to have the denominator in the form of coefficients or in terms a zero at infinity. This problem can be solved by shifting the

Rs

+
...
Vs + Rload Vout

1 2 3 n–1 n

(a)

Figure 7. Typical nth-order low-pass passive


+
elliptic filters are realized with inductors and ...
capacitors, and include the source and load re- Vs + Rload Vout

sistances. The circuit in (a) is for the odd-order

case and has n capacitors and (n–1)/2 induc-
tors. The circuit in (b) is for the even-order 1 2 3 n–2 n–1 n
case and has (n–1) capacitors and n/2 in-
ductors. (b)
ELLIPTIC FILTERS 59

C3

R5

R1 R3 R4
– Vout

+
C1 C2

+
Vs – R2 C4 R6
Figure 8. A typical second-order stage used as
part of an active RC realization consists of an
operational amplifier, resistors, and capacitors
and is basically an active RC notch filter.

highest frequency zero to infinity. The resulting elliptic func- Once second-order sections have been synthesized, they may
tion filter function will have a double zero at infinity and the be cascaded together to form the entire circuit. If a first-order
passive filter realization will now have positive elements but stage is used for an odd-order filter, a simple RC filter may
unequal terminating resistances. This even-order elliptic be added on. The first-order stage may also include a voltage
transfer function is known as case B, while the original even- buffer. Active realizations may also be constructed using
order transfer function given by Eq. (10) is called case A. switched-capacitor circuits.
Equal terminating resistances can be obtained by shifting the Another popular method of elliptic filter synthesis is to
first maximum to the origin. The resulting even-order elliptic synthesize an active filter based on a passive realization. Gen-
transfer function is known as case C (2,5). The new filter func- erally, these types of filters replace inductors in the passive
tions will be of the forms given by Eq. (12) for case B and Eq. circuit with simulated inductors. One type of simulated induc-
(13) for case C. tor is composed of an active RC circuit configured so that the
impedance of the circuit takes the form of the input imped-

n/2
(s2 + ωBi
2
) ance of an inductor. Active inductors may be also be realized
i=2 by transconductors and capacitors. Filters using this type of
HB (s) = H (12) active inductor are called gm –C filters. They represent the
b0 + b1 s + · · · + bn−1 sn−1 + bn sn
current state of the art in high-frequency active integrated

n/2
filter design using CMOS technology.
(s2 + ωCi
2
) Another active filter based on a passive realization scales
i=2
HC (s) = H (13) the R’s, C’s, and L’s of a passive configuration by 1/s. The
c0 + c1 s + · · · + cn−1 sn−1 + cn sn resulting circuit contains capacitors, D elements, and resis-
tors. The D element is a two-terminal device with an imped-
The magnitude response in the case B and case C filter func-
ance of K/s2. Although the device doesn’t exist as a passive
tions are now slightly modified from the original type A.
element, active circuits may be synthesized that achieve an
One may ask if the passive realizations may utilize only
input impedance having this form.
discrete elements. At the time of this writing, there is consid-
erable interest in fabricating on-chip or on-package inductors Digital Realizations
in integrated circuit (IC) design. If progress on these induc-
tors continues at today’s present rate, it is not inconceivable Many of the techniques of digital filter synthesis are analo-
that passive synthesis could become commonplace in inte- gous to those used in analog filters. In particular, one of the
grated filter design. most systematic approaches to recursive digital filter design
is to first find a transfer function that meets specifications in
Active Realizations the analog domain, and then port it over to the digital do-
Elliptic filters may be synthesized with active RC filters. A main. The transformation takes the transfer function from
typical design procedure starts with dividing the elliptic filter the s domain into the z domain. The variable z plays the same
function into second-order sections. If the order of the filter is role in digital design that s plays in the analog domain. Often,
odd, there will be one first-order section as well. All second- pre-distortion is applied, to account for errors the frequency
order sections consist of complex conjugate poles and a pair response that can occur in the transformation. The reader is
of zeros. An active filter stage may be used to create each encouraged to consult Ref. 6 for more information on digital
second-order stage. The active filter stages composing the sec- filtering.
ond-order stages are notch filters that allow for 웆z of the notch
to be different from 웆0 of the complex pole pair (not all notch FREQUENCY AND MAGNITUDE SCALING
filters do). Once the filter is chosen, coefficients of the filter
are equated with coefficients of the active filter second-order Frequently, a normalized design is the first step in filter real-
section. An example of such a filter section is shown in Fig. 8. ization. A frequency-normalized filter is designed for a pass-
60 ELLIPTIC FILTERS

band frequency of 1 rad/s. A typical normalized realization frequency to the lower cutoff frequency is greater than one
has component values on the order of ohms, farads, and hen- octave, the filter is considered a wideband filter.
ries. The design is then frequency scaled so the frequency nor- Synthesis of wideband bandpass filters may be performed
malized response is shifted into place. That is, the passband by a cascade of a high-pass filter and a low-pass filter. The
and stopband frequencies are transformed from normalized lower bound of the definition of wideband results in the sepa-
values to the design values. The procedure is performed by ration of the high-pass and low-pass filters being such that
finding the scaling constant, 웆p, and replacing s with s/웆p in there is minimal interaction between the filters. If the ratio
the circuit. This results in new values for the capacitances is smaller than one octave, the cutoff frequencies are too close
and inductances, while the values for resistances remain un- together and the filters interact and must be treated as one
changed. In circuit circumstances it may be desirable to fre- filter. Narrowband filters require different synthesis tech-
quency scale the normalized transfer function first and then niques.
do the circuit synthesis. Like the high-pass filter functions, bandpass filter func-
Frequency scaling usually results in values for capacitors tions may be synthesized from low-pass filter functions. This
and inductors that are close to practical, but still not practi- is done by performing the transformation
cal. Moreover, the impedances of the resistors remain un-  
changed. The next step in denormalizing a normalized real- 1 s2 + ω02
s= (14)
ization is to impedance scale. Impedance scaling amounts to BW s
multiplying each impedance by a specified constant. The con-
stant is picked so after scaling, the components have reason- on a normalized low-pass filter function, where 웆0 is the cen-
able values. If all impedances are scaled by the same factor, ter frequency and BW is the bandwidth of the filter. This
voltage transfer function remains the same. With good selec- transform may also be used in the design of wideband band-
tion of the constant, practical values may be achieved. pass filters.

BANDREJECT ELLIPTIC FILTERS


HIGH-PASS ELLIPTIC FILTERS
Like bandpass filters, bandreject filters may also be classified
The discussions in the preceding sections treat low-pass ellip-
as wideband or narrowband. A wideband bandreject filter
tic filters. There is little difference when discussing the prop-
seeks to block a wide range of frequencies while allowing fre-
erties of the high-pass elliptic filter.
quencies outside that band to pass with ideally equal magni-
The first step in high-pass filter design is to normalize
tude scaling. A narrowband bandreject filter seeks to block
the high-pass parameters to the parameters that describe the
only one frequency or a very small band of frequencies. Like
normalized low-pass filter. The parameters that describe
the definition of narrowband versus wideband bandpass filter
the high-pass are identical to the low-pass filter. One differ-
definition, Ref. 1 gives a definition for narrowband versus
ence is that 웆s ⬍ 웆p. In general, a low-pass filter transfer func-
wideband bandreject filters. The definition is identical to that
tion may be transformed into a high-pass transfer function by
of the bandpass filter.
a s to 1/s transformation. This simply means that everywhere
Synthesis of wideband bandreject filters may be performed
s appears in the transfer function, 1/s is substituted.
by a cascade of a high-pass filter and a low-pass filter. The
Once the normalized low-pass elliptic transfer function has
lower bound of the definition of wideband results in the sepa-
been determined and a normalized circuit has been synthe-
ration of the high-pass and low-pass filters being such that
sized, a low-pass to high-pass transformation is applied. This
there is minimal interaction between the filters. If the ratio
means that everywhere in the circuit, s is replaced with 1/s.
is smaller than one octave, the cutoff frequencies are too close
This results in capacitors becoming inductors, and inductors
together and the filters interact and must be treated as one
becoming capacitors. If, in an active RC circuit for example,
filter. Narrowband filters require different synthesis tech-
inductors are not desired, the circuit may be magnitude
niques.
scaled by 1/s. This results in the inductors becoming resistors
Bandreject filters may also be synthesized from normalized
and the resistors becoming capacitors.
low-pass filter functions by performing a transform of
Alternatively, if a normalized low-pass elliptic function has
been determined, it is possible to apply the s to 1/s transform  
s
on the transfer function, resulting in a normalized high-pass s = BW (15)
s2 + ω02
elliptic transfer function. It is now possible to synthesize a
circuit directly from this transfer function.
on a normalized low-pass filter, where 웆0 is the center fre-
quency and BW is the bandwidth of the filter. This transform
BANDPASS ELLIPTIC FILTERS may also be used in the design of wideband bandpass filters.

Bandpass filters may be classified as wideband or nar- SUMMARY


rowband. A wideband bandpass filter seeks to allow a wide
range of frequencies to pass with equal magnitude scaling, Elliptic filters are a class of filters used to shape the magni-
ideally. A narrowband filter seeks to allow only one frequency, tude of an electric signal. They may be used in applications
or a very small band of frequencies, to pass. One definition of for any of the standard magnitude processing filters. In com-
narrowband versus wideband filters is given by Ref. 1. This parisons to other available filters, the elliptic filter provides
particular definition states that if the ratio of the upper cutoff the sharpest transition from the passband to the stopband for
EMERGENCY POWER SUPPLY 61

a given order. The magnitude response is equiripple in the


passband and the stopband. The drawback of the elliptic fil-
ters is very poor phase characteristics in comparison to other
filter types. Furthermore, evaluation of elliptic filter parame-
ters is considerably more difficult than other filter approxima-
tion functions due to the use of elliptic sine functions and el-
liptic integrals.

BIBLIOGRAPHY

1. A. B. Williams, Electronic Filter Design Handbook, New York:


McGraw-Hill, 1981.
2. L. P. Huelsman and P. E. Allen, Introduction to the Theory and
Design of Active Filters, New York: McGraw-Hill, 1980.
3. R. W. Daniels, Approximation Methods for Electronic Filter De-
sign, New York: McGraw-Hill, 1974.
4. A. Budak, Passive and Active Network Analysis and Synthesis,
reissue, Prospect Heights, IL: Waveland Press, 1991.
5. A I. Zverev, Handbook of Filter Synthesis, New York: Wiley, 1967.
6. E. P. Cunningham, Digital Filtering: An Introduction, Boston:
Houghton Mifflin Company, 1992.

Reading List
W.-K. Chen (ed.), The Circuits and Filters Handbook, Boca Raton, FL:
CRC Press and IEEE Press, 1995.
C. S. Lindquist, Active Network Design with Signal Filtering Applica-
tions, Long Beach, CA: Steward & Sons, 1977.

KENNETH V. NOREN
University of Idaho

EMBEDDING METHODS. See HIGH DEFINITION TELE-


VISION.
EMC, TELEPHONE. See TELEPHONE INTERFERENCE.
FEEDBACK AMPLIFIERS

AMPLIFIERS, FEEDBACK

Feedback is the process of combining a portion of the out-


put of a system with the system input to achieve modified Figure 1. Basic negative feedback topology.
performance characteristics. Found in a multitude of en-
gineering applications, the process has become the foun-
dation of several disciplines, such as feedback control sys- for good feedback amplifier design.
tems, feedback receivers, feedback oscillators, and feedback
amplifiers. It has become particularly pervasive in ampli- BASIC FEEDBACK CONCEPTS
fier design since the advent of transistors that can provide
high gain cheaply but cannot provide stable gain. Electronic amplifiers are fundamentally characterized by
Negative feedback was originally applied by Harold S. four properties:
Black in 1927 at Bell Labs to valve (vacuum tube) am-
plifiers to reduce distortion. In 1957 Black’s work was de-  The gain of the amplifier. Gain is defined as the ratio
scribed by Mervin Kelly, president of Bell Labs, as one of the of the output signal to the input signal. Each signal
two inventions of broadest scope and significance in elec- may be either a voltage signal or current signal.
tronics and communications is the first half of the century.  The range of frequencies over which the gain is essen-
Negative feedback is the process of mixing an inverted por- tially constant: This range of frequencies is identified
tion of the output of a system with the input to alter system as the midband region. It is bounded by the frequen-
performance characteristics. The process of negative feed- cies at which the output power is halved: the high and
back has become especially important in linear amplifier low 3 dB frequencies.
design and is characterized by several significant benefits:  The midband input impedance. Defined as the ratio
 The gain of the amplifier is stabilized against vari- of input voltage to input current.
 The midband output impedance. Defined as the
ation in the characteristic parameters of the active
devices due to voltage or current supply changes, tem- Thévenin impedance seen at the amplifier output ter-
perature changes, or device degradation with age. minals.
Similarly, amplifier gain is stabilized within a group
of amplifiers that have active devices with somewhat The application of feedback to an amplifier alters each of
different characteristic parameters. these fundamental properties.
 Nonlinear signal distortion is reduced. The basic topology of a feedback amplifier is shown in
 The frequency range over which there is constant lin- Fig. 1. An input signal, Xi , enters a summing (or mixing)
junction, symbolized by a circular symbol. At the summing
ear amplification (the midband region) is increased. junction, the feedback signal, Xf , is subtracted from the
 The input and output impedance of the amplifier can
input signal and the resultant signal, Xδ , is passed on to a
be selectively increased or decreased. linear amplifier, shown as a triangular symbol, of gain, A.
The output of the linear amplifier, Xo , forms the output of
It is a rare occurrence when benefits come without a the feedback system. The rectangular symbol indicates a
price. In the case of negative feedback, the aforementioned feedback network that samples the output signal, scales it
benefits are accompanied by two primary drawbacks: by a feedback factor, f, and passes it forward to the input
of the system. Each signal can take the form of either a
 The gain of the circuit is reduced. To regain the losses voltage or a current and ideally travels only in the direction
due to feedback, additional amplification stages often of the indicated arrows. Subtraction of the two inputs at the
must be included in the system design. Complexity, summing junction is the key factor in negative feedback
size, weight, and cost may be added to the final am- systems.
plifier design. Feedback systems can be mathematically modeled with
 There is a possibility for oscillation. Should oscillation a set of simple relationships. The output, Xo , of the ampli-
occur, the basic gain properties of the amplifier are fier is related to the input signal, Xδ , by a linear amplifica-
destroyed. tion factor (gain), A, often called the forward or open-loop
gain:
This article considers the benefits of negative feedback
for amplifier design. Basic definitions are followed by a gen-
eral discussion of the properties of a feedback system. Am- Since the quantities Xo and Xδ can be either voltage or cur-
plifiers are divided into four categories of feedback topology, rent signals, the forward gain, A, can be a voltage gain,
and the specific properties of each topological type are de- a current gain, a transconductance, or a transresistance.
rived. While the discussions must focus on circuit analysis Voltage gain is the ratio of output voltage to input voltage:
techniques, a clear understanding of feedback in general, Similarly, current gain relates output and input currents.
and effects of circuit topology in particular, is a necessity Transresistance implies the ratio of an output voltage to

J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright © 2007 John Wiley & Sons, Inc.
2 Amplifiers, Feedback

an input current: Transconductance is the ratio of an out- to the forward transmission through the amplifier.
put current to an input voltage. The feedback signal, Xf (a
fraction of the output signal, Xo ), is then subtracted from In most feedback amplifiers, the amplifier is an active
the input signal, Xi , to form the difference signal, Xδ . device with significant forward gain and near-zero reverse
gain: The feedback network is almost always a passive net-
work. Thus, in the forward direction, the large active gain
where f is the feedback ratio defining the relationship be- will exceed the passive attenuation significantly. Similarly,
tween Xf and Xo : in the reverse direction, the gain of the feedback network,
albeit typically small, is significantly greater than the near-
zero reverse gain of the amplifier. In almost every elec-
tronic application, the aforementioned requirements for
As is the case with the amplifier gain, the feedback ratio,
the use of the basic feedback equation are easily met by
f, is either a ratio of voltages, a ratio of currents, a transcon-
the typical feedback amplifier.
ductance, or a transresistance. The product fA, called the
loop gain, must be a positive, dimensionless quantity to
have stable negative feedback. Thus it is necessary, for neg- ANALYSIS OF FEEDBACK AMPLIFIER PROPERTIES
ative feedback, that the mathematical sign of f be the same
as that of A within the midband region. The input–output The analysis and design of electronic amplifiers is typi-
relationship for the overall feedback system can be derived cally a multistep process. Complete, analytic characteri-
from Eqs. (1) and (2): zation of an amplifier is a complex process whose results
are in a form that often masks the individual amplifier
properties. Amplifier designers therefore investigate the
amplifier gain, frequency response, and impedance proper-
The overall gain of the system, including the effects of feed- ties separately, carefully balancing system requirements to
back, is then written as converge on a successful design. In addition to simplifying
the process, separate investigation of the amplifier proper-
ties often leads to greater insight into design improvement.
When a successful design is apparent, final fine-tuning is
accomplished with the aid of a computerized circuit sim-
The overall feedback amplifier gain, Af , has the same di- ulator [i.e., System Program with Integrated Circuit Em-
mensions as the forward gain, A. Equation (5) has special phasis (SPICE)] and a breadboard prototype.
significance in the study of feedback systems and is typi- Essentially all of the drawbacks and benefits of feedback
cally identified as the basic feedback equation. The denom- systems can be investigated on a simple level by looking at
inator of the basic feedback equation is identified as the the properties of the basic feedback equation [Eq. (5)]. Gain
return difference, D, but is also commonly referred to as stabilization, the reduction in nonlinear signal distortion,
the amount of feedback: the increase in the frequency range over which there is lin-
ear amplification, the reduction in gain, and the possibil-
ity of oscillation all can be investigated on a simple level.
The return difference, for negative feedback systems, The change in the input and output impedances cannot
has magnitude larger than unity (in the midband fre- be investigated at this level: It is necessary to specify the
quency region) and is often specified in decibels: nature (voltage or current) of the input and output quanti-
ties and the circuit topology to investigate these impedance
changes.
The return difference quantifies the reduction in gain due
to the addition of feedback to the system. It also plays a Amplifier Gain
significant role in quantifying changes in frequency band- In the section on basic feedback concepts, it was shown
width and input and output impedance. Specifically, the that feedback reduces amplifier gain by a factor of the re-
high and low 3 dB frequencies are increased and decreased, turn difference, D. While reduction of gain can be a signifi-
respectively, by approximately a factor of D; and the input cant drawback, the ancillary gains are significant. Primary
and output impedances are increased or decreased by a among those benefits is the stabilization of the amplifier
factor of D depending on the sampling and mixing circuit gain against variation in the characteristic parameters of
topologies. the active devices. It is well known that the forward gain,
The derivation of the basic feedback equation is based A, of an electronic amplifier is highly dependent on the pa-
on two basic assumptions: rameters of the active devices contained within that ampli-
fier. These parameters are typically dependent on temper-
 The reverse transmission through the amplifier is
ature, bias conditions, and manufacturing tolerances. To
negligible (a signal at the output produces essentially maintain consistent amplifier performance, it is desirable
no signal at the input) compared to the reverse trans- to design amplifiers that are reasonably insensitive to the
mission through the feedback network. variation of the device parameters.
 The forward transmission (left to right in Fig. 1) The relationship between the differential change in gain
through the feedback network is negligible compared due to device parameter variation with and without feed-
Amplifiers, Feedback 3

back is obtained by differentiating Eq. (5):


The results are similarly a reduction in gain sensitivity by
a factor of the form of the return difference.
The differential change in feedback amplifier gain due
to variation in the feedback ratio, f, can be obtained by dif-
Stable negative feedback amplifiers require that the return ferentiating Eq. (5) with respect to the feedback ratio. Ap-
difference have magnitude greater than unity: propriate mathematical manipulation leads to the desired
results:

Thus the absolute variation in gain is reduced by a factor


of the return ratio squared. Another measure of the change
in gain variation can be found by regrouping terms.
It is easily seen that the percentage variation of the over-
all amplifier gain Af is approximately the same magnitude
(actually slightly smaller) than the percentage variation of
the feedback ratio, f. Since electronic feedback amplifiers
The factors in this equation more realistically describe the typically employ a feedback network constructed entirely
benefits: Equation (10) demonstrates the change in the per- with passive elements (usually resistors), variation in the
centage of gain variation about the nominal value. It can feedback ratio can be kept relatively small through the uti-
be seen that the percentage variation of the overall ampli- lization of precision elements in the feedback network. In
fier gain, Af , is reduced by a factor of the return ratio when good amplifier designs, the variation of amplifier gain due
compared to the percentage variation of the gain, A, of the to variability in the feedback network is usually of lesser
forward amplifier. significance than that due to variability of the basic for-
For example, a feedback amplifier is constructed with ward amplifier gain.
an amplifier that is subject to a 3% variation in gain as its
fundamental forward-gain element and it is desired that Nonlinear Signal Distortion
the feedback amplifier have no more than 0.1% variation Stabilization of gain with parameter variation suggests
in its overall gain due to the variation in this element. The that amplifier gain will be stabilized with respect to other
necessary return difference to achieve this design goal can gain-changing effects. One such effect is nonlinear distor-
be obtained as follows: Equation (10) is the significant re- tion. Nonlinear distortion is a variation of the gain with
lationship in determining the gain variation: respect to input signal amplitude. A simple example of
nonlinear distortion is demonstrated in Fig. 2, in which
the transfer characteristic of a simple amplifier is approx-
imated by two regions, each of which is characterized by
The significant properties are different amplification, A1 and A2 . To this transfer charac-
teristic, a small amount of feedback is applied so that fA1
= 1, and the resultant feedback transfer characteristic is
shown. As can be seen easily, the overall feedback trans-
fer characteristic also consists of two regions with overall
The minimum necessary return ratio is 30, more often iden- amplification A1f and A2f . In this demonstration, the am-
tified as its decibel equivalent, plification ratios are:

Equation (10) is extremely useful for small changes in am-


plification due to parameter variation but is inaccurate for Feedback has significantly improved the linearity of the
large changes. If the change in amplification is large, the system and consequently has reduced the nonlinear distor-
mathematical process must involve differences rather than tion. Larger amounts of feedback (increasing the feedback
differentials: ratio, f) will continue to improve the linearity. For this ex-
ample, increasing the feedback ratio by a factor of 5 will
result in a ratio of overall gain in the two regions of 1.067
(as compared to 1.5 previously). The saturation level of an
To put this into the same format as Eq. (10), it is necessary amplifier is not significantly altered by the introduction of
to divide both sides of the equation by A1f . negative feedback. Since the incremental gain in satura-
tion is essentially zero, the incremental feedback difference
is also zero. No significant change to the input occurs and
the output remains saturated.
Another viewpoint on gain stabilization comes from a
or limiting form of the basic feedback equation:
4 Amplifiers, Feedback

Figure 2. The effect on feedback on an amplifier transfer char-


acteristic. Figure 3. Pole migration due to feedback.

For large return difference (D = 1 + Af) the overall gain The application of feedback to the basic forward amplifier
with feedback is dominated by the feedback ratio, f, and has the effect of vertically shifting the denominator polyno-
therefore virtually independent of the forward gain, A, and mial by a constant, fA0 (see Fig. 3). This shift upward causes
any variations in A. movement in the zeroes of the denominator, thereby chang-
ing the location of the poles of the frequency response. Any
movement of the poles nearest the region of constant gain
Frequency Response (the midband region) equates into a change in the width
Typical linear amplifiers have a range of frequencies over of the midband region. Observation of the consequences of
which the gain is essentially constant: This frequency the result in graphical format is a great aid to understand-
range is called the midband. As frequencies increase, the ing pole migration.
performance parameters of an amplifier degrade. Simi- For example, when the high-frequency response is de-
larly, coupling and bypass capacitors internal to the am- scribed by a single pole p1 , Eq. (21) takes the form
plifier, when present, will degrade low-frequency perfor-
mance. Using feedback to broaden of the frequency range
over which gain is relatively constant can be considered
a special case of the stabilization of gain due to variation
in amplifier performance characteristics. Feedback reduces
the effects of these frequency-dependent degradations and
thereby increases the frequency band over which the am-
plifier has stable gain.
A more exact description of the increase in the width of It can be seen easily that the gain has been reduced by a
the midband region can be obtained through a frequency- factor of the return difference, D, and the pole frequency
domain analysis. It is common practice to use the frequen- has been increased by the same factor. Similarly, if the low-
cies at which the output power is reduced by 50% (the high frequency response is described by a single pole, pL1 , the
and low 3 dB frequencies) as descriptors of the limits of the pole frequency will be reduced (that is, divided by) by a
midband region. Discussion focuses on the change in these factor of D. Since, in single-pole systems, the 3 dB frequency
3 dB frequencies. coincides with the pole frequencies, the high and low 3 dB
It can be shown that the basic forward amplifier gain, frequencies, ωH and ωL , are shifted by a factor of the return
A, is described as the midband gain, A0 , divided by a poly- ratio:
nomial in frequency (written as s or jω):

As the number of poles increases, description of the


bandwidth increases with the application of feedback in-
The locations of the first few poles of A(s) [or the zeroes of creases in complexity. When the high or low frequency re-
P(s)] closest to the midband region are the dominant pre- sponse can be described by two poles, the damping coeffi-
dictors of amplifier frequency response: Specifically, their cient due to the application of feedback is function ratio of
location controls the 3 dB frequencies. the initial pole locations, k, and the return difference. The
The application of feedback to an amplifier alters the damping coefficient can be calculated to be:
gain expression through the basic feedback equation so 1+k 1+k
that the total gain, Af , is described by ζ=  = √ (19)
2 k(1 + f Ao ) 2 kD
where the k is defined as the ratio of the larger pole to the
smaller pole:
ω2H ω1L
kH = or kL = (20)
ω1H ω2L
Amplifiers, Feedback 5

This simple expression for the damping coefficient is a D, and fl :


particularly important result in that it can tell the circuit
fHf = K(ζH , k)D f1 = (1.277)(7.4286)(1 MHz) = 9.12 MHz
designer the flatness of the frequency response in rela-
tion to the amount of feedback applied: a flat frequency The resultant frequency response plots are shown in Fig
response requires critically or overdamped pole pairs (ζ ≥ 5.
0.707).
Once the damping coefficient is determined, the expres- Input and Output Impedance
sion for the high or low 3 dB frequency shift with the ap-
The input and output impedance of a feedback amplifier
plication of feedback takes a form similar to the single pole
can be selectively increased or decreased through the ap-
case with an additional factor:
plication of feedback. As has been seen in the previous
ω1L sections, general discussions provide great insight into
ωH f = K(ζH , kH ) · D · ω1H or ωL f = , (21)
K(ζL , kL ) · D many of the properties of feedback systems. To consider
where k is the ratio of the initial pole spacing (k ≥ 1), ζ is the design of electronic feedback amplifiers, it is necessary,
the pole-pair damping coefficient, ω1H and ω1L are the poles however, to specify the details of the feedback sampling
closest to the midband, and the factor, K(ζ, k), is given by: and mixing processes and the circuits necessary to accom-
plish these operations. The sampling and mixing processes
 
2k ζ have a profound effect on the input impedance, the output
K(ζ, k) = 1 − 2ζ 2 + (1 − 2ζ 2 )2 + 1 (22) impedance, and the definition of the forward-gain quan-
k+1
tity that undergoes quantified change due to the applica-
This relationship is shown in Fig. 4 for a variety of initial tion of feedback. This subsection analyzes the various ide-
√ ratios, k. In most amplifier applications, 0.9 <
pole spacing alized feedback configurations. The following section looks
K(ζ, k) < 2: some designers use a K(ζ, k) ≈ 1 as a first- at practical feedback configurations.
order approximation. The mixing and the sampling processes for a feedback
For amplifiers where the frequency response must be amplifier utilize either voltages or currents. Voltage mixing
described by more than two poles, the description of the (subtraction) implies a series connection of voltages at the
frequency shift is even more complicated. Fortunately, am- input of the amplifier: Current mixing implies a shunt con-
plifiers with a high- or low-frequency response that is de- nection. Voltage sampling implies a shunt connection of the
scribed by more than two poles are reasonably modeled sampling probes across the output voltage: Current sam-
by considering them to be two-pole systems (1). Equation pling implies a series connection so that the output current
(26) adequately approximates the change in bandwidth for flows into the sampling network. Either type of mixing can
these higher-order systems. be combined with either type of sampling. Thus, a feedback
For example, an amplifier has a midband gain, A0 = amplifier may have one of four possible combinations of the
1000 and has frequency response described by one low- mixing and sampling processes. These four combinations
frequency pole, fL = 10, and two high-frequency poles, fH1 = are commonly identified by a hyphenated term: (mixing
10 kHz and fH2 = 100 kHz, and feedback is applied so that topology)–(sampling topology). The four types are as fol-
the midband gain is reduced to A0f = 140. The new low and lows:
high 3 dB frequencies can be determined as follows: The
return difference is the ratio of the two gains:  Shunt–shunt feedback (current mixing and voltage
sampling)
 Shunt–series feedback (current mixing and current
sampling)
The low-frequency response is described by a single pole;  Series–shunt feedback (voltage mixing and voltage
thus the low 3 dB frequency is changed by a factor of D: sampling)
 Series–series feedback (voltage mixing and current
sampling)

The high-frequency response is described by two poles with The four basic feedback amplifier topologies are shown
ratio, k. schematically in Fig. 6. A source and a load resistance have
been attached to model complete operation. In each dia-
ω2H f2H 10 MHz gram the input, feedback, and output quantities are shown
k= = = = 10.
ω1H f1H 1 MHz properly as voltages or currents. Forward gain, A, must be
The damping coefficient for the two poles are found to be: defined as the ratio of the output sampled quantity divided
by the input quantity that undergoes mixing. As such it is a
1+k 1 + 10 transresistance, current gain, voltage gain, or transconduc-
ζH =  = √ = 0.6508
2 k(1 + f Ao ) 2 10(7.14286) tance. The feedback network, as described by the feedback
ratio (f), must sample the output quantity and present a
Notice that the high poles of the feedback amplifier are quantity to the mixer that is of the same type (current or
slightly underdamped and that there will be a small voltage) as the input quantity. As such it is a transconduc-
“bump” (≈ 0.1 dB) in the frequency response as a result. tance, current gain, voltage gain, or transresistance. Table
The high 3 dB frequency, fHf , is then found from K(ζ H , k), 1 lists the appropriate quantities mixed at the input, the
6 Amplifiers, Feedback

Figure 4. High 3 dB frequency as a function of ζ and nonfeed-


back pole spacing.

Figure 5. An example of the effect of feedback on frequency re-


sponse.

output sampled quantity, the forward gain, and the feed-


back ratio for each of the four feedback amplifier topologies.
It is important to remember that the product, fA, must be
dimensionless and, in the midband region of operation, pos-
itive.
In the previous section, all benefits of feedback were
discussed except the modification of input and output
impedance. The specific definitions of the four feedback am-
plifier topologies allow for that discussion to begin here.
The mixing process alters the input impedance of a neg- Figure 7. Input and output resistance for shunt–shunt feedback.
ative feedback amplifier. Heuristically, one can see that
subtraction of a feedback quantity at the mixing junction
increases the input quantity necessary for similar perfor- Therefore, combining Eqs. (32) and (33) yields
mance. Thus, subtracting current (shunt mixing) requires
an increase in overall input current and decreases the in-
put impedance. Similarly, subtracting voltage (series mix-
ing) requires an increase in overall input voltage and in-
creases input impedance. The input resistance to feedback amplifier is the input re-
sistance of the forward-gain amplifier reduced by a factor
Shunt Mixing Decreases the Input Resistance. For the of the return difference. Shunt–series feedback amplifier
shunt–shunt feedback amplifier (Fig. 7), the voltage across input resistance is similarly derived (replacing RM by AI ).
its input terminals (arbitrarily identified as v) and the in- The same basic reduction in input resistance occurs:
put current, ii , are related by the feedback amplifier input
resistance, Rif :

Similarly, the forward-gain amplifier has input quantities


related by its input impedance, Ri : Series Mixing Increases Input Resistance. For the
series–series feedback amplifier of Fig. 8, the voltage
across its input terminals, vi , and the input current
The two input currents, ii and iδ , are related through the (arbitrarily identified as i) are related by the feedback
forward gain and the feedback ratio: amplifier input resistance, Rif :
Amplifiers, Feedback 7

Figure 6. Feedback amplifier topologies.


(a) Shunt–shunt feedback. (b) Shunt–series
feedback. (c) Series–shunt feedback. (d)
Series–series feedback.

Resistors shunting the input, such as biasing resistors,


often do not fit within the topological standards of series
mixing. Thus, they must be considered separate from the
feedback amplifier to model feedback amplifier character-
istics properly using the techniques outlined in this and
other articles. Examples of such resistors are found in the
next section of this article.
The sampling process alters the output impedance of the
feedback amplifier. As was the case for shunt mixing, shunt
Figure 8. Input and output resistance for series–series feedback. sampling decreases the output resistance: Series sampling
increases the output resistance.

Similarly, the forward-gain amplifier has input quantities


related by its input impedance, Ri : Shunt Sampling Decreases the Output Resistance. For the
shunt–shunt feedback amplifier of Fig. 7, the output resis-
tance is measured by applying a voltage source of value,
The two input voltages, vi and vδ , are related through the v, to the output terminals with the input, ii , set to zero
forward gain and the feedback ratio: value. A simplified schematic representation of that mea-
surement is shown in Fig. 9. In this figure, the forward-
gain amplifier has been shown with its appropriate gain
parameter, RM , and output resistance, Ro .
Therefore, combining Eqs. (37) and (38) yields
The output resistance of the feedback system is the ra-
tio,

The input resistance to feedback amplifier is the input re-


sistance of the forward-gain amplifier increased by a factor
of the return difference. Series–shunt feedback amplifier The current, i, is calculated from Ohm’s law at the output
input resistance is similarly derived (replacing GM by AV ). of the amplifier:
The same basic reduction in input resistance occurs:
8 Amplifiers, Feedback

The output resistance of the feedback system is the ratio

The voltage, v, is given by

Since the input voltage, vi , has been set to zero value,

Combining Eqs. (48) and (49) yields


Figure 9. Schematic representation of shunt–shunt feedback for
output resistance calculations.

The output resistance is then given by

The output resistance of the feedback amplifier is the out-


put resistance of the forward-gain amplifier increased by a
factor of the return difference. Shunt–series feedback am-
plifier output resistance is similarly derived (replacing GM
by AI ). The same basic increase in input resistance occurs:

Figure 10. Schematic representation of series–series feedback Resistances shunting the output, such as load resis-
for output resistance calculations.
tances, do not fit within the topological standards of series
sampling. Thus, they must be considered separate from the
feedback amplifier to model feedback amplifier character-
In the case where the input current has been set to zero,
istics properly using the techniques outlined in this and
other articles. The forward-gain parameters, AI and GM ,
must be calculated excluding these resistances.
Combining Eqs. (43) and (44) yields
PRACTICAL FEEDBACK CONFIGURATIONS

Previous discussions of feedback and feedback configura-


The output resistance of the feedback amplifier is the out- tions have been limited to idealized systems and amplifiers.
put resistance of the forward-gain amplifier decreased by a The four idealized feedback schematic diagrams of Fig. 6
factor of the return difference. Series–shunt feedback am- identify the forward-gain amplifier and the feedback net-
plifier output resistance is similarly derived (replacing RM work as two-port networks with a very specific property:
by AV ). The same basic reduction in input resistance occurs: Each is a device with one-way gain. Realistic electronic
feedback amplifiers can only approximate that idealized
behavior. In addition, in practical feedback amplifiers there
is always some interaction between the forward-gain am-
plifier and the feedback network. This interaction most of-
Resistors that shunt the output terminals, such as a load ten takes the form of input and output resistive loading
resistor, are considered as part of the feedback amplifier. of the forward-gain amplifier. The division of the practical
The forward-gain parameter (RM or AV ) must be calculated feedback amplifier into its forward-gain amplifier and feed-
in a consistent fashion with the consideration of these ele- back network is also not always obvious. These apparent
ments. obstacles to using idealized feedback analysis can be re-
solved through the use of two-port network relationships
in the derivation of practical feedback amplifier proper-
Series Sampling Increases the Output Resistance. For the
ties. Once amplifier gain and impedance relationships have
series–series feedback amplifier of Fig. 8, the output resis-
been derived, the utility of the two-port representations be-
tance is measured by applying a current source of value,
comes minimal and is typically discarded.
i, to the output terminals with the input, vi , set to zero
value. A simplified schematic representation of that mea-
Identification of the Feedback Topology
surement is shown in Fig. 10. In this figure, the forward-
gain amplifier has been shown with its appropriate gain Feedback topology is determined through careful observa-
parameter, AV , and output resistance, Ro . tion of the interconnection of the feedback network and
Amplifiers, Feedback 9

forward-gain amplifier. Shunt mixing occurs at the input been replaced by their equivalent y-parameter two-port
terminal of the amplifier. Thus, shunt mixing is identified network representations so that parallel parameters can
by a connection of feedback network and the forward-gain be easily combined. A resistive load has been applied to
amplifier at the input terminal of first active device within the output port; and, since shunt–shunt feedback ampli-
the amplifier; that is, fiers are transresistance amplifiers, a Norton equivalent
source has been shown as the input. The forward-gain pa-
 At the base of a BJT for a common-emitter or common- rameter of each two-port, y21 , is the transadmittance.
collector first stage The basic feedback equation for a transresistance am-
 At the emitter of a BJT for a common-base first stage plifier takes the form:
 At the gate of a FET for a common-source or common-
drain first stage, or
 At the source of a FET for a common-gate first stage

Series mixing occurs in a loop that contains the input The application of the basic feedback equation to this cir-
terminal of the forward-gain amplifier and the controlling cuit in its current form is not immediately clear. It is nec-
port of the first active device. The controlling port of a BJT essary to transform the feedback amplifier circuit into a
in the forward-active region is the base-emitter junction: form that allows for easy application of the basic feedback
A FET in the saturation region is controlled by the voltage equation, Eq. (53). Such a transformation must meet the
across the gate-source input port. Series mixing is charac- previously stated feedback requirements:
terized by a circuit element or network that is both con-
nected to the output and in series with the input voltage  The forward-gain amplifier is to be a forward trans-
and the input port of the first active device.
mission system only—its reverse transmission must
Identification of the sampling is derived from direct ob-
be negligible.
servation of the connection of the output of the basic for-
 The feedback network is to be a reverse transmission
ward amplifier and the feedback network. Shunt sampling
is typically characterized by a direct connection of the feed- system that presents a feedback current, dependent
back network to the output node: Series sampling implies a on the output voltage, to the amplifier input port.
series connection of the amplifier output, the feedback net-
work, and the load. Two tests performed at the feedback While a mathematically rigorous derivation of the trans-
amplifier output can aid in the determination of sampling formation is possible, greater insight to the process comes
topology: with a heuristic approach.
 If the feedback quantity vanishes for a short-circuit The two-port y-parameter representation, in conjunc-
tion with the shunt-shunt connection, is used to describe
load, the output voltage must be the sampled quantity. the two main elements of this feedback amplifier so that
Thus, zero feedback for a short-circuit load implies all the input port elements of both two-port networks are
shunt sampling. in parallel. Similarly, all output port elements are in par-
 If the feedback quantity vanishes for an open-circuit allel. It is well known that circuit elements in parallel may
load, the output current must be the sampled quan- be rearranged and, as long as they remain in parallel, the
tity. Thus, zero feedback for an open-circuit load im- circuit continues to function in an identical fashion. Hence,
plies series sampling. it is possible, for analysis purposes only, to move elements
conceptually from one section of the circuit into another
After the topological type has been identified, each am- (from the feedback circuit to the amplifier circuit or the
plifier must be transformed into a form that allows for reverse). The necessary conceptual changes made for the
the use of the idealized feedback formulations. This trans- transformation are as follows:
formation includes modeling the amplifier and the feed-
back network with a particular two-port representation  The source resistance, the load resistance, and all in-
that facilitates combination of elements. Once the transfor-
mations are accomplished, the amplifier performance pa- put and output admittances, y11 and y22 , are placed
rameters are easily obtained using the methods previously in the modified amplifier circuit. While inclusion of
outlined. The particular operations necessary to transform the source and load resistance in the amplifier seems,
each of the four feedback amplifier topological types re- at first, counterproductive, it is necessary to include
quire separate discussion. Only the shunt–shunt topology these resistances so that the use of the feedback prop-
is discussed in detail: The other three topologies use simi- erties produces correct results for input and output
lar techniques that lead to the results shown in Fig. 13 and resistance (after appropriate transformations).
described in Table 2.
 All forward transadmittances, y21 (represented by cur-
rent sources dependent on the input voltage, v1 ), are
Shunt–Shunt Feedback: a Detailed Derivation. Figure placed in the modified amplifier circuit.
11 is a small-signal model representation of a typical  All reverse transadmittances, y12 (represented by cur-
shunt–shunt feedback amplifier. In this representation, rent sources dependent on the output voltage, vo ), are
the forward-gain amplifier and the feedback network have placed in the modified feedback circuit.
10 Amplifiers, Feedback

Figure 11. Two-port realization of a shunt–shunt feedback ampli-


fier.

The dependent current source can be easily combined: output admittance, y22 f
 A feedback network composed solely of the feedback
network reverse transadmittance, y12 f
and
It is also important to notice that the input resistance, Rif ,
of this circuit includes the source resistance, Rs . As such,
it is not the same as the input resistance of the true ampli-
In virtually every practical feedback amplifier, the re- fier, Rin . The input resistance of the true amplifier can be
verse transadmittance of the forward-gain amplifier is obtained as
much smaller than that of the feedback network (y12 a <
y12 f ) and the forward transadmittance of the feedback net-
work is much smaller than that of the forward-gain ampli-
fier (y21 f < y21 a ). Thus approximate simplifications of the
Similarly, the output resistance, Rof , of this circuit includes
amplifier representation can be made:
the load resistance, RL : Similar operations may be neces-
sary to obtain the true output resistance of the amplifier.
The y-parameters of the feedback network can be ob-
and tained:

The shunt–shunt feedback amplifier circuit of Fig. 11 is,


with these changes and approximations, thereby trans- where i2 is the current entering the output port of the feed-
formed into the circuit shown in Fig. 12. back network (see Fig. 10). With the determination of these
This transformed circuit is composed of two simple ele- two-port parameters, the circuit has been transformed into
ments: a form that is compatible with all previous discussions. The
forward-gain parameter (in this case, GM ) of the loaded ba-
 The original amplifier, with its input shunted by the sic amplifier must be calculated, while the feedback ratio
has been determined from the two-port analysis of the feed-
source resistance; the feedback network short-circuit
back network:
input admittance, y11 f , and its output shunted by the
load resistance; the feedback network short-circuit
Amplifiers, Feedback 11

Figure 12. Redistributed shunt–


shunt realization.

In the case of totally resistive feedback networks, the It is important that an amplifier be designed so that stabil-
shunting resistances can be found in a simple fashion: ity is present at all frequencies, not only those in the mid-
band region. If the product −f(jω)A(jω) approaches unity
 rin = (yf )−1 is found by setting the output voltage to at any frequency, the denominator of Eq. (61) approaches
11
zero value, vo = 0, and determining the resistance from zero value: The total gain of the amplifier approaches infin-
the input port of the feedback network to ground. ity. This condition represents an output that is truncated
 rout = (yf )−1 is found by setting the input voltage to only by power supply limitations regardless of input mag-
22
zero value, vi = 0, and determining the resistance from nitude and is an unstable condition that is intolerable in
the output port of the feedback network to ground. amplifiers. To avoid this instability, it is necessary to avoid
a simultaneous approach of |f(jω)A(jω)| = 1 and ∠f(jω)A(jω)
The feedback ratio, f, is simply the ratio of the feedback = ±180◦ . Since each pole can only provide a phase shift of
current, if , to the output voltage when the input port of the between 0 and −90◦ , the second condition is only possible
feedback network, vi , is set to zero value. All idealized feed- for amplifiers that have high- or low-frequency responses
back methods can be applied to this transformed amplifier, described by three or more poles. Simultaneously satisfy-
and all previously derived feedback results are valid. ing both conditions can be avoided if the magnitude of fA is
The other three feedback amplifier topologies can be always less than unity when the phase angle of fA is ± 180◦ .
similarly analyzed using various two-port parameters for Designers of feedback amplifiers typically verify that this
analysis: is the case through the use of amplifier frequency-response
plots.
 Shunt–series—g parameters
 Series–shunt—h parameters Gain Margin and Phase Margin
 Series–series—z parameters A frequency-response plot of the loop gain, fA, for a typi-
cal amplifier is shown in Fig 14. The frequency at which
Such analysis leads to a characterization of the loading of |f(jω)A(jω)| = 1 is identified as ωm and the frequency at
the basic forward amplifier as is described in Fig. 13. As which ∠f(jω)A(jω) = −180◦ is identified as ωp . Since ωm =
is the case with the shunt–shunt topology, individual ele- ωp , it is apparent that this is a stable amplifier—that is, the
ments within the feedback network may appear more than two instability conditions are not simultaneously met. It is,
once in the loaded basic forward amplifier equivalent cir- however, important to ensure that the two conditions are
cuit. Table 2 summarizes the analysis of feedback amplifier not met simultaneously with a margin of safety. The mar-
properties. gin of safety is defined by the gain margin and the phase
margin of the feedback amplifier.
Gain margin is defined as the difference in the loop gain
STABILITY IN FEEDBACK AMPLIFIERS magnitude (in decibels) between 0 dB (unity gain) and the
loop gain magnitude at frequency ωp :
Under certain conditions, feedback amplifiers have the pos-
sibility of being unstable. This instability stems from the
frequency-dependent nature of the forward gain of the ba-
Phase margin is the difference between the loop gain phase
sic amplifier, A, and the feedback factor, f. The frequency
angle at frequency ωm and −180◦ :
dependency is exhibited in the changes in magnitude and
phase of the product, fA, as a function of frequency.
Instability can be visualized by studying the basic feed-
back equation as a function of frequency: Each safety margin is shown in Fig. 14. It is common
to specify the design of feedback amplifiers with gain
and phase margins greater than 10 dB and 50◦ , respec-
tively. These margins ensure stable amplifier operation
12 Amplifiers, Feedback

Figure 13. Feedback network loading of


basic forward amplifier. (a) Shunt–shunt
feedback. (b) Shunt–series feedback. (c)
Series–shunt feedback. (d) Series–series
feedback.

bination of poles and zeros to the loop gain characteristic.


The most commonly used compensation techniques are as
follows:

 Dominant pole compensation


 Lag–lead (pole–zero) compensation
 Lead compensation

Each technique modifies the gain and phase profiles of the


basic forward amplifier through pole and zero manipula-
Figure 14. Gain margin and phase margin. tion.
In dominant pole compensation, the amplifier is mod-
ified by adding a dominant pole that is much smaller in
over component parameter variation, temperature change,
magnitude than all other poles in the amplifier gain func-
and other variations found in typical amplifiers.
tion: Typically it is chosen so that the loop gain, fA, reaches
0 dB at the frequency of the next pole (the first pole of the
Compensation uncompensated amplifier). Consequently, the modified loop
Two fundamental techniques are available for ensuring gain falls below 0 dB before the nondominant poles shift
amplifier stability: the total phase shift near 180◦ and the circuit is inherently
stable. Dominant pole compensation will typically result in
 Reducing the midband loop gain, fA, of the amplifier a phase margin of approximately 45◦ .
 Adding a compensation network to the amplifier to The location of the new compensation pole can be de-
shape the loop gain frequency response so that the termined by modeling the loop gain response with a single
phase and gain margins are positive and in an ac- pole and setting its value to 0 dB at the first pole of the
ceptable range uncompensated amplifier:

Careful design is required in each of these cases to ensure


stable amplifier operation over typical performance condi-
tions.
In many cases, decreasing the loop gain to achieve sta- Solving Eq. (64) for the compensation pole frequency, ωc ,
bility is not an acceptable design possibility. Additionally, results in:
as is often the case in operational amplifier circuits, the
feedback ratio, f, may be determined by the user rather
than the amplifier designer and can range widely. In such
cases, compensation networks are added within the feed- If the design goals of the feedback amplifier includes a
back loop of the amplifier to increase the gain and phase range of feedback ratios, the frequency of the compensa-
margins. Such compensation networks add poles or a com- tion pole is determined by the maximum value of the feed-
Amplifiers, Feedback 13

back ratio. That is, ωc is chosen to be the smallest value margin of ≈48◦ , and a gain margin of ≈20 dB.
predicted by Eq. (65). Lead compensation can lead to the largest bandwidth
An example of dominant pole compensation is shown of the three most common compensation networks. Here,
in Fig. 15 in the frequency domain. For clarity, the gain as in lead–lag compensation, a pole and a zero are added.
plots are represented by straight-line Bode approxima- The zero is used to cancel the second pole of the uncom-
tions, while the exact phase plots are retained. The exam- pensated amplifier, and the added pole is positioned at a
ple amplifier is described by a midband gain of 60 dB with frequency higher than the zero. The objective is to reduce
poles at 1 MHz, 5 MHz, and 50 MHz: the feedback ratio is the phase shift of the uncompensated amplifier at the fre-
f = 0.1. quency where the loop gain reaches 0 dB (ωm ). Lead com-
The possibility of feedback amplifier instability is fo- pensation can be extremely effective in feedback amplifiers
cused at the frequency where |fA| = 1 or equivalently where where there are two or three dominant poles in the uncom-
|A|dB = −20 log(f). For this particular three-pole example, pensated amplifier.
instability may occur at ωm ≈ 21 MHz. Here the phase mar- The previously described, uncompensated amplifier is
gin is very small and negative (≈ −7◦ ). After compensation, compensated with a lead pole–zero pair and the frequency
the focus is again centered where the gain plot (now com- domain results are displayed in Fig. 17. After compensa-
pensated) intersects the negated feedback ratio plot. The tion, the focus is again centered where the gain plot (now
addition of the compensation pole, ωc , shifts this intersec- compensated) intersects the negated feedback ratio plot.
tion to the frequency of the first uncompensated pole, ωp1 . The addition a zero at the second uncompensated pole, ωp2 ,
For this example, the compensation pole is placed at 10 and a high-frequency compensation pole, ωc , shifts this in-
kHz and yields a phase margin of ≈43◦ and a gain margin tersection a frequency beyond the second uncompensated
of ≈14 dB. pole, ωp2 . For this example, the high-frequency pole was
Dominant pole compensation reduces the open-loop chosen at 500 MHz. This design choice leads to a positive
bandwidth drastically. Still, it is common in many circuits phase margin of ≈35◦ and a gain margin of ≈15 dB. Notice
with inherently large gain: Operational amplifiers com- that with lead compensation there is no significant reduc-
monly utilize dominant pole compensation. tion in the frequency response of the feedback amplifier.
Lead–lag (or pole–zero) compensation is similar to dom- A passive component circuit implementation of each of
inant pole compensation with one major exception. In ad- the three compensation techniques is schematically shown
dition to a dominant pole, a higher-frequency zero is added. in Fig. 18. For the circuit of Fig. 18(a), the compensation
This zero is used to cancel the first pole of the uncompen- network component values are chosen so that
sated amplifier. The added dominant pole can then be cho-
sen so that the gain reaches 0 dB at the frequency of the
next pole (the second pole of the uncompensated amplifier).
Lead–lag compensation has a distinct bandwidth advan-
where Ao , Ro , and ωp1 are the midband gain, the output re-
tage over dominant pole compensation.
sistance, and the first pole frequency, of the basic forward
The location of the new compensation pole can be de-
amplifier, respectively. For the circuit of Fig. 18(b), the com-
termined in a similar fashion to the method utilized under
pensation network component values are chosen so that
dominant pole compensation with the exception that the
loop gain (without the first original pole) is to reach 0 dB
at the second pole of the uncompensated amplifier. Solving
Eq. (64) for the compensation pole frequency, ωc , results in:
and

As with dominant pole compensation, design goals includ- For the circuit of Fig. 18(c), the compensation network com-
ing a range of feedback ratios lead to the determination of ponent values are chosen so that
frequency of the compensation pole by the maximum value
of the feedback ratio. That is, ωc is chosen to be the smallest
value predicted by Eq. (66).
The previously described, uncompensated amplifier is
compensated with a lag–lead pole–zero pair and the fre- and
quency domain results are displayed in Fig. 16. The possi-
bility of feedback amplifier instability is again focused at
the intersection of the gain and the negated feedback ratio
plots. After compensation, the focus is centered where the While the placement of a compensation network at the
gain plot (now compensated) intersects the negated feed- output of the basic forward amplifier is an effective tech-
back ratio plot. The addition of the compensation pole, ωc , nique for feedback topologies with shunt sampling, other
and a zero at the first uncompensated pole, ωp1 , shifts this placement may be necessary. In particular, connections at
intersection to the frequency of the second uncompensated the output of a feedback amplifier with series sampling
pole, ωp2 . The previously identified amplifier parameters are not within the feedback loop and are therefore invalid.
lead to a compensation pole at 50 kHz, a positive phase In such cases, alternate placement of the compensation is
14 Amplifiers, Feedback

Figure 15. Bode diagram for a dominant pole compensated am-


plifier.

Figure 16. Bode diagram for a lag–lead compensated amplifier.

Figure 17. Bode diagram for a lead compensated amplifier.

necessary. One common placement intersperses the com-


pensation network between individual gain stages of the
amplifier. Similarly, it is possible to compensate a feed-
back amplifier within the feedback network rather than
the basic forward amplifier. Unfortunately, analysis of com-
pensation within the feedback network is often extremely
complex due to the loading of the basic forward amplifier
by feedback network components.
Amplifiers, Feedback 15

J. W. Nilsson and S. Riedel, Electric Circuits, 7th. Ed., Prentice


Hall, New York, 2004.
S. Rosenstark, Feedback Amplifier Principles, New York: Macmil-
lan, 1986.
A. S. Sedra, K. C. Smith, Microelectronic Circuits, 5th. Ed., Oxford
University Press, New York, 2004.
D. L. Schilling, C. Belove, Electronic Circuits, 3rd ed., New York:
McGraw-Hill, 1989.
T. F. Schubert, Jr. E. M. Kim, Active and Non-Linear Electronics,
New York: Wiley, 1996.
R. Spencer and M. Ghausi, Introduction to Electronic Circuit De-
sign, Prentice Hall, New York, 2003.

THOMAS F. SCHUBERT Jr.


University of San Diego, San
Diego, CA

Figure 18. Compensation networks. (a) Dominant pole compen-


sation. (b) Lag–lead (pole–zero) compensation. (c) Lead compen-
sation.

BIBLIOGRAPHY

1. T. F. Schubert, Jr. A heuristic approach to the development


of frequency response characteristics in the design of feed-
back amplifiers, Proc. 1996 ASEE/IEEE Frontiers Educ. Conf.,
November 1996, pp. 340–343.

Reading List

H. W. Bode, Network Analysis and Feedback Amplifier Design, D.


Van Nostrand Company, Princeton, NJ, 1945.
F. C. Fitchen, Transistor Circuit Analysis and Design, Princeton,
NJ: Van Nostrand, 1966.
M. S. Ghausi, Electronic Devices and Circuits: Discrete and Inte-
grated, New York: Holt Rinehart and Winston, 1985.
P. R. Gray, R. G. Meyer, Analysis and Design of Analog Integrated
Circuits, 4th. Ed., John Wiley & Sons, Inc., New York, 2001.
D. H. Horrocks, Feedback Circuits and Op Amps, London: Chap-
man and Hall, 1990.
P. J. Hurst, A comparison of two approaches to feedback circuit
analysis, IEEE Trans. Educ., 35: 253–261, 1992.
J. Millman, Microelectronics, Digital and Analog Circuits and Sys-
tems, New York: McGraw-Hill, 1979.
J. Millman, C. C. Halkias, Integrated Electronics: Analog and Dig-
ital Circuits and Systems, New York: McGraw-Hill, 1972.
FIR FILTERS, DESIGN 555

There are many common applications of FIR filters, in-


cluding

1. Differentiators: These filters have many uses in digital


and analog systems, such as the demodulation of fre-
quency modulated (FM) signals (1–6).
2. Decimators and interpolators: FIR filters appear in
multirate systems as interpolator or decimator filters
forming filter banks. Interpolators and decimators can
be implemented, for instance, by comb filters, which are
a special class of multiband filters, commonly used in
the demodulation of video signals (1–6).
3. Power spectrum estimation: This process is used in digi-
tal speech, sonar, and radar systems. The moving aver-
age (MA) estimator is an example of an FIR filter (7).
4. Wiener and Kalman filters: These filters have been used
for the estimation of signals of interest, and they both
can be interpreted as extensions of FIR filters (8).
5. Adaptive filters: These systems have been widely used
in communication, control, and so on. Examples of this
type of system include adaptive antennas, digital equal-
ization receivers, adaptive noise-canceling systems, and
system modelers. Adaptive FIR filters are widely em-
ployed because the adaptation of the filter’s coefficients
searches for a unique optimal solution and does not
cause instability in the transfer function, which is not
always true for adaptive IIR filters (8–10).
6. Wavelets: The wavelet transform has been used as an
orthogonal basis for decomposing signals in multireso-
lution layers. In image processing, it has been used for
compressing the image data. In this setup, FIR filters
have been normally employed as the basis function of
the wavelet transform (11).

FIR FILTERS, DESIGN PROPERTIES OF FIR FILTERS

Filtering is a method of signal processing by which an input An FIR filter, with an input x(n) and output y(n), can be char-
signal is passed through a system in order to be modified, acterized by the following difference equation
reshaped, estimated, or generically manipulated as a way to
y(n) = a(0)x(n) + a(1)x(n − 1) + · · · + a(N − 1)x(n − N + 1)
make it conform to a prescribed specification. In a typical ap-
plication, filters are a class of signal-processing systems that 
N−1
= a(i)x(n − i) (1)
let some portion of the input signal’s frequency spectrum pass
i=0
through with little distortion and almost entirely cutting off
the undesirable frequency band. Digital filtering is a method where N is the filter length, a(n) (for 0 ⱕ n ⱕ N ⫺ 1) are the
by which discrete time sequences are filtered by a discrete coefficients of the causal linear time-invariant system, and
system. the input and output samples are taken at a discrete time
Finite-duration impulse response (FIR) filters are a class t ⫽ nT, n ⫽ 0, 1, . . ., with T as the sampling period. From
of digital filters having a finite-length sequence as output Eq. (1), we notice that the present output sample y(n) is
when an impulse is applied to its input. The details of the formed by the combination of the present x(n) and the past
FIR filtering method, its properties, and its applications will N ⫺ 1 input samples weighted by the filter’s coefficients in
be considered in the following pages. Analytical tools that en- order attain some desired response.
able us to perform the time and frequency analysis of FIR Alternatively, Eq. (1) can be written as the convolution be-
filters will be examined and, in addition, systematic proce- tween the input signal samples x(n) and the impulse response
dures for the design of these filters will be presented. sequence h(n) of the filter (1–6), that is,
There are advantages and disadvantages in using FIR fil-
ters as opposed to infinite-duration impulse response (IIR) 
N−1
y(n) = h(n − i)x(n)
filters. FIR filters are always stable when realized nonre-
i=0
cursively, and they can be designed with exact linear phase, (2)
which is not possible with IIR filters. However, the approxi- 
N−1
= h(i)x(n − i)
mation of sharp cutoff filters may require a lengthy FIR filter, i=0
which may cause problems in the realization or implementa-
tion of the filter. Clearly, then, h(n) equals a(n), for 0 ⱕ n ⱕ N ⫺ 1.
J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
556 FIR FILTERS, DESIGN

Stability ficients to process complex signals. Despite these cases, we


concentrate our efforts here on the analysis and design of FIR
A digital system is said to be bounded input–bounded output
filters with real coefficients, without much loss of generality.
(BIBO) stable if and only if any bounded discrete time se-
The interested reader, however, may refer to (12,13).
quence applied to the system’s input yields a bounded output
sequence. Therefore, the BIBO stability criterion can be writ-
ten as (1–6) Linear Phase
In many applications, the design of a signal processing sys-
If |x(n)| < ∞, ∀n, then | y(n)| < ∞, ∀n tem with linear phase is desirable. Nonlinear phase causes
distortion in the processed signal, which is very perceptible in
For an FIR filter as expressed in Eq. (2), we obtain applications like data transmission, image processing, and so
  on. One of the major advantages of using an FIR filter is that
N−1 
  it can be designed with an exact linear phase, a task that
| y(n)| =  h(i)x(n − i)
 i=0  cannot be done with IIR filters. A linear-phase system does
not cause any distortion, only delay.
By using the well known Schwartz’s inequality, we get The frequency response of an FIR filter, as described in Eq.
(4), is given by

N−1
| y(n)| ≤ |h(i)x(n − i)| 
N−1
i=0 H(e jω ) = h(n)e− jωn (6)
n=0

N−1
≤ |h(i)||x(n − i)|
i=0 The magnitude M(웆) and phase ␪ (웆) responses of the filter
are respectively defined as
As the BIBO criterion imposes,
M(ω) = |H(e jω )|
|x(n)| ≤ M < ∞, ∀n
and
we thus have
θ (ω) = arg [H(e jω )]

N−1

|y(n)| ≤ M |h(i)| (3) Im[H(e jω )]
= tan−1
i=0 Re[H(e jω )]

Since the filter’s coefficients are assumed finite, the right- where Im(.) and Re(.) represent the imaginary and real parts
hand side of Eq. (3) is always finite and so is 兩y(n)兩. This im- of a complex number, respectively. The expression in Eq. (6)
plies that an FIR filter is always stable since the linear sys- can also be written as
tem coefficients are finite.
Alternatively, we can test filter stability by identifying its 
N−1
poles. The stability of any digital filter can also be verified by H(e jω ) = h(n)[cos(ωn) − j sin(ωn)]
checking the poles of its transfer function. A necessary and n=0
sufficient condition for BIBO filter stability is that all system
poles are inside the unit circle (1–6). In the case of an FIR Now, by assuming that h(n) is a sequence of real numbers,
filter, its transfer function is obtained as the phase response is given by
 N−1 

N−1
− n=0 h(n) sin(ωn)
H(z) = h(n)z −n
(4) θ (ω) = tan−1 N−1 (7)
n=0 n=0 h(n) cos(ωn)

which can be written as To obtain a linear-phase response, ␪ (웆) is constrained to be


of the form
H(z) = h(0) + h(1)z−1 + · · · + h(N − 1)z−(N−1)
h(0)zN−1 + h(1)zN−2 + · · · + h(N − 1) (5) θ (ω) = −ωτ0 (8)
=
zN−1
for ⫺앟 ⱕ 웆 ⱕ 앟, where ␶0 is the constant delay of the filter.
From Eq. (5), we see that an FIR filter of length N has N ⫺ 1 When using the results of Eq. (7) and Eq. (8), we get
poles, all located at the origin of the z-plane, and therefore, it  N−1 
is always stable. As will be seen later, an FIR filter can be −1 − n=0 h(n) sin(ωn)
tan N−1 = −ωτ0
realized nonrecursively and recursively. The stability of an n=0 h(n) cos(ωn)
FIR filter is guaranteed only when the realization is nonre-
cursive since the quantization of filter coefficients in a re- which can be written as
cursive realization with finite precision arithmetic may
cause instability. N−1
h(n) sin(ωn)
In some applications, like speech, image coding, and signal tan(ωτ0 ) = N−1
n=0
(9)
transmission, it is possible to use FIR filters with complex coef- n=0 h(n) cos(ωn)
FIR FILTERS, DESIGN 557

Eq. (9) admits two solutions. The first is a trivial one when Type III. Length N odd and symmetrical impulse response
␶0 ⫽ 0, which implies h(n) ⫽ 웃 (n); that is, the filter’s impulse Type IV. Length N odd and antisymmetrical impulse re-
response is an impulse at n ⫽ 0. This solution has very little sponse
utility. The second solution is when ␶0 ⬆ 0, and thus, Eq. (9)
can be expressed as Examples of these four types of linear-phase FIR filters are
depicted in Fig. 1. When N is even, see Fig. 1(a) and Fig. 1(b),
N−1 we notice that the axis of symmetry is located between two
sin(ωτ0 ) n=0 h(n) sin(ωn)
= N−1 samples; that is, the constant delay ␶0 is not an integer value.
cos(ωτ0 ) h(n) cos(ωn)
n=0 Meanwhile, if N is odd, ␶0 is integer, and thus, the location of
the axis of symmetry is over a sample, as observed in Fig.
Consequently, 1(c) and Fig. 1(d). For N odd and an antisymmetrical impulse
response filter, the middle sample must be zero to satisfy this

N−1
h(n)[sin(ωn) cos(ωτ0 ) − cos(ωn) sin(ωτ0 ) = 0 symmetry, as seen in Fig. 1(d).
n=0 In some applications, the long delay associated with linear-
phase FIR filters is not allowed and then a nonlinear-phase
and accordingly, filter is required. An example of such filter is the filter with
minimum-phase distortion, the zeros of which are located

N−1
strictly inside the Z-domain unit circle. This class of filters
h(n) sin(ωn − ωτ0 ) = 0 (10) can be designed by starting from Type I and III (even sym-
n=0
metric) linear-phase filters as mentioned, for instance, in
The solution of Eq. (10) can be shown to be the following set (12,14,15).
of conditions Frequency Response
N−1 The four types of FIR linear phase filters defined before have
τ0 = (11a)
distinct frequency responses, as we shall see below.
2
h(n) = ±h(N − n − 1) (11b)
Type I. From Eq. (6) and having that h(n) ⫽ h(N ⫺ n ⫺ 1),
we can write the frequency response as
It turns out that different solutions are obtained depending
on the value of N being either even or odd, and depending on 
N/2−1

the two possibilities as expressed by Eq. (11b), that is, sym- H(e jω ) = h(n)[e− jωn + e− jω(N−n−1) ]
n=0
metrical (even symmetry) or antisymmetrical (odd symmetry)
filters. Therefore, from the set of conditions defined by Eq. which can be written in the form
(11), it is practical to define four types of linear-phase FIR

N/2−1 
N −1
 
N −1−2n
 
N −1−2n

filters, namely: H(e jω ) = h(n)e− jω 2 e jω 2 + e− jω 2
n=0
Type I. Length N even and symmetrical impulse response  
 N/2−1
 
N −1 N − 1 − 2n
Type II. Length N even and antisymmetrical impulse re- = e− jω 2 2h(n) cos ω
sponse n=0
2

h(n) Axis of h(n) Axis of


symmetry symmetry

5
n n
0 5 0

(a) (b)

h(n) Axis of h(n) Axis of


symmetry symmetry

6
n n
0 6 0
Figure 1. Typical impulse responses for linear-
phase FIR filters: (a) Type I: N even, symmetric fil-
ter; (b) Type II: N even, antisymmetric filter; (c)
Type III: N odd, symmetric filter; (d) Type IV: N
(c) (d) odd, antisymmetric filter.
558 FIR FILTERS, DESIGN

Finally, letting for n ⫽ 1, . . ., (N ⫺ 1)/2, and b(0) ⫽ h(N ⫺ 1/2), we get


N   
 (N−1)/2
a(n) = 2h ≈ −n H(e jω ) = e− jω
N −1
2 b(n) cos(ωn) (14)
2
n=0
for n ⫽ 1, . . ., N/2, the last summation in the above equation
can be written as which is the desired result.

 
N −1 
  N−2 Type IV. For this case, the frequency response is similar to
1
H(e jω ) = e− jω 2 a(n) cos ω n − (12) Type III, except that in Eq. (14) instead of cosine summations,
n=1
2
we have, as before, sine summations multiplied by ej앟/2; that
which is the desired result, having a pure delay term and an is,
even-symmetric amplitude term.   
(N−1)/2
N −1 π
H(e jω ) = e− jω 2 ej2 b(n) sin(ωn) (15)
Type II. For this case, the frequency response is similar to n=0
Type I above, except that in Eq. (12) instead of cosine summa-
tions we have sine summations multiplied by j or, equiva- In this specific case, b(0) ⫽ h(N ⫺ 1/2) ⫽ 0.
lently, multiplied by ej(앟/2). Hence, Eq. (12) should be replaced In Table 1, we summarize the properties of the four types
by of linear-phase FIR filters, as given in Eq. (12–15).

  
N/2
 1
 Locations of Zeros
− jω N 2−1 j(π /2)
H(e jω
)= e e a(n) sin ω n− (13)
n=1
2 The locations of zeros in the Z-plane for a linear-phase FIR
filter is highly restricted by the set of conditions defined by
Type III. By applying the even symmetry condition to Eq. Eq. (11). When N is even, by applying these conditions to the
(6) with N odd, we obtain transfer function in Eq. (4), we obtain
N − 1 
N −1
 
(N−3)/2

N/2−1
 
H(e jω ) = h ≈ e− jω 2 + h(n)e− jωn H(z) = h(n) z−n ± z−(N−n−1)
2
N − 1  
n=0 n=0

=h≈ e − jω N 2−1 
N/2−1 
N −1
 
N −1−2n
 
N −1−2n

2 = h(n)z− 2 z 2 ± z− 2 (16)
n=0
 
 (N−3)/2  
  N −1−2n  N −1−2n 
N −1 N −1 N/2−1  
+ e− jω 2 h(n)e− jωn e jω 2
h(n) z 2 ± z− 2
n=0
n=0 = 
N −1

z 2
The above equation can be written as
 
N − 1 where the positive sign applies for symmetrical filters and the
− jω N 2−1 negative sign for antisymmetrical filters. Now, by examining
H(e ) = e

h≈
2 the numerator of Eq. (16), that is, the zeros of the transfer


(N−3)/2
 jω N −1 −n   function, we see that if we replace z by z⫺1, we obtain the
− jω N 2−1 −n
+ h(n) e 2 +e same or the negative numerator, respectively, for symmetri-
n=0 cal and antisymmetrical filters. In both cases, the positions of
the zeros are similar. Therefore, if the transfer function has
where h(N ⫺ 1/2) is the middle sample of the filter’s impulse a zero at point z ⫽ ␳ ej␪, it will also have a zero at point
response. The above equation can also be expressed as z ⫽ (1/ ␳)e⫺j␪, where ␳ is the magnitude, and ␪ is the phase of

N −1

N − 1 the mentioned zero. In such a case, the numerator of H(z) is
H(e jω ) = e− jω 2 h≈ said to have the mirror-image zero property with respect to
2

(N−3)/2
 N − 1   the unit circle.
Similarly, for N odd we obtain the following transfer func-
+ 2h(n) cos ω −n
n=0
2 tion
N − 1 (N−3)/2   N −1−2n  
N −1−2n 

Now, when replacing the variable n by (N ⫺ 1)/2 ⫺ n, we h + n=0 h(n) z 2 ± z− 2
2
obtain H(z) = 
N −1



N −1


N − 1 z 2
(17)
H(e jω ) = e− jω 2 h≈
2
N − 1   where, as before, the positive sign applies for symmetrical fil-

(N−1)/2
ters and the negative sign for antisymmetrical filters. Also, in
+ 2h ≈ − n cos(ωn)
n=1
2 this case, we see that the numerator of H(z) has the mirror-
image zero property.
Letting Hence, the locations of the zeros of all four types of linear-
N − 1  phase FIR filters have the mirror-image common property.
b(n) = 2h ≈ −n This implies that the locations of zeros have the following pos-
2 sibilities:
FIR FILTERS, DESIGN 559

Table 1. Characteristics of Linear-Phase FIR Filters


Type N h(n) H(e j웆) Coefficients

I even symmetrical e ⫺j웆 (N⫺1/2)


冘 N/2
a(n) cos 웆 n ⫺
1
冋 冉 冊册 冦 a(n) ⫽ 2h 앒 冉 冊
N
2
⫺n
n⫽1 2
n ⫽ 1, . . ., N/2

II even antisymmetrical e ⫺j웆 (N⫺1/2)e J(앟/2) 冘 N/2


冋 冉 冊册
a(n) sin 웆 n ⫺
1
冦 a(n) ⫽ 2h 앒 冉 冊
N
2
⫺n
n⫽1 2
n ⫽ 1, . . ., N/2


N⫺1


b(n) ⫽ 2h 앒 ⫺n
2
III odd symmetrical e ⫺j웆 (N⫺1/2)
冘 (N⫺1)/2
b(n) cos(웆n) n ⫽ 1, . . ., (N ⫺ 1)/2

冉 冊
n⫽0
N⫺1
b(0) ⫽ h 앒
2


N⫺1


b(n) ⫽ 2h 앒 ⫺n
2
IV odd antisymmetrical e ⫺j웆 (N⫺1/2)e j(앟/2) 冘 (N⫺1)/2
b(n) sin(웆n) n ⫽ 1, . . ., (N ⫺ 1)/2

冉 冊
n⫽0
N⫺1
b(0) ⫽ h 앒 ⫽0
2

1. Complex zeros located off the unit circle appear as a set 6. A type II linear-phase FIR filter must have a zero at
of four conjugate reciprocal zeros of the form z ⫽ 1. Thus, low-pass filters cannot be designed with
this type of filter.
1 jθ 1
z11 = ρe jθ , z12 = ρe− jθ , z13 = e , z14 = e− jθ 7. A type IV linear-phase FIR filter must have zeros at
ρ ρ both z ⫽ 1 and z ⫽ ⫺1. Therefore, either low-pass or
high-pass filters cannot be designed with this type of
2. Complex zeros located on the unit circle appear as a set filter.
of conjugate pairs of the form
Figure 2 depicts a typical plot of the zeros of a linear-phase
− jθ FIR filter.
z21 = e , z22 = e

3. Real zeros off the unit circle appear as a set real pairs FIR FILTER DESIGN
of the form
The complete design of FIR filters involves three distinct
1 stages, namely approximation, realization, and implementa-
z31 = ρ, z32 =
ρ

or z-plane
z13
1 z21
z31 = −ρ, z32 = −
ρ
z11
4. Real zeros on the unit circle appear in an arbitrary
number z42 z31 z41 z32

z41 = 1
z12
or
z14
z22
z42 = −1

The locations of zeros at points z ⫽ ⫾1 have additional


importance. By examining the transfer function at Figure 2. Example of the locations of zeros of a linear-phase FIR
filter: In this case, z11, z12, z13, and z14 are four complex-valued zeros
these points, it turns out that
that satisfy rule 1; the pair of complex zeros on the unit circle z21 and
5. A type I linear-phase FIR filter must have a zero at z22 obey rule 2; the pair of real zeros z31 and z32 satisfy rule 3; real
z ⫽ ⫺1. Hence, high-pass filters cannot be designed zeros on the unit circle, like z41 and z42, may appear in any number,
with this type of filter. obeying rule 4.
560 FIR FILTERS, DESIGN

tion. Approximation is the process by which a required set of x(n)


filter specifications yields a suitable transfer function with z–1 z–1 ... z–1
the desired filter characteristics. The realization process
translates the transfer function obtained in the approxima-
tion stage into a digital network. Implementation is the pro- h0 h1 h2 ...
cess that transforms this digital network into a specific piece hN – 1
of hardware or software code. Because they are easier to un-
derstand this way, we now analyze these tasks in their re-
verse order. +

Implementation
y(n)
An FIR filter is a digital system, the implementation of which
can be accomplished by means of dedicated hardware, general Figure 3. Direct-form realization of an FIR filter. Its derivation is
purpose hardware (e.g., digital signal processors), or com- straightforward following Eq. (18).
puter programs. Both hardware and software forms are suit-
able for processing real-time and nonreal-time signals. Dedi-
cated-hardware filters, however, tend to be much faster, thus nal y(n). Due to the chain of delay elements on the top of that
being able to process signals with higher frequency compo- diagram, this structure is also referred to as the tapped delay
nents and/or using high-order filters. The manufacturing line or transversal filter.
stage of these filters, however, can be a very cost- and time-
expensive process. In the other extreme, computer programs Linear-Phase Direct Form. As seen before, FIR filters with
are mainly used, although not restricted, to filter signals in a linear phase present a symmetrical or antisymmetrical trans-
nonreal-time fashion or to simulate the performance of practi- fer function. This fact can be used to halve the number of
cal systems. Generally speaking, filters implemented with multiplications needed to realize the filter. In fact, the trans-
digital signal processors (DSPs) represent a good compromise fer function of linear-phase FIR filters with length N even can
of cost and processing speed compared to the other two alter- be written as
natives.
Currently, the two most well-known families of DSPs are 
N/2−1
 
H(z) = hn z−n ± z−(N−n−1)
the TMS320 from Texas Instruments and the DSP56000 from n=0
Motorola. Both families have DSPs that use fixed- or floating-
point arithmetic, parallel processing, clock-rates from tens to leading to the structure shown in Fig. 4.
hundreds of MHz, and cost in the range of a few dollars to Meanwhile, the transfer function of linear-phase FIR fil-
several hundred dollars. Today, DSPs are becoming increas- ters with length N odd can be written as
ingly cheaper and faster, and this process shows no indication
of slowing down. For this reason, one can only predict this 
(N−3)/2
   N − 1   N −1 
type of implementation for FIR digital filters becoming more H(z) = hn z−n ± z−(N−n−1) + h ≈ z 2
n=0
2
and more popular in the future.
and is suitable to be realized by the structure shown in Fig.
Realization
5. In both of these figures, the plus sign is associated to the
For any given transfer function, there is a wide variety of
network structures that are able to translate the mathemati-
cal aspect of the transfer function into an equivalent digital x(n)
circuit. In this section, we show some of the most commonly z–1 z–1 ...
used forms for realizing an FIR transfer function (1–6).
+ + ... + z–1
Direct Form. As given in Eq. (2), the transfer function of
FIR filters with length N assumes the form +/– +/– +/–

z–1 z–1 ...



N−1
−n
H(z) = hn z (18)
n=0
h0 h1 ... h(N –1)/2
where hn is the filter coefficient, corresponding to the filter’s
impulse response h(n), for 0 ⱕ n ⱕ N ⫺ 1. The change in
notation, in this section, from h(n) to hn, is important to avoid +
confusion with adaptive filters and to yield a more natural
representation of other realizations. The most natural way to
y(n)
perform the operations in Eq. (18) is probably the direct form
shown in Fig. 3. This figure clearly depicts how, in this real- Figure 4. Direct-form realization of a linear-phase FIR filter with N
ization, each delayed value of the input signal is appropri- even: Type I and Type II filters. The reader should verify reduction
ately weighted by the corresponding coefficient hn and how on the number of multiplications in the order of 50% when compared
the resulting products are added to compose the output sig- to the general direct-form realization seen in Fig. 3.
FIR FILTERS, DESIGN 561

x(n)
z–1 z–1 ... z–1

+ + ... +
+/– +/– +/–
z–1 z–1 ... z–1

h0 h1 ... h(N –3)/2 h(N –1)/2

Figure 5. Direct-form realization of a linear-phase FIR filter


+ with N odd: Type III and Type IV filters. The reader should
verify reduction on the number of multiplications in the order
of 50% when compared to the general direct-form realization
y(n)
seen in Fig. 3.

symmetrical impulse response, and the minus sign is associ- To obtain a useful relation between the lattice parameters
ated to the antisymmetrical case, as included in Table 1. It is and the filter’s impulse response, we must analyze the recur-
important to notice that the linear-phase direct forms shown rent relationships that appear in Fig. 8. These equations are
here preserve this important characteristic even when the
filter coefficients are quantized, that is, are represented with
ei (n) = ei−1 (n) + ki ẽi−1 (n − 1) (20a)
a finite number of bits.
ẽi (n) = ẽi−1 (n − 1) + ki ei−1 (n) (20b)
Cascade Form. Any FIR-filter transfer function can be fac-
tored into a product of second-order polynomials with real co- for i ⫽ 1, . . ., N ⫺ 1, with e0(n) ⫽ ẽ0(n) ⫽ k0x(n), and
efficients; that is, eN⫺1(n) ⫽ y(n). In the z domain, Eq. (20) becomes


M
H(z) = b0 (1 + b1 j z−1 + b2 j z−2 ) (19) Ei (n) = Ei−1 (z) + ki z−1 Ẽi−1 (z)
j=1
Ẽi (z) = z−1 Ẽi−1 (z) + ki Ei−1 (z)
where M is the smallest integer greater or equal to (N ⫺ 1)/2.
If N is even, then the coefficient b2M is equal to zero. The block with E0(z) ⫽ Ẽ0(z) ⫽ k0 X(z) and EN⫺1(n) ⫽ Y(z).
diagram representing Eq. (19) is shown in Fig. 6. Notice how By defining the auxiliary polynomials, Hi(z) and H̃i(z), and
the second-order blocks appear in sequence, thus originating the auxiliary coefficients hm,i as:
the name of the cascade-form realization.

Ei (z) 
i
Lattice Form. Figure 7 depicts the block diagram of an FIR Hi (z) = k0 = h z−m
lattice filter of length N, where e(m) and ẽ(m) are auxiliary E0 (z) m=0 m,i
signals that appear in lattice-type structures. This realization Ẽi (z)
is called lattice due to its highly regular structure formed by H̃i (z) = k0
concatenating basic blocks of the form shown in Fig. 8. Ẽ0 (z)

b0
x(n) y(n)
+ + ... +

z–1 z–1 z–1


b11 b12 b1M

z–1 z–1 z–1


b21 b22 b2M
Figure 6. Cascade-form realization of an
FIR filter. Its derivation is straightfor-
ward following Eq. (19).
562 FIR FILTERS, DESIGN

k0
x(n) y(n)
+ + ... ... +

k1 k1 k2 k2 ... kN – 1 kN – 1
Figure 7. Lattice-form realization of a
FIR filter. Its name results from the intri-
cate structure of each building block im- z–1 + z–1 + ... z–1 +
plementing Eq. (20).

we can demonstrate, by induction, that these polynomials characteristic. Consider, for instance, the moving average-fil-
obey the recurrence formulas (3) ter of length N

Hi (z) = Hi−1 (z) + ki z−i Hi−1 (z−1 ) (21a) 


1 N−1
H(z) = z−n
H̃i (z) = z−i Hi (z−i ) N n=0
(21b)

As its name indicates, this filter determines, for each n, the


with H0(z) ⫽ H̃0(z) ⫽ k0 and HN⫺1(z) ⫽ H(z). Therefore,
average value of N consecutive samples of a given signal.
1 Adding all N samples of the input signal at each time instant
Hi−1 (z) = [H (z) − ki z−i Hi (z−1 )] (22) n, however, can be a very time-consuming procedure. Fortu-
1 − k2i i
nately, the same computation can be performed recursively
using the previous sum if we subtract the past input sample
and then, the reflection coefficients ki can be obtained from delayed of N cycles and add the present input sample. This
the direct-form coefficients hi by successively determining the procedure is equivalent to rewriting the moving-average
polynomials Hi⫺1(z) from Hi(z), using Eq. (22), and making transfer function as

ki = hi,i 1 z−N − 1
H(z) = (23)
N z−1 − 1
for i ⫽ N ⫺ 1, . . ., 0.
To determine the filter’s impulse response from the set of The realization associated to this filter is seen in Fig. 9, where
lattice coefficients ki, we use Eq. (21a) to determine the auxil- the recursive nature of its transfer function is easily observed.
iary polynomials Hi(z) and make Other FIR filters can also be realized with recursive struc-
tures that make use of some form of zero/pole cancellation,
hi = hi,N as exemplified here. This procedure, however, is somewhat
problematic in practice, as the quantization of the filter coef-
ficients or of the filter internal signals can lead to a nonexact
for i ⫽ 0, . . ., N ⫺ 1.
cancellation, which can cause filter instability.
The direct, cascade, and lattice structures differ from each
other with respect to a few implementation aspects. In gen-
eral, the direct form is used when perfect linear-phase is es- Frequency-Domain Form. The computation of the output
sential. Meanwhile, the cascade and lattice forms present bet- signal of an FIR filter in the direct form is performed as
ter transfer-function sensitivities with respect to coefficient

N−1
quantization, but their dynamic range may be an issue, as y(n) = hi x(n − i)
their states can reach very high levels, forcing signal scaling i=0
in fixed-point implementations (3,4).
If the input signal x(n) is known for all n, and null for n ⬍ 0
Recursive Form. FIR filters are often associated to nonre- and n ⬎ L, a different approach to compute y(n) can be de-
cursive structures, as the ones previously seen here. However, rived based on the discrete Fourier transform, which is usu-
there are some recursive structures that do possess an FIR ally implemented through an efficient algorithm commonly
referred to as the fast Fourier transform (FFT) (16). Complet-

ei–1(n) ei(n) 1
+ N
x(n) y(n)
+
ki ki –

z–N z–1
e~i–1(n) ~
ei(n)
z–1 + Figure 9. Recursive-form realization of a moving-average filter. The
reader should be able to identify the feedback loop with the z⫺1 block
Figure 8. Basic block for the lattice realization in Fig. 7. that originates the transfer-function denominator term in Eq. (23).
;;;;
FIR FILTERS, DESIGN 563

minimum attenuation, and 웆p and 웆s are the passband and


stopband edges, respectively. Based on these values, we de-
fine

;;
1 + δp 1 + δ 
p
DBp = 20 log10 dB (23a)
1 – δp 1 − δp
 H(ω )

DBs = 20 log10 (δs ) dB (23b)


Bt = (ωs − ωp ) rad/s (23c)

δs Basically, DBp and DBs are the passband maximum ripple,


0 웃p, and the stopband minimum attenuation Sp, expressed in
ωp ω s π decibel (dB), respectively. Also, Bt is the width of the transi-
ω (rad/s) tion band, where no specification is provided.
We now analyze the methods most used to convert this
Figure 10. A typical set of specifications for a low-pass filter includes
typical set of specifications into a realizable transfer function.
definition of the maximum passband ripple 웃p, the minimum stopband
attenuation 웃s, as well as the passband and stopband edges 웆p and
웆s, respectively. Closed-Form Methods: The Kaiser Window. The most impor-
tant class of closed-form methods to approximate a given fre-
quency response using FIR filters is the one based on win-
ing these sequences with the necessary number of zeros (zero- dow functions.
padding procedure) and determining the resulting (N ⫹ L)- A discrete-time frequency response is a periodic function of
element FFTs of hn, x(n), and y(n), designated here as H(k), 웆, and, thus, can be expressed as a Fourier series given by
X(k), and Y(k), respectively, we then have 

H̃(e jω ) = h(n)e− jωn
Y (k) = H(k)X (k) n=−∞

and then, where


 π /2
y(n) = FFT−1 {FFThn ]FFT[x(n)]} h(n) =
1
H̃(e jω )e jωn dω
2π −π /2
Using this approach, we are able to compute the entire se-
quence y(n) with a number of arithmetic operations propor- By making the variable transformation z ⫽ ej웆, we have
tional to log2(L ⫹ N), per output sample, as opposed to NL, as
in the case of direct evaluation. Clearly, for large values of N 

H̃(z) = h(n)z−n
and L, the FFT method is the more efficient one. n=−∞
In the above approach, the entire input sequence must be
available to allow one to compute the output signal. In this Unfortunately, however, this function is noncausal and of in-
case, if the input is extremely long, the complete computation finite length. These problems can be solved, for instance, by
of y(n) can result in a long input-output delay, which is objec- truncating the series symmetrically for 兩n兩 ⱕ (N ⫺ 1)/2, with
tionable in several applications. For such cases, the input sig- N odd, and by multiplying the resulting function by z⫺(N⫺1)/2,
nal can be sectioned, and each data block processed sepa- yielding
rately using the so-called overlap-and-save and overlap-and-
add methods, as described in (3,4,16). 
(N−1)/2 
N −1

H̃(z) ≈ H(z) = h(n)z−n− 2 (24)
n=−(N−1)/2
Approximation
As mentioned before, the approximation process searches for This approach, however, results in ripples known as Gibbs’
the transfer function that best fits a complete set of specifica- oscillations appearing near transition bands of the desired
tions determined by the application in hand. There are two frequency response. An easy-to-use technique to reduce these
major forms of solving the approximation problem: using oscillations is to precondition the resulting impulse response
closed-form methods or using numerical methods. Closed- h(n) with a class of functions collectively known as window
form approaches are very efficient and lead to very straight- functions. There are several members of this family of func-
forward designs. Their main disadvantage, however, is that tions, including the rectangular window, the Hamming win-
they are useful only for the design of filters with piecewise- dow, the Hanning (von Hann) window, the Blackman window,
constant amplitude responses. Numerical methods are based the Adams window, the Dolph–Chebyshev window, and the
on iterative optimization methods, and, therefore, can be very Kaiser window. The rectangular window is essentially the ap-
computationally cumbersome. Nevertheless, numerical meth- proximation introduced in Eq. (24). Due to its importance, we
ods often yield superior results when compared to closed-form concentrate our exposition here solely on the Kaiser window.
methods, besides being useful also for designing FIR filters Explanation of the other window functions can be found in
with arbitrary amplitude and phase responses. (1–6,17).
A description of a low-pass filter is represented in Fig. 10, The most important feature of a given window function is
where 웃p is the passband maximum ripple, 웃s is the stopband to control the transition bandwidth and the ratio between the
564 FIR FILTERS, DESIGN

ripples in the passband and stopband in an independent man- 7. Finally, compute


ner. The Kaiser window allows that control and is defined as
(4): 
N −1
 
(N−1)/2
H(z) = z− 2 [w(n)h(n)] z−n

 I0 (β )
n=−(N−1)/2
for |n| ≤ (N − 1)/2
w(n) = I0 (α) (25)
 High-pass, bandpass, or bandstop filters are designed in a
0 otherwise
very similar manner. A few variables, however, must be rede-
fined, as it is summarized in Table 2. For bandpass and band-
where 움 is an independent parameter, 웁 is given by stop filters, 웆p1 and 웆p2 are the passband edges with 웆p1 ⬍
  
2
웆p2, and 웆s1 and 웆s2 are the stopband edges with 웆s1 ⬍ 웆s2.
2n
β=α 1−
N−1 Numerical Methods. Numerical methods are often able to
approximate the required frequency response using lower or-
and I0(.) is the zeroth-order modified Bessel function of the der filter than their closed-form counterparts. The design of
first kind. FIR filters using numerical methods is dominated in the liter-
The ripple ratio resulting from the Kaiser window can be ature by the Chebyshev and the weighted-least-squares
adjusted continuously from the low value in the Blackman (WLS) approaches. The Chebyshev scheme minimizes the
window to the high value of the rectangular window by sim- maximum absolute value of a weighted error function be-
ply varying the parameter 움. In addition, the transition band- tween the prototype’s transfer function and a given ideal solu-
width can be varied with the filter length N. The most impor- tion. For that reason, Chebyshev filters are also said to satisfy
tant property of the Kaiser window is that empirical formulas a minimax criterion. The universal availability of minimax
are available relating the parameters 움 and N to any specific computer routines, has motivated their widespread use. The
values of ripple ratio and transition bandwidth. In that man- WLS approach, which minimizes the sum of the squares of
ner, given the definitions in Eq. (24), a filter satisfying these the weighted error function, is characterized by a very simple
specifications can be readily designed based on the Kaiser implementation. Its basic problem, however, results from the
window as (4,6): well-known Gibbs phenomenon which corresponds to large er-
ror near discontinuities of the desired response.
To understand the basic problem formulation of the nu-
1. Determine h(n) using the Fourier series, assuming an merical methods for approximating FIR filters, consider the
ideal frequency response transfer function associated to a linear-phase filter of length
N

1 for |ω| ≤ ωc
H̃(e jω
)= 
N−1
0 for ωc ≤ |ω| ≤ π H(z) = h(n) z−n
n=0

with 웆c ⫽ (웆p ⫹ 웆s)/2


and assume that N is odd, and h(n) is symmetrical. Other
2. Choose 웃 as the minimum of 웃p and 웃s. cases of N even or h(n) antisymmetrical can be dealt with in
3. Compute DBp and DBs with that value of 웃 in Eq. (24a) a very similar way and are not further discussed here. The
and Eq. (24b), respectively. frequency response of such filter is then given by
4. Choose the parameter 움 as follows:
(N −1 )
 H(e jω ) = e− jω 2 Ĥ(ω)

0 for DBs ≤ 21


0.5842(DB − 21)0.4 + 0.07886(DB − 21) where
s s
α=

 for 21 < DBs ≤ 50 τ0



 Ĥ(ω) = a(n) cos(ωn) (26)
0.1102(DBs − 8.7) for DBs > 50
n=0

5. Choose the value of D as follows: with ␶0 ⫽ (N ⫺ 1)/2, a(0) ⫽ h(␶0), and a(n) ⫽ 2h(␶0 ⫺ n), for
 n ⫽ 1, . . ., ␶0.
0.9222 for DBs ≤ 21 If e⫺j웆␶0H̃(웆) is the desired frequency response, and W(웆) is
D = DBs − 7.95 a strictly-positive weighting function, consider the weighted
 for DBs > 21
14.36 error function E(웆) defined in the frequency domain as

and then select the lowest odd value of the filter length E(ω) = W (ω)[H̃(ω) − Ĥ(ω)] (27)
N such that
The approximation problem for linear-phase nonrecursive
ωs D digital filters resumes to the minimization of some objective
N≥ +1
Bt function of E(웆) in such a way that

6. Determine w(n) using Eq. (25). |E(ω)| ≤ δ


FIR FILTERS, DESIGN 565

Table 2. Filter Definitions to Use With the Kaiser Window


Type Bt 웆c H(e j웆)

low-pass 웆p ⫺ 웆 s 웆c ⫽
웆p ⫹ 웆s
2 再
1, for F웆F ⱕ 웆c
0, for 웆c ⱕ F웆F ⱕ 앟

high-pass 웆 s ⫺ 웆p 웆c ⫽
웆p ⫹ 웆s
2 再
0, for F웆F ⱕ 웆c
1, for 웆c ⱕ F웆F ⱕ 앟
Bt
0, for F웆F ⱕ 웆c1


웆c1 ⫽ 웆p1 ⫺
min [(웆p1 ⫺ 웆s1), (웆s2 ⫺ 웆p2)] 2
bandpass 1, for 웆c1 ⱕ F웆F ⱕ 웆c2
Bt
웆c2 ⫽ 웆p2 ⫺ 0, for F웆F ⱕ 앟
2
Bt
1, for F웆F ⱕ 웆c1


웆c1 ⫽ 웆p1 ⫺
min [(웆s1 ⫺ 웆p1), (웆p2 ⫺ 웆s2)] 2
bandstop 0, for 웆c1 ⱕ F웆F ⱕ 웆c2
Bt
웆c2 ⫽ 웆p2 ⫺ 1, for F웆F ⱕ 앟
2

and then, Numerical Methods: The Chebyshev Approach. Chebyshev


filter design consists of the minimization over the set of filter
δ
|H(ω) − Ĥ(ω)| ≤ coefficients A of the maximum absolute value of E(웆); that is,
W (ω)
E(ω) ∞ = min max [W (ω)|H̃ (ω) − Ĥ(ω)|]
By evaluating the error function defined in Eq. (27), with A 0≤ω≤π
Ĥ(웆) as in Eq. (26), on a dense frequency grid with 0 ⱕ 웆i ⱕ
앟, for i ⫽ 1, . . ., MN, a good discrete approximation of E(웆) With the discrete set of frequencies, in Eq. (28), this minimax
can be obtained. For practical purposes, for a filter of length function becomes
N, using 8 ⱕ M ⱕ 16 is suggested. Points associated to the
E(ω) ∞ ≈ min max [W|H
H − UA
A|]
transition band are disregarded, and the remaining frequen- A 0≤ω i ≤π
cies should be linearly redistributed in the passband and
stopband to include their corresponding edges. Thus, the fol- If we refer to Fig. 10, the minimax method effectively opti-
lowing vector equation results mizes

E = W (H
H − UA
A) DBδ = 20 log10 min(δp , δs ) dB

where This problem is commonly solved with the Parks-McClellan


algorithm (18–20) or some variation of it (21,22). These meth-
E = [E(ω1 ) E(ω2 ) . . . E(ω MN )]T (28a) ods are based on the Reméz exchange routine, the solution of
W = diag[W (ω1 ) W (ω2 ) . . . W (ω MN )] (28b) which can be tested for optimality using the alternation theo-
rem as described in (18). An important feature of minimax
H (ω1 ) H̃(ω2 ) . . . H̃(ω MN )]
H = [H̃ T
(28c)
  filters is the fact that they present equiripple errors within
1 cos(ω1 ) cos(2ω1 ) ... cos(τ0 ω1 ) the bands, as can be observed in Fig. 11.
1 cos(ω2 ) cos(2ω2 ) ... cos(τ0 ω2 
 
U = .. .. .. .. ..  (28d)
 Numerical Methods: The Weighted-Least-Squares Ap-
. . . . .  proach. The weighted least-squares (WLS) approach mini-
1 cos(ω MN ) cos(2ω MN ) ... cos(τ0 ω MN ) mizes the function
 π  π
A = [a(0) a(1) . . . a(τ0 )] T (28e)
E(ω) 22 = |E(ω)|2 dω = W 2 (ω)|H̃(ω) − Ĥ(ω)|2 dω
0 0
with M ⬍ M, as the original frequencies in the transition
band were discarded. For the discrete set of frequencies, in Eq. (28), this objective
The design of a lowpass digital filter as specified in Fig. 10, function is estimated by
using either the minimax method or the WLS approach, is
achieved making the ideal response and weight functions re- E(ω) 22 ≈ E T E
spectively equal to
 the minimization of which is achieved with
1 for 0 ≤ ω ≤ ωp
H̃(ω) = A ∗ = (U T W 2U )−1U T W 2H
0 for ωs ≤ ω ≤ π
If we refer to Fig. 10, the WLS objective is to maximize the
and
passband-to-stopband ratio (PSR) of energies

1 for 0 ≤ ω ≤ ωp E 
W (ω) = PSR = 10 log10
p
dB
δp /δs for ωs ≤ ω ≤ π Es
566 FIR FILTERS, DESIGN

0 implementation of the latter. As applied to the nonrecursive


digital-filter design problem, the L2 Lawson algorithm is im-
0.5
–10 plemented by a series of WLS approximations using a time-
varying weight matrix Wk, the elements of which are calcu-
0
–20
lated by (23)
–0.5 2
Wk+1 (ω) = Wk2 (ω)|Ek (ω)|
 H(ω ) (dB)

–30
–1
0 0.1 0.2 0.3 0.4 Convergence of the Lawson algorithm is slow, as usually 10
–40 to 15 WLS iterations are required in practice to approximate
the minimax solution. Accelerated versions of the Lawson al-
–50 gorithm can be found in (23–25).
FIR filters can be designed based solely on power-of-two
–60 coefficients. This is a very attractive feature for VLSI imple-
mentations, as time-consuming multiplications are avoided.
–70 This approach is a very modern research topic, and interested
0 0.5 1 1.5 2 2.5 3 readers are referred to (12,26,27).
ω (rad/s)

Figure 11. Equiripple bands (passband in detail) are typical for FIR BIBLIOGRAPHY
filters approximated with the Chebyshev approach.
1. L. R. Rabiner and B. Gold, Theory and Application of Digital Sig-
nal Processing, Englewood Cliffs, NJ: Prentice-Hall, 1975.
where Ep and Es are the passband and stopband energies, re- 2. J. G. Proakis and D. G. Manolakis, Introduction to Digital Signal
spectively; that is, Processing, New York: Macmillan, 1988.
 ωp
3. A. V. Oppenheim and R. W. Schafer, Discrete-Time Signal Pro-
cessing, Englewood Cliffs, NJ: Prentice-Hall, 1989.
Ep = 2 |Ĥ(ω)|2 dω
0 4. A. Antoniou, Digital Filters: Analysis, Design, and Applications,
 π 2nd ed., New York: McGraw-Hill, 1993.
Es = 2 |Ĥ(ω)|2 dω
ωs
5. L. B. Jackson, Digital Filters and Signal Processing, 3rd ed., Nor-
well, MA: Kluwer, 1996.
A typical lowpass nonrecursive digital filter designed with the 6. D. J. DeFatta, J. G. Lucas, and W. S. Hordgkiss, Digital Signal
WLS method is depicted in Fig. 12, where the large ripples Processing: A System Design Approach, New York: Wiley, 1988.
near the band edges are easily identified. 7. S. M. Kay, Modern Spectral Estimation, Englewood Cliffs, NJ:
In 1961, Lawson derived a scheme that performs a Chebys- Prentice-Hall, 1988.
hev approximation as a limit of a special sequence of weighted 8. S. S. Haykin, Adaptive Filter Theory, 2nd ed., Englewood Cliffs,
least-p (Lp) approximations with p fixed. The particular case NJ: Prentice-Hall, 1991.
with p ⫽ 2 thus relates the Chebyshev approximation to the 9. B. Widrow and S. Stearns, Adaptive Signal Processing, Engle-
WLS method, taking advantage of the substantially simpler wood Cliffs, NJ: Prentice-Hall, 1985.
10. P. S. R. Diniz, Adaptive Filtering: Algorithms and Practical Imple-
mentation, Norwell, MA: Kluwer, 1997.
0 11. G. Strang and T. Nguyen, Wavelets and Filter Banks, Wellesley,
MA: Wellesley-Cambridge Press, 1996.
0.5 12. S. K. Mitra and J. E. Kaiser (eds.), Handbook for Digital Signal
–10
Processing, New York: Wiley, 1993.
0
13. R. E. Crochiere and L. R. Rabiner, Multirate Digital Signal Pro-
–20
cessing, Englewood Cliffs, NJ: Prentice-Hall, 1983.
–0.5
14. R. Boite and H. Leich, A new procedure for the design of high
 H(ω ) (dB)

–30 order minimum phase FIR digital or CCD filters, Signal Process,
–1
0 0.1 0.2 0.3 0.4 3: 101–108, 1981.
–40 15. Y. Kamp and C. J. Wellekens, Optimal design of minimum-phase
FIR filters, IEEE Trans. Acoust. Speech Signal Process., ASSP-
–50 31: 922–926, 1983.
16. E. O. Brigham, The Fast Fourier Transform and Its Applications,
–60 Englewood Cliffs, NJ: Prentice-Hall, 1988.
17. J. W. Adams, A new optimal window, IEEE Trans. Signal Pro-
cess., 39: 1753–1769, 1991.
–70
0 0.5 1 1.5 2 2.5 3 18. T. W. Parks and J. H. McClellan, Chebyshev approximation for
ω (rad/s) nonrecursive digital filters with linear phase, IEEE Trans. Circuit
Theory, CT-19: 189–195, 1972.
Figure 12. Large errors near band discontinuities (passband in de- 19. J. H. McClellan, T. W. Parks, and L. R. Rabiner, A computer
tail) are typical for FIR filters designed with the weighted-least- program for designing optimum FIR linear phase digital filters,
squares approach. IEEE Trans. Audio Electroacoust., AU-21: 506–526, 1973.
FIR FILTERS, WINDOWS 567

20. L. R. Rabiner, J. H. McClellan, and T. W. Parks, FIR digital filter


design techniques using weighted Chebyshev approximation,
Proc. IEEE, 63: 595–610, 1975.
21. J. W. Adams and A. N. Wilson, Jr., On the fast design of high-
order FIR digital filters, IEEE Trans. Circuits Syst., CAS-32:
958–960, 1985.
22. D. J. Shpak and A. Antoniou, A generalized Reméz method for
the design of FIR digital filters, IEEE Trans. Circuits Syst., 37:
161–173, 1990.
23. J. R. Rice and K. H. Usow, The Lawson algorithm and extensions
Mathematics of Computation, in press.
24. Y. C. Lim et al., A weight least squares algorithm for quasi-equir-
ipple FIR and IIR digital filter design, IEEE Trans. Signal Pro-
cess., 40: 551–558, 1992.
25. R. H. Yang and Y. C. Lim, Efficient computational procedure for
the design of FIR digital filters using WLS technique, IEE Proc.-
G, 140: 355–359, 1993.
26. Y. C. Lim and S. R. Parker, Discrete coefficients FIR digital filter
design based upon an LMS criteria, IEEE Trans. Circuits Syst.,
CAS-30: 723–739, 1983.
27. T. Saramaki, A systematic technique for designing highly selec-
tive multiplier-free FIR filters, Proc. IEEE Int. Symp. Circuits
Syst., 1991, pp. 484–487.

SERGIO L. NETTO
GELSON V. MENDONÇA
Federal University of Rio de Janeiro
FREQUENCY SYNTHESIZERS 775

FREQUENCY SYNTHESIZERS

Frequency synthesis is the engineering discipline dealing


with generation of a single or of multiple tones, all derived
from a common time-base, always a crystal oscillator.
Traditionally, frequency synthesis (FS) generated a single
tone with variable frequency or amplitude. There are new ap-
plications, especially for testing and simulation, that require
multitone generation and even arbitrary wave generation,
which relate to digital frequency synthesis. In the last two
decades, FS has evolved from mainly analog to a mix of ana-
log, radio-frequency (RF), digital, and digital signal pro-
cessing (DSP) technologies.
There are three major FS techniques:

1. Phase Lock Loop. Also known as indirect synthesis, the


most popular FS technique, based on a feedback mecha-
nism that enables simplicity and economics via digital
division and analog processing.
2. Direct Analog. An analog technique using multiplica-
tion, division, and mix-filtering, offers excellent signal
quality and speed.
3. Direct Digital. DSP method that generates and manip-
ulates the signal in the numbers (digital) domain and
eventually converts to its analog form via a digital to
analog converter.

J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
776 FREQUENCY SYNTHESIZERS

Table 1. Integrated Circuits Used in Phase Lock Loops L(fm)


AL 9.71 dBm
Fujitsu Semiconductors: MB15xx
National Semiconductors: 23xx Attenuation 28 dB
10.00 dB/div Test complete
Philips: SA7025, SA8025, UMA1014
Motorola: MC145xxx Sample
Center frequency
Plessey: SP55xx, SP56xx, SP88xx
1.675 006 24 GHz
Texas Instruments: TRF2020, 2050, 2052

Loop

Frequency synthesis is a mature technology yet evolving rap-


VCO
idly. The traditional analog designs are supplemented with
ever-increasing digital and DSP methods and technologies. VCO
These allow a high level of integration, lower power, manufac-
turing uniformity (and thus yield uniformity), and low cost. –70
Though a novel technology only 20 years ago, FS and espe-
cially phase lock loop (PLL) are very popular, accessible, and
economical. Traditionally, FS started to enter the field of elec-
tronics as quite bulky and expensive instruments, using mul-
tiple crystals and complex analog processing functions such Center 1.675 006 24 GHz Span 10.50 kHz
as mixing, filtering, and division. Better architectures and AB 110 Hz VB 300 Hz ST 2.773 fm
simpler designs have replaced these. Accelerating integrated
Figure 1. Phase noise of a typical synthesizer.
circuit (IC) technology and high-level integration silicon now
offer complete synthesizers, especially PLL and direct digital
synthesizers (DDSs) on a single chip and sometimes more SYNTHESIZER PARAMETERS
than one on a single dice.
In the engineering realm, all signals generated are ampli- Step Size
fied and filtered noise. There are no deterministic signals in
nature. The cardinal issue for FS is therefore how clean these This is also known as resolution, and it measures the smallest
signals are and how close they come to a theoretical sin(웆t). frequency increment the synthesizer can generate. As an ex-
Such a theoretical signal will have all of its energy in a single ample, an FS used in a North American cellular phone
spectral line. In reality, signal spectra have a noise distribu- (AMPS—American Mobile Phone Service—standard), or
tion caused by amplitude modulation (AM) noise and phase Time Division Multiple Access (TDMA), has 30 kHz resolu-
tion. In frequency modulation (FM) broadcasting radio, the
perturbation, also known in FS as phase noise. Phase noise
step is usually 100 kHz.
can be described by its spectral properties, usually its noise
distribution designated in dBC/Hz, or its time equivalent,
Phase Noise
also known as jitter. Spectral distribution L(fm) details the
exact spectral shape of the signal’s noise and is defined by the This parameter was already mentioned before. The issue of
single side-band energy level of the signal at a specific offset signal integrity, or otherwise the issue of the signal’s ‘‘cleanli-
from the carrier relative to the signal’s total energy. This de- ness,’’ is the major challenge for FS designers. Long-term
tailed technique has become the de facto method to describe noise deals mainly with the accuracy, drift, and aging of the
and characterize phase noise (see Fig. 1). crystal, and short-term noise deals with rapid phase fluctua-
For example, a 1000 MHz signal with a spectral noise tions.
characteristic of ⫺70 dBC/Hz (dB is used almost exclusively
because of the large ratios involved) at an offset of 1 kHz from Spurious Responses
the carrier means that at 1 kHz from the carrier (either These define the level of the discrete interferences, noise
1000.001 or 999.999 MHz), the single side-band noise power sources that are periodic and therefore exhibit spectral lines
contained in 1 Hz bandwidth is 10⫺7 compared with the sig- rather than a spectrum. These noise sources emerge from ra-
nal’s total power. The function L(fm) that defines the noise diation—for example, line spurious responses at 60 Hz
distribution spectrum is always measured in decibel of the (US)—or from its multiples that always exist in the air and
noise level. Note that the noise is part of the signal and that power supplies, as well as from other radiated energy that
the integral energy under the L(fm) curve is the signal total exists because of the enormous wireless traffic that is emerg-
power (Fig. 1). ing and growing. Other sources are mixing products in the
radio or synthesizer, nonlinearities, and ground currents.

Switching Speed
Table 2. Integrated Circuits Used in Direct Digital Synthesis
This defines the amount of time it takes the synthesizer to
Stanford Telecom: STEL-11xx and STEL-21xx
hop from a frequency F1 to another F2. This parameter is usu-
Analog Devices: AD7008, AD9830/9831, AD9720/9721
ally measured in two ways: by checking when the new fre-
Sciteq Communications: SEI-432, SEI-631, DCP-1
quency settles to within a frequency tolerance (say within 5
FREQUENCY SYNTHESIZERS 777

kHz), or (a more strict requirement) by checking the time it gation delay. Speed ranges from ⬍1 애s to 50 애s. Because
takes to settle to within a phase tolerance, in most cases to there are no mechanisms to clean the signals at high offset
within 0.1 radian. The phase settling requirement can be as frequencies from carrier, their noise floor—where the phase
much as three to five times longer than the frequency set- noise levels—is comparatively (to PLL) high.
tling. Direct analog synthesizers are available from dc to 26
GHz, are usually quite bulky and expensive, exhibit excellent
Phase Transient phase noise and switching speed, and found applications in
communications, radar, imaging, magnetic resonance im-
This defines the way the signal’s phase behaves in transition
aging, simulators, and ATE.
from frequency F1 to frequency F2. There are mainly three
ways to switch:
Direct Digital Synthesis (DDS)
1. It might be a random parameter, so the phase is un- DDS is a DSP technology based on the sampling theorem. The
known after switching. principle of its operation is based on storing the digital values
2. The phase can switch continuously, meaning that when of sine wave amplitude in memory, then flushing these sam-
switching from F1 to F2, there will be no disturbance in ples out by addressing the memory with an indexer. The in-
the phase. Such switching requirements are necessary dexer is always a digital accumulator, allowing the phase
when generating linear FM signals or minimum shift ramp (memory address) to change its slope to any value (com-
keying (MSK) modulation, or other phase modulation pared with a counter that can index the RAM or ROM mem-
waveforms. ory only by increments of 1).
As a consequence, the accumulator output can be interpre-
3. In the case of phase memory, if switched from F1 to F2
ted as a signal phase, 웆t (actually 웆nT, where T is clock time,
and then back to F1, the signal’s phase will be as if the
since it is sample data), with a variable slope given by the
switching did not occur. This is useful in coherent detec-
accumulator control. For example, if a 32 bit binary accumu-
tion radars and frequency hop communications.
lator, which performs the function
Frequency Range Sn = Sn−1 + W (Sn is the output of sample n, W is the input)
This defines the frequency band the FS covers. (1)

Other Parameters is addressed with W ⫽ 0, the output will not change, signi-
fying direct current (dc) signal. However, if W ⫽ 1, the accu-
Other parameters are not unique to FS; among them are out- mulator will take 232 clock ticks to come back to its original
put impedance, power flatness, size, power consumption, envi- state. So a complete cycle of the phase from ␾ ⫽ 0 (accumula-
ronment, and the like. tor is 0) to 2앟 (accumulator is full) takes 232 ticks. Generally,
The main tools used in FS are as follows: multiplication by a DDS output frequency is given by
comb generation; addition and subtraction by mix and filter-
ing; and division (digital) and feedback for PLL. Another Fo = FckW/ACM (2)
cardinal principle in FS is as follows: Multiplication by N cor-
rupts phase noise and spurious by N2 or 20 log(N) dB. Divi- where Fck is the clock frequency, W is the input control, ACM
sion improves by the same ratio. is the accumulator size, and W ⬍ ACM/2.
For ACM ⫽ 232, Fck ⫽ 20 MHz, and W ⫽ 1, output fre-
quency is given by 0.00465 . . . Hz, which is also the synthe-
SYNTHESIS TECHNIQUES
sizer resolution, its smallest step.
The read-only memory (ROM) output is connected to a dig-
Direct Analog Synthesis
ital-to-analog converter (DAC) which generates the analog
This method, the first to be used in FS, derives the signals signal smoothed by the output filter (see Fig. 2). According to
directly from the reference—as compared to PLL, which it the sampling theorem, the maximum output frequency is
does indirectly. Direct analog uses building blocks such as Fck /2, also known as Nyquist frequency.
comb generators, mix and filter, and dividers as the main The various waveforms and modulation points are shown.
tools. Many direct analog synthesizers use similar repeating Because the accumulator output is the signal phase, phase
blocks for resolution. These blocks usually generate a 10 MHz modulation can be applied; amplitude modulation can be ap-
band in the ultrahigh frequency (UHF) range, in 1 MHz steps, plied at the output of the ROM, the amplitude point.
and after division (mostly by 10) they are used as an input DDS is thus a numbers technology, related to frequency
to the next similar stage. Every stage divides by 10, thereby only by the clock. The artifacts caused by sampling generate
increasing the resolution arbitrarily by as many stages as the many (mirror) frequencies as shown in the sampling theorem;
designer chooses to use. Most direct analog synthesizers are these are usually referred to as aliasing frequencies.
instruments and traditionally use binary coded decimal DDS offers simplicity, economy, integration, and very fast
(BCD) for control. This is losing importance because a com- switching speed. The digital nature of the technology offers
puter controls all modern applications. design flexibility and simplicity, low-cost manufacturing, and
Signals are therefore very clean because they are derived very high density (small dice).
directly from the crystal; however, complexity is high and res- As sampled data, DDS suffers the common problems of
olution comes at a high price. Direct analog synthesizers also quantization. The level of accuracy determines the dynamic
achieve fast switching speed, limited mainly by filters propa- range of the design, in most cases 10 to 12 bits. The most
778 FREQUENCY SYNTHESIZERS

Clock

Sine map Digital-to- Output


Phase

;;;;;;
Frequency Low-pass
accumulator in analog
control filter
ROM or RAM converter

(a) (b) (c) (d)

;;;;;;
On/off FM φM
Digital
AM
Analog
AM/FM/φ M

D/A Low-pass
Accumulator Memory converter Deglitcher filter
Frequency
control

Clock
Figure 2. Direct digital synthesizer block diagram and functionality.

significant weakness of DDS are limited bandwidth (clock fre- also known as indirect synthesis, is a negative feedback loop
quencies are in the 400 MHz for CMOS devices and 1500 structure that locks the phase of the output signal after divi-
MHz for GaAs) and spurious response. Spurious responses sion to the reference. Synthesis is simple because the variable
are generally limited to ⫺6D, where D is the number of bits counter (divider) N allows the generation of many frequen-
used in the DAC. Thus a 10 bit DDS (using a 10 bit DAC) cies Fo
usually be limited to ⫺60 dBC spurious responses. These spu-
rious responses are either periodicities generated in the quan- Fo = NFr (Fo = output frequency, Fr = reference) (3)
tization process or intermodulation products generated by the
DAC, the only analog part. As a rule of thumb, spurious per-
formance deteriorates with increased output frequency or oth-
erwise with decrease in samples per cycle. Arbitrary wave-
R2 C
form generators (AWGs) are a superset of DDS. These enable
the memory to be loaded with arbitrary wave samples, and
then they sequentially flush the wave samples out. AWGs R1 Active
found use in simulation and testing.

Phase Lock Loop


PLL is the technology of choice for generating radio frequen- R1
cies and microwave frequencies for radio applications. PLL,

R2
VCO
ϕo C
H(s) Kv /s
Passive
K dδϕ
Phase detector
1/N
δϕ = ϕ i – ϕ o/N

ϕi

Figure 3. Phase lock loop block diagram. Figure 4. Second-order loop circuits.
FREQUENCY SYNTHESIZERS 779

by changing the division ratio N. Changing N is made easy bandwidth, VCO noise is suppressed by the loop. PLL noise
by the use of dual modulus devices, capable of dividing by two sources within the loop are multiplied by 20 log(N), which can
(and sometimes more) ratios. For example, 64/65 or 128/129 be very significant when N is large. Typical phase detector
are very common. noise is in the ⫺150 dBC/Hz range for well-designed circuits.
PLL chips are available in a great variety, in low power An advanced PLL technology known as fractional-n allows
and very low cost, and include all the functionality necessary lowering N and generating step size smaller than Fr (hence
with the exception of an external crystal, voltage controlled fractional), thereby gaining phase noise performance. The
oscillator (VCO) and loop filter (mainly resistors and capaci- fractional principle requires dynamic changes in division ra-
tors). Convenience, economy, simplicity, and ease of use made tio N, thereby causing spurious signals, which are compen-
PLL a household name used in television, radio, consumer sated by either extra filtering, analog feed forward correction
electronics, cellular phones, and Satcom terminals, practically (open loop), or digital waveshaping techniques.
in almost any conceivable electronics radio (see Fig. 3).
When locked, PLL can be assumed to be a linear system, BIBLIOGRAPHY
and classical feedback theory can be applied for analysis. The
most common PLL structure is of second order, and there are R. E. Best, Phase Lock Loops: Theory, Design and Applications, New
two poles in the transfer function denominator: one from the York: McGraw-Hill, 1984.
VCO, with a Laplace domain transfer function given by Kv /s F. M. Gardner, Phaselock Techniques, New York: Wiley, 1980.
(Kv is the VCO constant, phase is the integral of frequency) B.-G. Goldberg, Digital Techniques in Frequency Synthesis, New York:
and one from the loop filter having a memory device (capaci- McGraw-Hill, 1996.
tor). The loop transfer function is given by V. Manassewitsch, Frequency Synthesizers: Theory and Design, New
York: Wiley, 1983.
2ξ ωn s + ωn2
ϕo /ϕi (s) = (4) B. Miller and B. Conley, A multiple modulator fractional divider,
s2 + 2ξ ωn s + ωn2 IEEE Trans. Instrum. Meas., 40: 578–583, 1991.
U. L. Rohde, Digital PLL Frequency Synthesizers: Theory and Design,
웆n is the natural frequency and ␰ is the damping, both bor- Englewood Cliffs, NJ: Prentice-Hall, 1983.
rowed from classical control theory. 웆n and ␰ are given by (for R. C. Stirling, Microwave Frequency Synthesis, Englewood Cliffs, NJ:
the active loop structure shown, Fig. 4) Prentice-Hall, 1987.
J. Tierney, C. M. Radar, and B. Gold, A digital frequency synthesizer,
ωn = (K/T1 N)0.5 and ξ = ωn T2 /2 (5) IEEE Trans. Audio Electroacoust., AU-19: 48–57, 1971.

T1 ⫽ R1C, T2 ⫽ R2C, K ⫽ KvKp /N, Kv (Hz/V), and Kp (V/radian) BAR-GIORA GOLDBERG


are VCO and phase detector constants. Sciteq Electronics, Inc.
Overall performance is controlled by noise sources from
phase detector, divider, and other active components within
the loop bandwidth and by the VCO outside. Within the loop FRESNEL’S FORMULAE. See OPTICAL PROPERTIES.
632 HARMONIC OSCILLATORS, CIRCUITS

HARMONIC OSCILLATORS, CIRCUITS


In electronics a harmonic oscillator is an electronic circuit
that generates a sinusoidal signal. This signal can either be
a voltage, a current, or both. Harmonic oscillators are not re-
stricted to electronics. They can be found in many other disci-
plines. However, they always can be described by similar
mathematical equations. A very familiar harmonic oscillator
is the harmonic pendulum, which is found in many high
school physics textbooks. It is a mechanical system consisting
of a mass suspended by a fixed length thread. Figure 1 illus-
trates this. When mass m is slightly separated from its equi-
librium point (so that angle ␪ in Fig. 1 is sufficiently small)
and set free, the earth’s gravitational force will make it move
toward its resting point. When the mass reaches the resting
point it has gained some speed that will make it keep running
toward the other side of the equilibrium point, until it stops
and comes back. And so it will oscillate from one side of the
equilibrium point to the other. What happens is that by ini-
tially departing the mass from its equilibrium point, an exter-
nal agent is increasing its potential energy. When it is set
free the action of the earth’s gravitational force, together with
the constraint imposed by the fixed length thread, will gradu-
ally change this initial increase of potential energy into ki-
netic energy. At the equilibrium point all potential energy
supplied initially by the external agent is in form of kinetic
energy and speed is maximum. At the points of maximum
elongation the kinetic energy (and speed) is zero and the orig-
inal potential energy is recovered. The pendulum oscillates at
constant frequency and, if there is no friction, it keeps oscil-
lating indefinitely with constant maximum elongation or am-
plitude. However, in practice friction cannot be completely
suppressed. Consequently, in order to have a pendulum oscil-
lating permanently there must be a way of supplying the en-
ergy lost by friction.
In an electronic oscillator there is also a mechanism by
which energy of one type is changed into another type (energy
can also be of the same type but interchanged between differ-

Figure 1. The mechanical pendulum behaves as a harmonic oscilla-


tor in the limit of very small maximum angle deviations.

J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
HARMONIC OSCILLATORS, CIRCUITS 633

The solution to this second order time domain differential


equation is

+ vC (t) = vC (0) cos(ωt) − iL (0) L/C sin(ωt) (5)
vC iL
– where vC(0) is the capacitor voltage at time zero, iL(0) is the
inductor current at time zero and 웆 is the angular frequency
of the resulting oscillation whose value is

1
Figure 2. An ideal capacitor connected in parallel with an ideal in- ω= √ (6)
ductor form a harmonic oscillator. LC

Using Eqs. (3), (5), and (6) in Eq. (1) results in



ent devices). Figure 2 shows a capacitor connected in parallel iL (t) = iL (0) cos(ωt) + vC (0) C/L sin(ωt) (7)
with an inductor. At equilibrium there is no voltage across
the capacitor and no current through the inductor. However, By means of basic trigonometric manipulations Eqs. (5) and
if by some means, an initial voltage (or equivalently, charge) (7) can be rewritten as
is supplied to the capacitor, its stored energy increases. The
inductor provides a path to discharge the capacitor so that a vC (t) = Vmax cos(ωt + ϕ)
current builds up through the inductor. However, by the time  (8)
the capacitor has zero charge the current flowing through the iL (t) = Vmax C/L sin(ωt + ϕ)
inductor is maximum and the inductor stores all the original
capacitor energy in the form of magnetic flux energy. The con- where,
sequence is that the current keeps flowing through the induc- 
tor, charging now the capacitor oppositely, until the current is Vmax = vC2 (0) + i2L (0)L/C
zero. If there are no resistive losses this process will continue  
i (0)  (9)
indefinitely: capacitor and inductor keep interchanging their ϕ = arctan L L/C
vC (0)
stored energies. The voltage across the capacitor will be si-
nusoidal in time, and so will be the current through the in-
ductor. The amplitude (or maximum elongation) of the voltage Equation (8) reveals that vC(t) and iL(t) have a phase shift of
oscillations is equal to the initial voltage supplied to the ca- 앟/2 radians. This is usually referred to as vC(t) and iL(t) being
pacitor. In practice both capacitor and inductor have resistive in quadrature, and the resonator in Fig. 2 as being a quadra-
losses, so that in order to keep the system oscillating indefi- ture resonator or oscillator. Note that the maximum oscilla-
nitely there must be a way of supplying the energy being lost. tion amplitudes (Vmax or Vmax兹C/L, respectively) depend on
the initial conditions vC(0) and iL(0) ⫽ ⫺C v̇C(0).
Usually, differential equations like Eq. (4) are not solved
IDEAL RESONATOR MATHEMATICAL MODEL directly in the time domain but in the frequency domain. For
this, let us take the Laplace transform of Eq. (4)
In Fig. 2 the capacitor voltage vC and its current iC are related
VC (s)
mathematically by the expression s2VC (s) − svC (0) − v̇C (0) + =0 (10)
LC
dvC
iC = C (1) where VC(s) is the Laplace transform of vC(t). Since iL(0) ⫽
dt
⫺C v̇C(0), Eq. (10) can be rewritten as
where C is the capacitor’s capacitance. For the inductor, its s 1 iL (0)
voltage vL and current iL are related by VC (s) = v (0) − 2 (11)
s2 + 1/LC C s + 1/LC C
diL
vL = L (2) Taking the inverse Laplace transform of Eq. (11) results in
dt Eq. (5). Usually in circuits, the initial conditions involved in
the Laplace transform are ignored and Eq. (10) is simplified
where L is the inductor’s inductance. Besides this, the circuit to
of Fig. 2 imposes the following topological constraints
1
vC = vL s2 + =0 (12)
(3) LC
iC = −iL
which has the following solutions
Solving Eqs. (1–3) yields
s1 = jω, s2 = − jω
2
d vC 1 1 (13)
+ v =0 (4) ω= √
dt 2 LC C LC
634 HARMONIC OSCILLATORS, CIRCUITS

Solutions s1 and s2 are called the poles of the system, and in is negligible and one can consider that the system has stopped
this case the two poles are complex conjugate and are purely oscillating. Consequently, in practice, the circuit of Fig. 2 is
imaginary (their real part is zero). In circuits, people don’t not useful for building an oscillator.
take the inverse Laplace transform to know the solution. Imagine that somehow we could make RL or RC (or both)
They know that if a system has a pair of purely imaginary negative, so that b ⬍ 0. A negative resistance behaves as an
poles the signals have a sinusoidal steady state whose ampli- energy source that replaces the energy dissipated by positive
tude depends on the initial conditions. resistances. In this case the poles would have a positive real
part and the amplitude of the oscillations
REAL RESONATOR MATHEMATICAL MODEL A(t) = Vmax e−bt/2 (20)

As mentioned earlier, the circuit of Fig. 2 is ideal. In practice would increase exponentially in time, assuming Vmax ⬆ 0 (due
there will always be resistive losses in the capacitor, in the to noise Vmax cannot be exactly zero all the time). This would
inductor, or in both. Either introducing a small resistance RL yield an oscillator with initial startup but that would be un-
in series with the inductor, a large resistance RC in parallel stable, because its amplitude would be ‘‘out of control.’’ What
with the capacitor, or both can model this. Solving such a circuit designers do to build oscillators with stable amplitude
circuit yields the following time domain differential equation is to make the term b in Eq. (18) depend on the instantaneous
oscillation amplitude A(t),
d 2 vC (t) dv (t)
2
+b C + ω2 vC (t) = 0 (14)
dt dt b(t) = b(A(t)) (21)

with and in such a way that b increases with A, b is negative for


A ⫽ 0 (to ensure initial startup), and b becomes positive above
1 R
b= + L a certain amplitude. This is called amplitude control. For in-
RCC L stance, assume that by adding some special circuitry to Fig.
(15)
1 + R /R 2 we are able to make
ω2 = L C
LC
b(A) = −b0 + b1 A (22)
The solution to Eq. (14) is
where b0 and b1 are positive constants (note that A is always
vC (t) = Vmaxe−bt/2 cos(ωo t + ρ) (16) positive). Initially, if A ⫽ 0, b ⫽ ⫺b0 is negative and the real
part of the poles is positive: amplitude A(t) increases exponen-
where 웆o2 ⫽ 웆2 ⫺ (b/2)2. Parameters Vmax and ␳ can be found tially with time. As A(t) increases b will eventually become
from the initial conditions vC(0) and v̇C(0), positive (poles with negative real part) and this will decrease
the amplitude A(t). The consequence of these two tendencies
vC (0) is that a steady state will be reached for which b ⫽ 0 and the
Vmax =
cos ρ amplitude is constant. Solving Eq. (22) for b ⫽ 0 yields the
 b  value of the steady state oscillation amplitude Ao,
v̇C (0) + vC (0) (17)
 2 
ρ = − arctan   b0
ωo vC (0) Ao = (23)
b1

However, circuit people prefer to solve Eq. (14) in the fre- Note that, as opposed to the ideal resonator, the steady state
quency domain by taking its Laplace transform, amplitude is independent of any initial conditions.
In general, a harmonic oscillator does not have to be a sec-
s2 + bs + ω2 = 0 (18) ond order system like the case of Eq. (14) or Eq. (18). It can
have any order. What is important is that it has a pair of
The solution to this equation provides the following poles complex conjugate poles whose real part can be controlled by
 the oscillation amplitude (so that the real part becomes zero
 2
b b b in the steady state), and that the rest of the poles (either com-
s1 = − + jω 1 − = − + jωo
2 2ω 2 plex conjugate or not) have negative real parts. The way b
 (19) depends on A does not have to be like in Eq. (22). Strictly
 2
b b b speaking, the conditions are
s2 = − − jω 1 − = − − jωo
2 2ω 2
b(A = 0) = −b0 < 0 for initial startup
which are two complex conjugate poles with a negative real b>0 for some A (24)
part. Circuit people know that when a system has a pair of db(A)
>0 for stable amplitude control
complex conjugate poles with a negative real part, the system dA
oscillates in a sinusoidal fashion with an amplitude that van-
ishes after some time. This is what Eq. (16) shows. The ampli- This will ensure stable oscillator operation. In what follows
tude of the oscillations A(t) ⫽ Vmaxe⫺bt/2 decreases exponen- we will concentrate on second order systems and will provide
tially with time. After a few time constants 2/b the amplitude two different ways of performing amplitude control.
HARMONIC OSCILLATORS, CIRCUITS 635

AMPLITUDE CONTROL BY LIMITATION Rn

Iin
A very widely used method for oscillator amplitude control is
by limitation. This method usually is simple to implement, so
simple that many times it is implicit in the components used Vin
to build the resonator with initial startup. This makes practi- x2
cal circuits easy to build, although many times people don’t
understand the underlying amplitude control mechanism.
Let us consider the ideal resonator of Fig. 2 with an addi- (a)
tional resistor Rp in parallel to make it real. In order to assure
initial startup, let us put a negative resistor in parallel also. Vin +
Figure 3(a) shows a very simple way to implement one using Vo = 2 × Vin

a real (positive) resistor and a voltage amplifier of gain larger
than one (for example, two). Current Iin will be
R
V R
Iin = − in (25)
Rn

and the structure Resistor–Amplifier behaves as a grounded


(b)
negative resistor of value ⫺Rn. Figure 3(b) shows how to build
the amplifier using an operational amplifier and resistors. Rn
Connecting this negative resistor in parallel with a positive
one of value Rp ⫽ Rn ⫹ R⑀ (with R⑀ Ⰶ Rn), the equivalent paral-
lel resistance would be +

R2
Req =− n (26) Rn-R
R C L R
R

which is a very high but negative resistance. Connecting this


equivalent resistor in parallel with the ideal resonator of Fig.
2 provides an oscillator with initial startup. This is shown in (c)
Fig. 3(c).
Due to the fact that the operational amplifier’s output volt- Iin
age cannot go above its positive power supply VDD or below its
negative one VSS, the negative resistance emulator circuit just 2
described works as long as the amplifier output is below VDD Rn
and above VSS, or equivalently voltage Vin is between VDD /2 VSS /2
and VSS /2. It is easy to compute the current through Req as a Vin
function of Vin taking into account this saturation effect. Fig- R VDD /2
2 –
ure 3(d) shows the resulting curve. If VSS /2 ⱕ Vin ⱕ VDD /2 re- Rn Rn2
sistor Req behaves as a negative resistance of high value, but
if Vin is outside this range the slope of Iin versus Vin is that of
a positive resistance with much smaller value. In order to an- (d)
alyze what happens to the circuit of Fig. 3(c) when the oscil-
lating amplitude increases beyond VDD /2 or VSS /2 (whichever Figure 3. A real harmonic oscillator can be made by adding a nega-
is smaller) the concept of describing function can be used. tive resistor to a real resonator. (a) A negative resistor can be emu-
lated using a resistor and a voltage amplifier of gain greater than
unity. (b) Implementation of negative resistor using an operational
Describing Function amplifier and resistors. (c) Oscillator composed of capacitor inductor
and negative resistor. (d) Transfer characteristics of the negative re-
Figure 4 shows a sinusoidal signal x(t) applied to a nonlinear sistor implementation of (b).
element f(x) that outputs a distorted signal y(t). Signal y(t) is
no longer sinusoidal, but it is periodic. Consequently, a Fou-
rier series can describe it. The first (or fundamental) har- the first or fundamental harmonic only,
monic has the same frequency as the input sinusoid, while
the others have frequencies which are integer multiples of the y(t) ≈ N(A)x(t)
first one. If the block of Fig. 4 is used in a system such that x(t) = A sin(ωt)
the end signals will be approximately sinusoidal (like in a  2π /ω (27)
harmonic oscillator) then one can neglect all higher harmon- ω
N(A) = f (x(t)) sin(ωt) dt
ics of the Fourier expansion of y(t) and approximate it using πA 0
636 HARMONIC OSCILLATORS, CIRCUITS

x(t) where N(A) ⫽ ⫺R⑀ /Rn2 for A ⱕ VDD /2 and N(A) tends towards
2/Rn as it increases beyond VDD /2. Since N(A) is continuous
and monotonic, there will be a value of A (and only one) for
t which N(A) ⫽ 0. Let us call this value Ao. Note that Ao de-
pends only on the shape of the nonlinear function f( ⭈ ) of Fig.
3(d). Equation (29) is the equation of a resistor of value
Req ⫽ 1/N(A). For small values of A, Req ⫽ ⫺Rn2 /R⑀ (high resis-
tance but negative) and the oscillator possesses exponentially
increasing amplitude. When A increases beyond VDD /2, Req
y me
will become more and more negative until N(A) ⫽ 0. At this
point A ⫽ Ao, Req ⫽ 앝 and we have the ideal resonator. If A
x(t) y(t) increases further, Req becomes positive and the oscillator pre-
mc x sents exponentially decreasing amplitude. This represents a
stable amplitude control mechanism such that in the steady
me
state A ⫽ Ao and Req ⫽ 앝.

General Formulation
y(t)
In general, the block diagram of Fig. 5 describes a harmonic
oscillator with amplitude control by limitation, where H(s) is
a linear block (or filter) and f(x) is the nonlinear element re-
sponsible for the amplitude control. Applying the describing
t function method to the nonlinear block results in

y(t) = N(A)x(t) (31)

for a time domain description. For a frequency domain de-


scription it would be

Y (s) = N(A)X (s) (32)


Figure 4. A sinusoidal signal applied to a nonlinear element results,
in general, in a distorted output signal. On the other hand, input and output of the linear block or
filter are related in the frequency domain by

X (s) = H(s)Y (s) (33)


Note that this approximation makes y(t) to be linear with
x(t) so that the nonlinear block in Fig. 4 can be modeled by a Equation (32) and (33) result in
linear amplifier of gain N(A). Function N(A) is called the de-
scribing function of the nonlinear element f( ⭈ ). H(s)N(A) = 1 (34)
This approach is valid for any nonlinear function f( ⭈ ), but
If H(s) is a second order block it can be described by
let us consider only piece-wise linear functions, like in Figs. 3
and 4, with three pieces: a central linear piece of slope mc and a 1 s2 + a 2 s + a 3
two external linear pieces of slope me. When amplitude A is H(s) = (35)
s2 + a 4 s + a 5
small enough so that x(t) is always within the central piece
then N(A) ⫽ mc. When A increases beyond the central piece which together with Eq. (34) yields an equation of the form
N(A) will change gradually towards value me. In the limit of
A ⫽ 앝 the describing function will be N(A) ⫽ me. Computing s2 + sb + ω2 = 0
the first Fourier term provides the exact expression (let us
a1 − a2 N(A)
assume VSS ⫽ ⫺VDD for simplicity). If A ⱖ VDD /2 b=
1 − a1 N(A) (36)
   − a3 N(A)
   2 a
me − mc  −1 VDD V VDD ω2 = 5
N(A) = me − 2 sin + DD 1−  1 − a1 N(A)
π 2A 2A 2A
(28)
H(s)
and if A ⱕ VDD /2
Y(s) y(t) x(t) X(s)
N(A) = mc (29)
f(x)
Applying the describing function method to the nonlinearity
of Fig. 3(d) results in
Figure 5. A general block diagram of an oscillator with amplitude
control by limitation consists of a linear filter and a nonlinear ampli-
Iin = N(A)Vin (30) tude controlling element connected in a loop.
HARMONIC OSCILLATORS, CIRCUITS 637

For small amplitudes N(A) is equal to some constant (for ex- C1 R1


ample n0) and Eq. (36) is called the characteristics equation.
It must be assured that b(A ⫽ 0) ⬍ 0. This is usually referred
to as the oscillation condition. For stable amplitude control it
should be v1 v2
k0

db(A)
>0 (37) C2 R2
dA

and 웆2 must be kept always positive for all possible values of


A. In practice, it is desirable to make in Eq. (35) a1 ⫽ a3 ⫽ 0, (a)
which will make 웆2 and b not be coupled through a common
parameter. This way the oscillation amplitude and frequency R1
C1
can be controlled independently.
v+
A Practical Example: the Wien-Bridge Oscillator
v1
+ v–
In a practical circuit it is not convenient to rely on inductors v2
because of their limited range of inductance values, high
price, and, in VLSI (very large scale integration) design, they C2 –
are not available unless one operates in the GHz frequency R2 Rm
range. But it is possible to implement the filter function of
Fig. 5 without inductors. The Wien-Bridge oscillator of Fig. 6
is such an example. Figure 6(a) shows its components: two
resistors, two capacitors, and a voltage amplifier of gain k0. (k0–1) Rm
Figure 6(b) illustrates an implementation using an opamp
and resistors for the voltage amplifier, and Fig. 6(c) shows its
piece-wise linear transfer characteristics. Using the describ-
(b)
ing function, the effective gain of the amplifier k(A) can be
expressed as a function of the sinusoidal amplitude A at
v2
node v1,
VDD

k(A) = k0 N(A) (38)


k0
v1
where k0N(A) is the describing function for the function in
Fig. 6(c) and is given by Eq. (28) with mc ⫽ k0, me ⫽ 0, and
the breakpoint changes from VDD /2 to VDD /k0. Consequently,
the frequency domain description of the circuit in Fig. 6(a) is
–V
DD
s2 + sb + ω2 = 0
(c)
1 1 k N(A) − 1
b= + − 0
R2C2 R1C1 R1C2 (39) Figure 6. The Wien-Bridge oscillator is an example of an oscillator
that does not require an inductor. (a) It consists of two resistors, two
1 1
ω2 = capacitors, and a voltage amplifier circuit. (b) The voltage amplifier
R1C1 R2C2 can be assembled using an opamp and two resistors. (c) The resulting
voltage amplifier has nonlinear transfer characteristics.
For initial startup it must be b ⬍ 0 for A ⫽ 0. The final ampli-
tude A0 is obtained by solving b(A0) ⫽ 0, and the frequency of
the oscillation is 웆 (in radians per second) or f ⫽ 웆/2앟 (in AMPLITUDE CONTROL BY AUTOMATIC GAIN CONTROL
hertz). Optionally, the diodes in Fig. 6(b), connected to voltage
sources v⫹ and v⫺, can be added to control the oscillation am- Let us illustrate the amplitude control by AGC (automatic
plitude. These diodes change the saturation voltage VDD of gain control) using an OTA-C oscillator. An OTA (operational
Fig. 6(c), and hence will modify the describing function N(A). transconductance amplifier) is a device that delivers an out-
In general, when using amplitude control by limitation, a put current I0 proportional to its differential input voltage
practical advice is to make ⫺b0 as close as possible to zero but Vin. Figure 7(a) shows its symbol and Fig. 7(b) its transfer
without endangering its sign. This way the nonlinear element characteristics. The gain (slope gm in Fig. 7(b)) is called the
will distort very little the final sinusoid, because it needs to transconductance. This gain is electronically tunable through
use only a small portion of its nonlinear nature to make b(A) voltage Vbias (depending on the technology and the design, the
become zero. If ⫺b0 is too large the resulting waveform will tuning signal can also be a current). Using these devices, the
probably look more like a triangular signal than a sinuoidal self-starting oscillator of Fig. 7(c) can be assembled. Note
one. that gm1, gm2, and C1 emulate an inductance of value L ⫽
638 HARMONIC OSCILLATORS, CIRCUITS

To assure initial startup Vb3 and Vb4 must be such that gm3 ⬎
+ I0 gm4. By making gm3 (or gm4) depend on the oscillation ampli-
Vin gm tude A, an AGC for amplitude control can be realized. This is
illustrated in Fig. 7(d) where the box labeled oscillator is the

circuit in Fig. 7(c), the box labeled PD is a peak detector, the
large triangle represents a differential input integrator of
time constant ␶AGC, the small triangle is an amplifier of gain
Vbias
m necessary for stability of the AGC loop, and the circle is a
(a) summing circuit. The output of the peak detector Apd(t) follows
(with a little delay) A(t), the amplitude of the sinusoid at V0.
I0
The error signal resulting from subtracting Apd and Vref is in-
ISS
tegrated and used to control gm4. If Apd ⬎ Vref gain gm4 will
gm Vin increase (making b positive, thus decreasing A), and if Apd ⬍
Vref gain gm4 will decrease (making b negative, thus increas-
ing A). In the steady state A ⫽ Apd ⫽ Vref and gm4 will automat-

ISS ically be adjusted to make b ⫽ 0. Note that Vref must be such
that the node voltages are kept within the linear range of
(b) all OTAs, otherwise amplitude control by limitation may be
taking place.
Vb3 OTA-C oscillators are convenient for AGC because their
gain can be adjusted electronically. In order to do this for the
+ – Wien-Bridge oscillator of Fig. 6, either a capacitor or a resis-
gm1 gm3 tor must be made electronically tunable (using a varicap or a
– + JFET). Also, OTA-C oscillators are interesting because they
Vbf V0
do not need resistors, and this is very attractive for VLSI in
CMOS technology where resistors have very bad electrical
+ – characteristics and a limited range of values.
gm2 gm4
C1 C2 +
– Stability of Automatic Gain Control Loop
An AGC loop for amplitude control, like the one in Fig. 7(d),
Vb4
presents a certain dynamic behavior which can be analyzed
(c) in order to (1) make sure it is a stable control loop and (2)
optimize its time response.
In Fig. 7(d) the peak detector output Apd(s) can be modeled
V0 as a delayed version of A(s),
Vbf Oscillator
Apd (s) = A(s)(1 − sτpd ) (41)
Vb4

m where Apd(s) and A(s) are the Laplace transforms of the small
signal components of Apd(t) and A(t), respectively. Signal
Apd Vb4(s) (the Laplace transform of small signal component of
+
PD
Vb4(t)), according to Fig. 7(d) satisfies

– Vref 1  
Vb4 (s) = (1 + smτAGC )Apd (s) − Vref (s) (42)
sτAGC
(d)

Figure 7. An oscillator with amplitude control by AGC can be made and controls parameter b in Eq. (40). Let us assume that b(t)
easily with OTAs and capacitors (OTA-C). (a) An OTA delivers an follows instantaneously Vb4(t) so that
output current proportional to its differential input voltage. (b) It has
nonlinear transfer characteristics. (c) A self-starting OTA-C oscillator b(s) = αVb4 (s) (43)
can be made with four OTAs and two capacitors. (d) The amplitude
control by AGC requires an additional peak detector and integrator. Now what is left in order to close the control loop is to know
how the amplitude A(t) (or A(s) in the frequency domain) at
C1 /(gm1gm2), gm3 emulates a negative resistance of value R3 ⫽ node V0 depends on b.
⫺1/gm3, and gm4 emulates a positive one of value R4 ⫽ 1/gm4. This dependence can easily be obtained from the time-do-
The characteristics equation of the OTA-C oscillator is main differential equation (like Eq. (14)) in the following way:
s2 + bs + ω2 = 0 assume b(t) is a time dependent signal that has small changes
around b ⫽ 0 and keeps A(t) approximately constant around
g − gm3
b = m4 A0. Then the solution to V0(t) (or vC(t) in Eq. (14)) can be writ-
C2 (40)
ten as
gm1 gm2
ω =
2
C1 C2 Vo (t) = A(t) cos(ωot + ϕ) (44)
HARMONIC OSCILLATORS, CIRCUITS 639

where A(t) ⫽ A0 ⫹ a(t) and 兩a(t)兩 Ⰶ A0. Substituting Eq. (44)


into vC(t) of Eq. (14) yields the following coefficients for the
cos( ⭈ ) and sin( ⭈ ) terms, respectively, which must be identi-
s1 s2 sn
cally zero [if Eq. (44) is indeed a solution for Eq. (14)],
Digital ⋅⋅⋅
R2
bus
d 2 A(t) dA(t) A(t) 2r 2 2r 2 nr 2 nr
+ b(t) + b2 (t) =0
dt 2 dt 4 (45)
dA(t)
2 + A(t)b(t) = 0
dt
Figure 8. The frequency of the Wien-Bridge oscillator can be digi-
The first equation is not of much use, but from the second it tally controlled by replacing one of the resistors by a binarily
follows that weighted resistor array controlled through a digital data bus.

− 12 tt b(t )dt
A(t) = A(t0 )e 0 (46)
trolled capacitor (varicap) is needed. Digital control can easily
be implemented by using a binary weighted array of resistors
When the AGC loop is in its steady state A(t) ⫽ A0 ⫹ a(t) and
or capacitors that are switched in and out of the circuit by
the integral is a function that moves above and below zero
means of a digital bus. This is exemplified in Fig. 8 for resis-
but is always close to zero. Consequently, the exponential can
tor R2. Signals si are either 0 when the switch is open or 1
be approximated by its first order Taylor expansion resulting
when it is closed. This yields
in
! " ! "
 1 1 1 n
si 1
1 t = + = dn (50)
A(t) ≈ A(t0 ) 1 − b(t) dt R2 r 2 n 2 i r
2 t0 i=1
  t (47)
A(t0 ) t A
⇒ a(t) ≈ − b(t) dt ≈ − 0 b(t) dt where dn is a number that ranges from 1/2n to 1 in steps of
2 t0 2 t0 1/2n. Number dn is represented in binary format by the bits
兵snsn⫺1 . . . s2s1其.
In the frequency domain this is The OTA-C oscillator of Fig. 7 is much better suited for
analog or continuous control of frequency. If gm1 ⫽ gm2 and
A0 C1 ⫽ C2, the frequency is equal to 웆 ⫽ 2앟f ⫽ gm1 /C1. Since
A(s) ≈ − b(s) (48)
2s voltage Vbf in Fig. 7(d) controls simultaneously gm1 and gm2
(making them equal), this voltage can be used directly to con-
From Eqs. (41–43) and (48) a loop equation for the AGC con- trol the frequency of the VCO.
trol can be written
Whether a VCO is made with OTAs and capacitors, or with
Vref (s) resistors, capacitors, and opamps, or uses some other tech-
A(s) = nique, in general it turns out that the frequency does not have
s2 k1 + sk2 + 1
a linear dependence on the control voltage. In practical cir-
2τ (49) cuits it also happens that if the control voltage is maintained
k1 = AGC − mτAGC τpd
αA0 constant, the frequency may change over long periods of time
k2 = mτAGC − τpd due to temperature changes which cause device and circuit
parameters (such as transconductance and resistance) to
This equation represents a stable control system if the poles drift. Both problems can be overcome by introducing a fre-
have negative real part. This is achieved if k1 ⱖ 0 and k2 ⬎ 0. quency control loop.
Parameters k1 and k2 can also be optimized for optimum am-
plitude transient response (for example, after a step response
FREQUENCY CONTROL LOOP
in Vref (t)).
Figure 9(a) shows the basic concept of a frequency control loop
VOLTAGE CONTROLLED HARMONIC OSCILLATORS for VCOs. It consists of a VCO (for example, the one in Fig.
7(d)), a differential input voltage integrator, and a frequency
An oscillator whose frequency can be electronically controlled to voltage converter (FVC) circuit. Voltage VCO is now the ex-
is required in many applications. Such an oscillator is called ternal control of the VCO frequency. The FVC circuit delivers
a voltage controlled oscillator or VCO, although sometimes an output voltage VFVC that depends linearly on the frequency
the control parameter can also be a current. f of its input signal VOSC,
In the case of the Wien-Bridge oscillator of Fig. 6 the fre-
quency of oscillation 웆 is controlled by R1, R2, C1, and C2. VFVC = ρ f + VF0 (51)
Changing one or more of these parameters would enable ex-
ternal control of the frequency. In order to have an electronic Parameters ␳ and VF0 must be constants and should not de-
control there are two options: (1) continuous or analog control, pend on temperature or technological parameters that change
and (2) discrete or digital control. from one prototype to another. If such an FVC is available,
For analog control of the Wien-Bridge oscilator of Fig. 6 the circuit in Fig. 9(a) would stabilize at VFVC ⫽ VCO. According
either a voltage controlled resistor (JFET) or a voltage con- to Eq. (51), this means that the resulting oscillation fre-
640 HARMONIC OSCILLATORS, CIRCUITS

t0

VOSC Monostable u(t)

Vbf VOSC
VCO v(t)
+ – PD
go g0
Vref
– +
VFVC
– FVC C
+
VCO

(a) (b)

v(t)
u(t)

Figure 9. A frequency control loop can provide a linear dependence between tuning
voltage and VCO frequency, and can also make this dependence temperature and pro-
totype independent. (a) It can be made by adding a FVC and an integrator to a VCO.
t0
(b) The FVC can be made with a calibrated monostable, a reference voltage, a peak
detector, two OTAs, a capacitor, and a switch. (c) After a transient the FVC output T t
stabilizes to a steady state voltage which depends linearly on the input signal fre-
quency. (c)

quency f 0 depends on VCO as where u(t) is taken at one of the peaks: u(t) ⫽ vm and u(t ⫹
T) ⫽ vm⫹1. Consequently,
VCO − VF0
fo = (52) vm (C − g0t0 ) + Vref g0t0
ρ vm+1 = (54)
C + g0 (T − t0 )
which is linear and temperature independent.
In the steady state of the FVC vm⫹1 ⫽ vm. Applying this condi-
A possible implementation with OTAs of the FVC is shown
tion to Eq. (54) and calling VFVC the stabilized value of vm,
in Fig. 9(b). It uses two OTAs of transconductance g0, a capac-
yields
itor C, a peak detector, a switch, a temperature independent
voltage reference Vref , and a monostable triggered by the oscil- Vreft0
lating signal VOSC. During each period T ⫽ 1/f of signal VOSC VFVC = = Vref t0 f (55)
T
the monostable delivers a pulse of constant width t0, which
must be temperature independent and well calibrated. Many Consequently, the circuit of Fig. 9(b) implements a FVC with
times it is convenient to add a sine-to-square wave converter ␳ ⫽ Vref t0 and VF0 ⫽ 0, which both are temperature and proto-
(and even a frequency divider) between VOSC and the mono- type independent.
stable. The circuit of Fig. 9(b) uses three components that are
not temperature independent and may vary over time and
FURTHER CONSIDERATIONS
from one prototype to another: the two OTAs and the capaci-
tor. However, provided that both OTAs have the same trans-
The different concepts and considerations mentioned so far
conductance (which is a reasonable assumption for VLSI im-
have been illustrated with practical circuits using either re-
plementations), the resulting parameters ␳ and VF0 do not
sistors, capacitors, and opamps, or using OTAs and capaci-
depend on C nor g0. An example of the time waveforms of
tors. There are many other circuit techniques available that
u(t) and v(t) of Fig. 9(b) is shown in Fig. 9(c). During each
can be used to implement the different blocks and equations
period T of VOSC the monostable is triggered once, turning the
needed for stable harmonic oscillator circuits. Some of these
switch ON during a time t0. While the switch is ON capacitor
techniques could be continuous current mode, switched capac-
C is charged by a constant current g0(Vref ⫺ v(t)), and when itor, switched current, digital circuit techniques, or even any
the switch is OFF a constant current of value ⫺g0v(t) dis- combination of these techniques.
charges it. The output of the FVC v(t) changes from cycle to Depending on the frequency range of the oscillator it may
cycle but is constant during each cycle. If vm is its value dur- be necessary to consider circuit parasitics that have not been
ing one cycle and vm⫹1 for the next one, it follows that mentioned so far. For example, opams and OTAs both have
nonideal input and output impedances, leakage currents, and
g0 (Vref − vm ) go vm+1 most importantly gains which are frequency dependent. All
u(t + T ) = u(t) + to − (T − t0 ) (53)
C C these parasitics result in modified characteristics equations.
HARMONIC OSCILLATORS, CIRCUITS 641

A very sensitive parameter to parasitics is the oscillation con- tended frequency range, they will have a different impact on
dition ⫺b0 required for initial startup. Since in practice it is the final oscillator performance. Consequently, for good oscil-
desirable to have ⫺b0 very close to zero but still guarantee its lator design the dominant parasitics need to be well known
negative sign, it is apparent that parasitics can result in ei- and taken into account.
ther very negative (resulting in very distorted sinusoids) or Another interesting and advanced issue when designing
positive (resulting in no oscillation) values. Each circuit tech- oscillators is distortion. Both amplitude control mechanisms,
nique has its own parasitics, and depending upon the in- limitation and AGC, are nonlinear and will introduce some

V0 –

Apd

CPD
Idischarge

V 01 –

Apd +

t
V0
–V01 –

(a) +

V0 –
V 02 –
+
+

–V0 –
–V02 –
+
+
Apd
Apd

CPD
Idischarge
CPD
Idischarge

Apd Apd

t t

V0 –V0

(b) (c)

Figure 10. Possible implementations for a peak detector: (a) One phase based (or half wave
rectifier based), (b) Two phase based (or full wave rectifier based), and (c) Four phase based
peak detector.
642 HARTLEY TRANSFORMS

degree of distortion. Is there a way to predict how much dis- where,


tortion will render an oscillator?
|xn | |x1 |
(60)
Distortion for Amplitude Control by Automatic Gain Control |yn | |y1 |
In an oscillator with AGC for amplitude control, like in Fig.
7(d), the element that introduces most of the distortion is the In general, this problem is solved numerically for a finite set
peak detector. Figure 10 shows examples of peak detectors of harmonics. Let N be the highest harmonic to be computed.
based on one-phase or half-wave [Fig. 10(a)], two-phase or Since f(x(t)) is also periodic, computing its Fourier series
full-wave [Fig. 10(b)], and four-phase [Fig. 10(c)] rectifying expansion yields that of y(t). Therefore, given a set of parame-
principles. For the four-phase case, either the oscillator ters for x(t) 兵x1, . . . xN, ␸2, . . . ␸N其 the set of parameters 兵y1,
should provide two phases with 앟/2 shift, or an additional in- . . . yN, ␾1, . . . ␾N其 for y(t) can be obtained this way. By
tegrator is needed. Peak detectors with more phases can be applying each component of y(t) (characterized by yn and ␾n)
implemented by linearly combining previous phases. Increas- to filter H(s) yields the corresponding component for x(t),
ing the number of phases in the peak detector results in
xn = yn |H( jnω0 )|
faster response [delay ␶pd in Eq. (41) is smaller for more (61)
phases] and less distortion. However, all phases have to pre- ϕn = φn + phase(H( jnω0 ))
sent the same amplitude, otherwise distortion will increase.
In practice, as the number of phases increases it becomes By iterating this procedure until all values xn, yn, ␸n, and ␾n
more difficult (due to offsets and component mismatch) to converge, the distortion of x(t)
keep the amplitude of the phases sufficiently equal. 
In the peak detectors of Fig. 10, whenever one of the N  2
xn
phases becomes larger than Apd it slightly turns ON its corre- THD(x) = (62)
n=2
x1
sponding P transistor injecting a current into CPD until Apd
increases sufficiently to turn OFF the P transistor. The dis-
or y(t)
charge current ensures that Apd will follow the amplitude of
the oscillations if it decreases. Increasing Idischarge results in 
N  2
faster response but higher distortion. Whatever peak detector yn
THD(y) = (63)
is used, waveform Apd(t) is not constant nor sinusoidal. It has n=2
y1
a shape similar to those shown in Fig. 10. Since Apd(t) is peri-
odic its Fourier series expansion can be computed, can be predicted.


Apd (t) = A0 + an cos(nω0t + ϕn ) (56) Reading List
n=1
K. K. Clarke and D. T. Hess, Communication Circuits: Analysis and
The high-order harmonic components 兵a2, a3, . . .其 are those Design, Reading, MA: Addison-Wesley, 1978.
which contribute to distortion at node V0 [in Fig. 7(d)]. A. Gelb and W. Vander Velde, Multiple Input Describing Functions
Applying the filtering functions that go from node Apd, and Nonlinear System Design, New York: McGraw-Hill, 1968.
through Vb4, to V0 [Eqs. (41–43) and (48)] to these higher- E. J. Hahn, Extended harmonic balance method, IEE Proc. Part-G,
order harmonics provides their amplitudes at node V0, Circuits Devices Syst., 141: 275–284, 1994.
B. Linares-Barranco et al., Generation, design and tuning of OTA-C
αAo high-frequency sinusoidal oscillators, IEE Proc. Part-G, Circuits
|A0n | = |1 + jnω0 mτAGC ||1 − jnω0 τpd ||an | (57)
2τAGC n2 ωo2 Devices Syst., 139: 557–568, 1992.
E. Vannerson and K. C. Smith, Fast amplitude stabilization of an RC
The total harmonic distortion at the output of the oscillator is oscillator, IEEE J. Solid-State Circuits, SC-9: 176–179, 1974.
then defined as
BERNABÉ LINARES-BARRANCO

∞  2 A´ NGEL RODRÍGUEZ-VÁZQUEZ
A
THD(V0 ) = 0n (58) National Microelectronics Center
n=2
A0 (CNM)

Distortion for Amplitude Control by Limitation


This problem is computationally complicated but can be HARMONICS. See POWER SYSTEM HARMONICS.
solved by harmonic balance. Consider the general block dia-
gram of Fig. 5. In the steady state, periodic waveforms x(t)
and y(t) can be expressed by their respective Fourier series
expansions


x(t) = x1 cos(ω0t) + xn cos(nω0t + ϕn )
n=2
(59)


y(t) = yn cos(nω0t + φn )
n=1
J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering
Copyright c 1999 John Wiley & Sons, Inc.

HYSTERESIS IN CIRCUITS
Hysteresis, in simple terms, is the tendency of a system to resist change. This tendency may be created in a
variety of ways, and so we may observe hysteresis in many diverse kinds of systems: electrical, mechanical,
physical, biological, and others. Once a system with hysteresis is in one state, it requires a suitable amount of
energy to overcome this tendency in order to move the system to another state. Hysteresis can also describe how
a system “remembers” a past response. This “memory” may be considered a storage of energy, like a capacitor
or inductor, but is really a form of dynamic tension.
Hysteresis is a nonlinear phenomenon. Thus, it cannot be described using simple linear operations (ad-
dition, subtraction, multiplication, differentiation, etc.). The mathematical description of hysteresis has been
an intense area of research in recent years. However, hysteresis has been known and understood by electrical
engineers for many years and in numerous forms: as a cause of energy loss and heating in the ferrite cores of
transformers, as a fundamental building block in the design of some electronic oscillators, and so on.

The Hysteresis Function

The hysteresis function can best be illustrated by comparison using the transfer functions shown in Fig. 1.
The input to each function is given on the horizontal x axis, and the output is given on the vertical y axis. The
curve in Fig. 1(a) is a simple piecewise linear transfer function with gain A = y/x = 2 limited above and below
by ±2. The three curves are identical, except that there exists a region in the domain of x for the curve in Fig.
1(b,c) for which there are two possible solutions in the range of y. In this example, the curve in Fig. 1(a) may
be expressed as

However, for the curve in Fig. 1(b), one must know something about the previous value of x in order to determine
the corresponding y:

The determination of y based on previous values of x is illustrated by the arrows in Fig. 1(b). If the first value
of x is larger than 2, then the output value of y begins at 2 and follows line segment A. If the following values
of x remain larger than −2, the resulting values of y follow the arrows around the curve to the left, along
1
2 HYSTERESIS IN CIRCUITS

Fig. 1. Comparison of (a) simple nonlinear transfer function with (b) positive hysteresis and (c) negative hysteresis. Note
that the directions of the arrows for (b) and (c) traverse the curve in opposite directions.

segments A and B. If, however, the value of x falls below −2, the resulting values of y will follow the arrows on
line segments C and D. This tracing of the hysteresis curve is known as positive hysteresis. There is one other
way to trace the curve, as shown in Fig. 1(c). This alternative path around the hysteresis curve is negative
hysteresis.
HYSTERESIS IN CIRCUITS 3

Hysteresis in Ferromagnetism

Ferromagnetic materials include the elements iron (Fe), cobalt (Co), and nickel (Ni), and many of their alloys
and composites, as discussed by Plonus (1). The use of ferromagnetic materials in electrical and electronic
systems can be found in transformers, motors, generators, inductors, and the like (see Magnetic Materials and
Induction Motor Drives).

Hysteresis in Electronic Systems

In addition to ferromagnetic systems, electronic systems may also exhibit hysteresis. In these instances, there
are usually two major contributing features: upper and lower limits, such as power supply voltages, and a
positive feedback loop. Hysteresis can be used effectively in electronic systems as a building block in oscillator
design, as an input amplifier to help reject noise, or as a delay function.
Example: An Opamp Hysteresis Function. One simple hysteresis design using operational ampli-
fiers (see Operational Amplifiers) is given in Fig. 2. Note that opamp 1 and resistors R1 and R2 constitute the
actual hysteresis element. Resistor R2 connects the output of opamp 1 to its positive input. This large amount
of positive feedback will force the circuit voltage at vh to be either the positive supply voltage V D or the negative
supply voltage V S . If we sweep the input voltage vi , the hysteresis voltage vh will have the transfer function
shown at the top of Fig. 3. To find the values of input voltage vi for which the hysteresis voltage vh will switch,
we have

If we make V R = 0, the equation in Eq. (3) simplifies to

This gives for the upper and lower trip voltages V U and V L respectively

and for the hysteresis width V HW

Thus, the trip voltages for the hysteresis may be designed using a ratio of resistors and the power supply
voltages. However, it is usually undesirable to have a voltage in such a system that swings from rail to rail.
To prevent this we may use another opamp amplifier such that the hysteresis voltage vh is attenuated. This
is shown using opamp 2 at the top of Fig. 2. By making R3 much larger than R4 , the output voltage vo may
be designed so that its signal swing is well away from the power supply voltages, preventing the output of
the hysteresis from saturating any following stages. This attenuation is shown at the bottom of Fig. 3. Also
note that this second amplifier is in the inverting configuration. Thus the trip voltages for the hysteresis are
4 HYSTERESIS IN CIRCUITS

Fig. 2. An electronic hysteresis can be constructed using monolithic operational amplifiers. The opamp at the bottom of
the figure has positive feedback. The amplifier at the top is in the inverting configuration. The center of the hysteresis can
be adjusted using V R .

determined by V D , V S , R1 , and R2 , and the output limits are determined by V D , V S , R3 , and R4 . We may
construct an inverting hysteresis by exchanging V i with V R in Fig. 2.
Circuit Model. In some cases it is desirable to have a model of the hysteresis function for use in
a hierarchical design instead of a complete circuit, as shown by Varrientos and Sanchez-Sinencio (2). The
hysteresis function may then be modeled as shown in Fig. 4.
A circuit symbol for the hysteresis function is shown in Fig. 4(a). The underlying model is given in Fig.
4(b). The resistors ra and rb are used as a voltage divider, and resistor rd and capacitor cd constitute a high-pass
filter, such that the difference voltage va − vb is an all-pass filtering of the differential input voltage vp − vn .
This difference voltage is then converted to a current using the transconductance io . The transconductance
equation is given as

From this equation, one may note that this transconductance current io depends somewhat on the output
voltage vo across it, and that this dependence is positive. Therefore, the term vo − va is positive feedback. Also,
note that the term va − vb is a phase delay term, making the hysteresis element a function of the speed and/or
frequency of the input signal vp − vn . Generally, this is the case for practical implementations.
The resistor ro and capacitor co at the output of the hysteresis element help determine the rise and fall
times, or slew rate, of the output voltage. The diodes dn and dp and voltages vn and vp define the limits of the
output voltage. For this model, the voltages vn and vp also give the trip voltages, like those illustrated in Fig.
3. Finally, this circuit model can be described using the circuit simulator SPICE. An example appears in Fig.
5. In this example, the diode model used gives a near-ideal diode, clamping the limit voltages at 0.5 V and the
trip voltages at twice the limit voltages, or ±1 V.
HYSTERESIS IN CIRCUITS 5

Fig. 3. The transfer functions for the opamp hysteresis in Fig. 2. The output of the first opamp will have the transfer
function shown at the top. Note that it has limits at the power supply voltages. After attentuation using the second opamp,
the transfer function will look like the trace at the bottom of the figure. The trip voltages are given by the first opamp, and
the output limits are given by the second opamp.

Higher-Order Hysteresis

As was mentioned in the introduction, a hysteresis element may be thought of as a single energy storage unit.
The amount of storage is determined by the width and height of the hysteresis. It is then possible to increase
the order of the system in which the hysteresis appears, for example, by increasing the number of hysteresis
storage elements. To do this, we may add other hysteresis elements in a given system, or we may increase
the number of storage elements in a given hysteresis element. This latter method of increasing the order of a
hysteretic element gives higher-order hysteresis. Hysteresis of this type has uses in complex nonlinear systems
such as chaotic oscillators (3) (see Chaos, Bifurcation, and their control).
6 HYSTERESIS IN CIRCUITS

Fig. 4. The hysteresis symbol (a) can be modeled using the simple nonlinear circuit (b) shown at the bottom of the figure.
All the components are linear except for the diodes dp and dn . The trip voltages and output limits are a function of vp and
vn .

Linear Combination. By combing several hysteresis elements linearly using inverting and/or summing
amplifiers, higher-order hysteresis functions can be derived (2). A block diagram of a general design approach
for higher-order hysteresis is given in Fig. 6. The approach begins with the design of trip values for each
hysteresis by determining a reference value to shift the hysteresis either left or right and then amplifying (or
attenuating) the hysteresis output of each element. Continuing, we may then combine the outputs of several
such elements to construct a composite hysteresis with many storage regions. By example, we may combine
two hysteresis elements shown in Fig. 2 to construct a composite hysteresis with two nonoverlapping storage
regions. This result is shown in Fig. 7. The value of ε gives the hysteresis width, δ is the distance of the center
of each width from the origin, and D is the magnitude of the limit.
Differential Hysteresis. In addition to linear combinations, complex hysteresis functions can also be
constructed using cross-coupled feedback and nonlinear combinations as shown by Varrientos and Sanchez-
Sinencio (2). One example appears as Fig. 8. This hysteresis element is comprised of two hysteresis elements
and two comparators referenced to a common ground. Because of the finite response of each amplifier, this
hysteresis composite will have two hysteresis regions. The result is similar to the transfer function given for
linear combinations in Fig. 7. Note that by increasing the limit voltages on the comparator elements, we also
vary the reference voltage for each hysteresis. The result is that each storage region reduces in width ε and
increases in distance δ from common ground.
HYSTERESIS IN CIRCUITS 7

Fig. 5. This SPICE input file can be used to model the hysteresis shown in Fig. 4. The parameters given for the diode
model will make the diodes almost ideal.

Fig. 6. Higher-order hysteresis can be constructed using linear combinations of simpler hysteresis elements. Here the
elements are combined using amplifiers (multiplication) and summing nodes.

Mathematical Descriptions

Often, hysteresis is found in systems with steady-state sinusoidal solutions where the input to the hysteresis
is sinusoidal. The hysteresis, whether desirable or not, contributes to the solution, and as engineers we would
like to know the extent of the interaction between the linear and nonlinear portions of the system.
Describing Functions. One way of doing this is with the use of describing functions as shown by Gelb
and Vander Velde (4). A describing function is a nonlinear function in the steady-state s domain. Thus, we may,
using the describing function for a given nonlinearity, solve for the solution of a system using linear algebra.
Differential Model for Hysteresis. Often, however, we would like a time-varying, or transient, solution
for our system with hysteresis, where the s-domain description is not sufficient. In recent years, mathematicians
have been investigating the underlying fundamental dynamics of hysteresis as shown by Visintin (5). It remains
an active area of research because of the difficulty mathematicians and engineers have had in constructing
a sufficiently accurate differential expression for many types of common hysteresis, including the hysteresis
found in ferromagnetism.
8 HYSTERESIS IN CIRCUITS

Fig. 7. The transfer function of a second-order hysteresis function. Note that there are two hysteresis regions, one for
each simple hysteresis element. The value of D is the upper and lower limit, ε is the hysteresis width, and δ is the distance
from the center of each hysteresis width of the origin.

Fig. 8. Higher-order hysteresis can also be constructed using cross-coupling and nonlinear feedback. The output limits
of the comparators shown determine the width of the hysteresis and their offset from the origin.

However, several differential expressions exist. One of the most common is a variation on the differential
equation given by Rössler (6):

where x(t) is the time-varying input and y(t) is the output. The values of S and D are derived from the upper
and lower trip values; generally, S = 2.0/(V U − V L ) and D = SV L + 1.0. Loss in the hysteresis is due to a variety
of sources in practical systems and is modeled with the damping factor δ. The value of ε is small so that the
hysteresis response reaches its saturation quickly.
HYSTERESIS IN CIRCUITS 9

Fig. 9. In CMOS design, is it possible to design hysteresis using currents as parameters? Here the upper and lower trip
input currents are determined by I1 and I2 .

Another popular hysteresis equation is the Bouc–Wen differential equation used by Wong, Ni, and Lau
(7):

where the quantities κ, β, γ, and n are system parameters that determine the shape and magnitude of the
hysteresis this equation produces. The parameters κ, β, and n are positive real numbers, and γ may be a positive
or negative real number. Typical values are κ = 1.0, β = 0.1, γ = 0.9, and n = 1.0.
Both of the equations above give hysteretic responses that are like those given for ferromagnetic materials
(see Magnetic Materials) and for the monolithic responses that follow. The difficulty in using such equations
is that, unlike the circuit model given above, they are sensitive to the speed of the input signal and will have
responses that vary widely for a given set of inputs. Generally, these equations work best with systems that
have well-behaved limit cycles as solutions, sinusoidal oscillations being one example .

Monolithic Implementations

In integrated circuit design, simple implementations of hysteresis elements can be constructed. One such
hysteresis element, proposed by Wang and Guggenbühl (8), is shown in Fig. 9. This hysteresis is performed
in the current mode, since the signals and the trip values are determined by currents rather than voltages. In
this circuit, the input current ii is mirrored through the current mirror M 5 , M 6 into the high impedance node
vo . If the input current ii is smaller than I1 , the voltage at vo will be near V D , turning on M 1 and turning off
M 2 . The total current flowing into vo from the current mirror M 3 , M 4 will now be I1 + I2 . Now, in order to lower
the voltage at vo , the input current ii must exceed I1 + I2 . Once this happens, the voltage at vo will be near V S ,
turning off M 1 and turning on M 2 , so that the current entering the node vo from the current mirror M 3 , M 4
will again be I1 . The upper and lower trip current values will be
10 HYSTERESIS IN CIRCUITS

Fig. 10. An input signal to a hysteresis element that is fast in comparison with the switching speed of the hysteresis will
cause the width of the hysteresis to increase. If the input signal is too fast, the phase of the hysteresis transfer function
will be inverted.

The hysteresis width IHW will be IH − IL = I2 . Thus the hysteresis is easily adjustable using only two currents,
and is independent of the power supply voltages. However, matching between the transistors of each current
mirror and the slew rate of the output node vo may be critical for fast-moving input signals.
Speed Tradeoffs. As was mentioned earlier, the width of the storage region of the hysteresis element
will be affected by the speed and/or frequency of the input signal. This is because every practical implementation
has practical limits to how fast a signal or node can move. These limits are a function of the voltage swing, load
and parasitic capacitances, and bias currents. These effects are modeled in the hysteresis circuit model given
in Fig. 4. A transient response of this hysteresis for 1 kHz sinusoidal signal is given in the top trace of Fig. 10.
If we keep the frequency of the input signal constant, but increase the value of the capacitance cd in Fig. 4,
we will increase the response time (phase delay) of the hysteresis. The effect will be to slow the hysteresis down
with respect to its input signal. The effect of increasing the response time is shown in the second trace of Fig.
10. Even though we have not changed the value of the trip or limit voltages vp and vn , we have, nonetheless,
increased the width of the hysteresis. Parasitic capacitances will have the same effect if we try to make the
hysteresis go faster than its fundamental limits will allow it to go. However, the effect can be even more drastic
if we increase the amount of phase delay even further. This is shown in the third trace in Fig. 10. Note that a
further increase in phase delay has caused the hysteresis to actually become inverted. Also note that the width
of the hysteresis is smaller than its design value. Here the input signal is so much faster than the hysteresis
circuit than the magnitude of the phase delay has changed the sign of the hysteresis element.
Another effect of fast signals is in the speed at which the output voltage can change from a low value to
a high value. This effect is shown in Fig. 12. We may note that as we increase the capacitance co in Fig. 4,
the slope of the output voltages begins to reduce in magnitude, decreasing the slew rate of the output voltage
swing. This effect is caused by additional capacitive loading at the output of the hysteresis. Compare this
with Fig. 10 and note that there the voltage transitions are very steep in comparison. Also note that the two
phenomena have a similar effect on the width of the hysteresis; for both, an increase in capacitance increases
the hysteresis width.
Example: A Relaxation Oscillator. As an example of hysteresis design, consider the relaxation os-
cillator in Fig. 11 (see Relaxation Oscillator). This oscillator works by charging and discharging the capacitor
C through R3 at the limit voltages at the output of opamp 1. Recognize that opamp 1 and resistors R1 and
HYSTERESIS IN CIRCUITS 11

Fig. 11. A hysteresis-based relaxation oscillator. The first opamp is in the positive feedback configuration, and the second
opamp is a voltage buffer. Oscillations are caused by the charging and discharging of the capacitor.

Fig. 12. A large capacitance on the output of the hysteresis may cause it to slew. Large load capacitances will make the
width of the hysteresis increase.

R2 constitute a hysteresis element, that opamp 2 is in the voltage follower configuration, and that R3 and C
constitute an RC time constant.

BIBLIOGRAPHY

1. M. A. Plonus Applied Electromagnetics, New York: McGraw-Hill, 1978.


2. J. E. Varrientos E. Sanchez-Sinencio A 4-D chaotic oscillator based on a differential hysteresis comparator, IEEE Trans.
Circuits Syst. I, Fundam. Theory Appl., 45: 3–10, 1998.
12 HYSTERESIS IN CIRCUITS

3. T. Saito S. Nakagawa Chaos from a hysteresis and switched circuit, Phil. Trans. R. Soc. Lond. A, 352: 47–57, 1995.
4. A. Gelb W. E. Vander Velde Multiple-Input Describing Functions and Nonlinear System Design, New York: McGraw-Hill,
1968.
5. A. Visintin Differential Models of Hysteresis, Berlin: Springer-Verlag, 1994.
6. O. E. Rössler The chaotic hierarchy, Z. Naturforsch., 38a: 788–801, 1983.
7. C. W. Wong Y. Q. Ni S. L. Lau Steady-state oscillation of hysteresis differential model, J. Eng. Mech., 120: 2271–2298,
1994.
8. Z. Wang W. Guggenbühl CMOS current Schmitt trigger with fully adjustable hysteresis, Electron. Lett., 25: 397–398,
1989.

JOSEPH E. VARRIENTOS
Dallas Semiconductor Corporation
J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering
Copyright c 1999 John Wiley & Sons, Inc.

IIR FILTERS
IIR or infinite-length impulse response digital filters have impulse responses of infinite length, which extend
over all time. FIR or finite-length impulse response filters do not. IIR filters are also called recursive filters,
or less frequently, ladder, lattice, wave digital, pole-zero, autoregression moving average (ARMA), and autore-
gression integrated moving average (ARIMA) filters. FIR filters are also called nonrecursive filters, as well as
moving average, delay line and tapped delay line, feedforward, all-zero, and transversal filters (1). From all
these names, we choose to classify digital filters as IIR or FIR.
Terms such as IIR are usually applied to digital filters rather than analog filters. Digital filters operate
in discrete time, and analog filters operate in continuous time (2). Digital filters are usually analyzed using
the z-transform (denoted Z[ ]), whereas analog filters are analyzed using the Laplace transform (denoted L[ ]).
There are very close analogies between the two filter types, and these are often exploited in design.
From a design standpoint, IIR and FIR filters compete with each other. IIR filters have many desirable
properties, which are listed in Table 1. Their design is easy if frequency transformations and other methods are
used. Well-known classical analog filters like Butterworth can be used. Low-order IIR filters can have gains
with sharp cut-off and high selectivity. IIR filters can be implemented using little storage, short delays, and a
small number of arithmetic computations.
IIR have several undesirable properties. They can be unstable. They are stable only if their poles lie inside
the unit circle (assuming causality). They can never have linear phase unlike FIR filters. They are generally
more difficult to design unless frequency transforms are used. Nevertheless, their desirable characteristics
generally far outweigh these undesirable properties, so IIR filters are the most widely used in industry.
IIR filters can be implemented using a variety of techniques. These are summarized in Table 2. The most
popular methods include numerical integration or digital transforms, several invariant time domain response
designs, and matched z-transform design. IIR filters can also be designed using FIR filter design techniques
(1).

Basic Description

A linear digital filter is characterized by its impulse response h(n). The impulse response relates the filter input
x(n) and output y(n) as

when the filter is time-invariant and ∗ represents the convolution operation. Taking the z-transform of both
sides gives

1
2 IIR FILTERS

If the filter is time-varying, then y(n) = h(n,m) ∗ x(m) and Y(z2 ) = H(z1 ,z2 )X(z2 ). In this case, h(n,m) and
H(z1 ,z2 ) are two-dimensional functions. Such digital filters will not be considered, but their analysis and design
is a direct extension of what will be presented here (3). The general time domain form [Eq. (1)] and frequency
domain form [Eq. (2)] of the digital filter are shown in Fig. 1.
IIR filters have transfer functions that can be expressed in the product form

The values of z where H(z) = 0 are called the zeros zk of the filter. The values of z where 1/H(z) = 0 are called
the poles pk of the filter. Any common terms in numerator and denominator are usually canceled out. The IIR
filter gain can also be expressed in the polynomial form
IIR FILTERS 3

As mentioned before, based on the form of Eqs. (3) and (4), such digital filters are classified in two broad groups
as IIR when the denominator D(z) = 1 and FIR when D(z) = 1.
Rearranging Eq. (4) as Y(z) = H(z)X(z), taking the inverse z-transforms of both sides, and solving for x(n)
gives

This is the time-domain equation used to generate the filter output y(n) from the input x(n). Because feedback
of the output (or so-called recursion) depends upon bk , Eq. (5) makes it clear that the digital filter is recursive
when bk = 0 and nonrecursive when bk = 0. The characteristics of the digital filter are controlled by its gain
H(z) and impulse response h(n).
We first describe some of the overall filter properties based on H(z) and h(n). A digital filter is causal or
nonanticipatory if its impulse response is zero for negative time,
4 IIR FILTERS

Fig. 1. Block diagram of digital filter in (a) time domain form and (b) frequency domain form.

Most real-time filters are designed to be causal. Causality can also be tested using H(z) and the Paley-Wiener
criterion (1). Noncausal filters can often be made causal by delaying its impulse response and truncating its
negative time portion. This changes its gain H(z) very little if only a small portion is truncated.
Another important property is stability. A causal digital filter is stable if its impulse response decays to
zero as time approaches infinity,

Causality is easily tested by inspecting the poles of H(z). A causal digital filter is stable when all the poles
of H(z) lie inside the unit circle of the z-plane and any poles on the unit circle are simple or first-order. Most
digital filters are designed to be both causal and stable (4).
Digital filters are designed using frequency domain and time domain techniques. In the frequency domain
approach, an analog filter transfer function H(s) is directly converted into a digital filter transfer function H(z)
using some form of

g(z) is the desired digital transform. The resulting H(z) generally has frequency-domain and time-domain
responses that differ considerably from the analog filter responses from which they were derived.
A time-domain approach preserves a particular temporal response of the analog filter used to form the
digital filter as

where x(n) and y(n) are the sampled input and output, respectively, of the analog filter. Equivalently, H(z)
is the z-transform of h(n). When the sampling frequency f s = 1/T Hz is sufficiently high compared with the
stopband frequency of the analog filter, the frequency response characteristics are preserved. Otherwise, there
is noticeable aliasing distortion.
We should note a convention that is standard. The samples are taken at time t = nT. The input x(t) is
sampled to produce x(nT). To simplify notation, this is written in shorthand as x(n). This is true for all analog
filter variables when they are converted into digital filter variables.
IIR FILTERS 5

Frequency-Domain Algorithms

Digital transforms directly convert an analog transfer function H(s) into a digital transfer function H(z) using

g(z) is the desired digital or discrete transform. The resulting H(z) generally has frequency-domain and time-
domain responses that differ considerably from those of the analog filter from which they were derived.
Only s-to-z mappings that map the imaginary axis of the s-plane onto the unit circle of the z-plane preserve
certain frequency-domain characteristics like the passband and stopband magnitude response ripples and
phase variations. Delay and time-domain responses are distorted.
Numerical Integration Transform Design. One especially simple way to obtain digital transforms
is to approximate continuous integrators by discrete integrators (5). Equating the continuous time transfer
function H(s) = 1/s to the discrete time equivalent H(z) = 1/g(z) results in s = g(z), which is the digital transform.
The digital transfer function H dmn (z) of the discrete integrator is chosen to equal

d is the number of zeros at the origin, m is the number of finite zeros including those at the origin, and n is the
number of finite poles in the z − 1 plane. The ak and bk are selected so that H dmn well approximates the transfer
function of an analog integrator H(s) = 1/s when z = e − sT =∞ k = 0 (sT)k /k!. This method generates an infinite
number of digital transforms (1).
Some of them and others are listed in Table 3. We have selected the backward and forward Euler, bilinear,
modified bilinear, lossless, and optimum transforms. These transforms involve a constant C, which is adjusted
so that the analog frequency ω = 1 rad/s maps into any desired digital filter frequency B rad/s, and normalized
frequency BT radians or 360◦ f /f s degrees. The bilinear transform, denoted as H 011 , is perhaps the most popular
and widely used where

and C = tan(BT/2). This transformation maps the imaginary axis of the s-plane describing the analog filter unto
the unit circle of the z-plane describing the digital filter. Therefore, except for frequency warping, the magnitude
and phase characteristics of the analog filter are preserved exactly. The delay, which is the derivative of the
phase, changes as does the step response. Of the many transforms available, the bilinear transform is the most
widely used because it has the desirable mapping property just mentioned.
An important comment should be made. Causal and stable analog filters are not always mapped into
causal and stable digital filters using these transforms. The backward Euler, bilinear, and optimum transforms
do produce causal and stable filters, but the forward Euler and lossless transforms do not (1). When using
general transforms, the poles of H(z) should always be verified to be inside or on the unit circle (assuming
causality).
Other Filter Types. The transforms of Table 3 are usually used to map analog filter transfer functions
into digital filter transfer functions. If the analog filter is lowpass, these transforms produce a digital highpass
filter. If the analog filter is bandpass, the transforms produce a digital bandpass filter.
6 IIR FILTERS

However, they can also be used to convert analog lowpass filters to the other types directly. If the reciprocals
of s are used in Table 3, digital highpass filters are produced. If the transforms in Table 4 are used, digital
bandpass filters are produced directly. If the reciprocals of s are used in Table 4, then digital bandstop filters
are produced directly. Thus analog lowpass filters can be converted directly into any of these other filter types
by using Tables 3 and 4.
These other filters can be obtained by a simpler and more direct scheme. After a lowpass digital filter H(z)
is obtained, it can be converted into a highpass filter using H(−z) = H(zejπ ). It can be converted to a single-
sideband bandpass filter using H(zej ω0 T). Therefore, by simply rotating the pole-zero pattern of the lowpass
H(z) by θ degrees in the z-plane, other filter types can be obtained. These filters have the same arithmetic shape
but have different geometric shapes, the proper bandwidths, etc. The proper shape is always maintained by
using the transforms in Tables 3 and 4.
IIR FILTERS 7
8 IIR FILTERS

Time-Domain Algorithms

Time-domain algorithms preserve a particular temporal response of the analog filter used to form the digital
filter. Mathematically, the invariant time-domain transform uses

where h(n) is the sampled impulse response of the analog filter. Equivalently, H(z) is the z-transform of h(n)
(6). When the sampling frequency f s = 1/T Hz is sufficiently high compared with the stopband frequency of the
analog filter, the frequency response characteristics are preserved, and there is noticeable aliasing distortion.
Impulse-Invariant Transform Design. The impulse-invariant transform preserves the impulse re-
sponse h(t) of the analog filter (7). Setting the input to be an impulse as x(t) = U 0 (t) in Eq. (13) gives X(z) = 1/T
and

If the analog transfer function H(s) is band-limited to |ω| < ωs /2, then the digital filter has exactly the same
magnitude, phase, and delay responses of the analog filter for |ω| < ωs /2. Otherwise, the frequency responses
are not identical because aliasing occurs. Nevertheless, the impulse responses are identical at the sample
times.
Suppose that H(s) is causal. Its transfer function can be expanded as a partial fraction as

assuming N > M. The impulse response of the analog filter equals

Taking the z-transform of h(t) and multiplying by T gives the impulse-invariant digital filter gain as

Modified Impulse-Invariant Transform Design. An interesting alternative the impulse-invariant


transform is the modified impulse-invariant transform (8). For an analog transfer function H(s) = N(s)/D(s),
its poles were preserved but its zeros were not in Eq. (17). However, the zeros can also be preserved using the
IIR FILTERS 9

following method. Express two new transfer functions as

which are all-pole low-pass filters. Their impulse-invariant response versions equal

Forming the ratio of these two transfer functions produces the modified impulse-invariant transform as

This is the product of the matched-z transform of the next section and a N 2 (z)/N 1 (z) compensator.
Because this method relies on closely approximating the frequency responses of both the numerator N(s)
and denominator D(s) of H(z) regardless of their orders, H(z) well approximates H(s) in ac steady state.
Matched-z Transform Design. One of the simplest design methods is the matched-z transform. It
uses the z-transform z = esT to map every analog pole −pk and every analog zero −zk into their equivalent
digital pole zp = e − pkT and zero zz = e − zkT , respectively. Using this approach, Eq. (15) maps as

We see that its transfer function has a related form to Eqs. (17) and (20). The impulse-invariant transform
Eq. (17) has the same denominator but a different numerator. The modified impulse-invariant transform Eq.
(20) has the same denominator and numerator but is multiplied by a compensator. The matched-z transform
does not preserve frequency-domain characteristics such as magnitude ripple and delay, nor does it preserve
time-domain responses. Its major advantage is ease of application.
Complementary Design. On a related topic, a complementary digital filter H c (z) can be obtained from
a digital filter H(z) using

The impulse responses of these two filters add to a step function U − 1 (n) so the responses are said to be
complementary. Therefore, these two filters maintain their time domain impulse response characteristics such
as delay and rise (fall) times, overshoot (undershoot), etc. If one filter is low-pass, its complementary filter
is high-pass. If one filter is bandpass, its complementary filter is bandstop. This is an especially convenient
approach because it generates an additional filter with little additional computational cost (1).
10 IIR FILTERS

Fig. 2. Magnitude gain response specification of (a) digital filters and (b) analog filters.

Filter Orders

Digital filters generally have frequency-domain specifications like that drawn in Fig. 2 where
f p = maximum passband frequency (Hz) for M p (dB) maximum ripple
f r = minimum stopband frequency (Hz) for M r (dB) minimum rejection
f s = sampling frequency (Hz) = 1/T seconds
These are usually converted to the normalized frequency form as where
ωp T = 360◦ f p /f s = maximum passband frequency in degrees
ωr T = 360◦ f r /f s = minimum stopband frequency in degrees
d = ωr T/ωp T = stopband/passband frequency ratio.
From such (M p ,M r ,r ) frequency specifications, the digital filter order n is determined from nomographs
using the following procedure. This can be easily done for classical analog filter transfer functions (like Butter-
worth and Chebyshev) combined with the digital transforms (like bilinear) discussed earlier (9).
Frequency Warping. Consider the bilinear transform of Table 3 and its associated frequency relation

where z = jω and s = jv (after some manipulation). The analog filter frequencies v = (0,1,∞) map into the digital
filter frequencies ωT = (0,BT,π). Therefore the bilinear transform compresses the high-frequency response of
the analog filter into frequencies approaching π radians in the digital filter. Thus the digital filter order will be
less than the analog filter order, or at most equal, using this transform.
Other transforms have other constants. For example, the Euler transforms have vT = sin(ωT) or ωT =
sin − 1 (vT). These transforms expand rather than compress the higher-frequency filter response. The digital
filter orders will be no less, and often greater, than the analog filter orders using the Euler transforms.
As the sampling frequency f s approaches infinity or the sampling interval T approaches zero, all properly
formulated discrete transforms produce digital filters whose transfer functions approach that of the analog
filter from which they were all derived. For example, the bilinear transform in Eq. (23) has frequencies that
IIR FILTERS 11

are related as

so that ωT ∼= vT at low frequencies. Therefore, the low-frequency responses of all the digital filters and the
analog filter must be identical. However, as T increases from zero, this is no longer true, and the frequencies
begin to warp or diverge. In addition, for most transforms the locus of z no longer remains on the unit circle
as s moves up the imaginary axis. The frequency responses cannot remain similar, and considerable distortion
begins to appear. This does not occur with the bilinear transform whose locus remains on the unit circle.
To make this important point, we refer to Table 5. The various transforms are listed with their frequency
mappings for small ωT. Across the top of the table are listed percentage errors in the ωT/vT ratios ranging from
1 to 20%. Also listed are the digital frequencies ωT required for the error to be below this limit. For the bilinear
transform, normalized frequencies less than 43◦ will be warped less than 5%. For the Euler transforms, this
normalized frequency is reduced to 32◦ .
Analog Filter Nomographs. Analog filter nomographs are well-known and readily available (9).They
can be used directly to determine digital filter orders easily. The digital filter frequencies must be converted into
their equivalent or warped analog filter frequencies. The necessary frequency ratios listed in Table 6 must be
computed. These ratios are then entered onto the nomograph as shown in Fig. 3. The digital filter frequencies
d are converted into their corresponding analog filter frequencies a . These analog filter frequencies are then
transferred onto the nomograph as usual, and the analog filter order is determined.
Digital Filter Design Procedure. The design procedure for digital filters using discrete transform is
straightforward. It consists of the following steps (1):

• 1a.Select a suitable analog filter type (e.g., Butterworth, Chebyshev, elliptic).


• 1b.Choose a particular sn -to-z transform from Tables 3 and 4.
• 2.Determine the required analog filter order from the nomograph. Use Table 6.
• 3.Write the analog transfer function H(s) having unit bandwidth and the desired magnitude.
• 4.Compute the normalization constant and the discrete transform from Tables 3 and 4.
• 5.Compute the digital transfer function H(z) by substituting the sn -to-z transform of step 4 into the analog
transfer function H(s) of step 3.
• 6.Implement the transfer function using one of the realization techniques discussed later.
12 IIR FILTERS

Fig. 3. Use of analog filter nomograph for computing digital filter order.

Design Examples

Now that we have introduced and described IIR filters, presented their frequency- and time-domain algorithms,
and described their design procedure, we now will unify this using design examples. We will design a fourth-
order elliptic lowpass filter with 0.28 dB in-band ripple, a minimum of 40 dB stopband rejection, and a 1 kHz
IIR FILTERS 13

bandwidth using a 10 kHz sampling frequency. Using tables, the analog transfer function equals

The scaling constant 0.1363 is selected so that the dc gain H(0) is −0.28 dB. The maximum in-band gain is
then 0 dB. Most digital filters are designed following the procedure [or some modified version (10)] described in
the previous section. We have chosen both the analog filter type (i.e., elliptic) and order (i.e., 4). Now we choose
the design method and will now demonstrate each of them as discussed previously (1).
Bilinear Transfer Design Example. We choose the bilinear transform of Table 3. Because the desired
0.28 dB bandwidth is 1 kHz and the sampling frequency is 10 kHz, the normalized digital filter bandwidth is
360◦ (1 kHz/10 kHz) = 36◦ . The necessary constant then equals C = tan(36◦ /2) = 0.3249 = 1/3.078. The bilinear
transform then equals
14 IIR FILTERS

Fig. 4. Multiple feedback (1F) realization of fourth-order elliptic digital filter.

Substituting this into the analog transfer function Eq. (25) produces the digital transfer function

The bilinear transform preserves the magnitude and phase response behavior of H(s) but with frequency
warping.
Impulse-Invariant Transform Design. The impulse-invariant transform preserves the impulse re-
sponse h(t) of the analog filter. Expressing the gain Eq. (25) as a partial fraction expansion gives

where sn = s/2π(1 kHz). Taking the inverse Laplace transform, sampling the resulting impulse response h(t)
at T = 1 ms, and z-transforming the result gives

This transform tends to maintain the frequency domain shaping of H(s) but with some aliasing.
IIR FILTERS 15

Fig. 5. Cascade (1F) realization of fourth-order elliptic digital filter.

Modified Impulse-Invariant Transform Design. We express the numerator and denominator of the
analog filter Eq. (25) as separate transfer functions where

We convert these using the impulse-invariant transform to

Forming the ratio H 02 /H 01 gives the digital filter as


16 IIR FILTERS

Fig. 6. Parallel (1F) realization of fourth-order elliptic digital filter.

The modified impulse-invariant transform produces a digital filter that more closely approximates the magni-
tude response of the analog filter.
Matched-z Transform Design. The analog filter poles and zeros of Eq. (25) are converted to digital
filter poles and zeros using the z-transform z = esT . Converting the poles and zeros gives
IIR FILTERS 17

Grouping these terms together, the digital filter transfer function equals

This method is simple and gives fairly good responses.

IIR Filter Realizations

To implement an IIR digital filter H(z) in either hardware or software, usually one of the structures listed
in Table 7 must be chosen. These structures are multiple feedback, cascade, parallel, lattice, ladder, analog
simulation, and wave. Because each form has many variations, a wide variety of implementations exist. To
conserve space, some of these implementation forms are now shown by examples but with no discussion. These
filter structures implement the fourth-order 0.28 dB elliptic digital filter whose H(z) is given by Eq. (25) as

The different realizations or structures result by expressing H(z) in different forms as will now be shown (1).
Multiple Feedback Structure. The multiple feedback structure uses H(z) in the summation form Eq.
(4) as

The constant 0.01201 can be included in the numerator as was done here or treated as an external multiplier.
(See Fig. 4.)
Cascade Structure. The cascade structure uses H(z) in the product form of Eq. (3) with biquads as

The poles and zeros are paired. Better performance is usually obtained by selecting pole-zero pairs separated
by a relatively constant distance. (See Fig. 5.)
18 IIR FILTERS

Fig. 7. Lattice realization of fourth-order elliptic digital filter.

Parallel Structure. The parallel structure uses H(z) in the partial fraction expanson form of Eq. (17)
with biquads as

Notice here the biquad does not use a z − 2 term but instead a 0.02864 constant. This reduces complexity. (See
Fig. 6.)
Lattice Structure. The lattice structure uses H(z) in a chain matrix product form. The process is
standard but lengthy and involves the simultaneous solution of equations. (See Fig. 7.)
Ladder Structure. The ladder structure uses H(z) in the continued fraction expansion or repeated long
division form as
IIR FILTERS 19

Fig. 8. (a) Cauer 1 and (b) Cauer 2 ladder realizations of fourth-order elliptic digital filter.

for Cauer 1 (see Fig. 8a) and

for Cauer 2 (see Fig. 8b). The // denotes the repeated long division operation.
Analog Simulation Structure. The analog simulation structure uses tabulated RLC analog filters
which implement standard H(s). This ladder is put into flow graph form in which the L and C terms involve
1/s. These analog integrator terms are replaced by digital transforms as found in Table 3. This produces H(z)
structures. (See Fig. 9.)
Wave Structure. The wave structure of H(z) is a more complicated form of tabulated H(s) RLC ladders
(1).

IIR Filter Properties

Some of the most important digital filter properties are (1):

(1) Complexity Related to the total number of delays, multipliers, and summers.
(2) Cost Proportional to complexity.
(3) Speed/sampling rate Related to complexity.
(4) Memory Determined by the total number of delay elements and filter coefficients.
20 IIR FILTERS

Fig. 9. Analog filter simulation realization of fourth-order elliptic filter showing (a) RLC analog filter, (b) block diagram
equivalent, and (c) digital filter.

(5) Sensitivity of pole/zero locations Controlled by word length and arithmetic used in computations (fixed- or
floating-point).
(6) Data quantization, coefficient truncation, and product roundoff noise Determined by word length.
(7) Limit cycles Low-level oscillation that continues indefinitely as a result of quantization effects.
(8) Dynamic range Determined by word length, arithmetic used, and filter structure.

A digital filter requires addition, multiplication, and delay z − 1 elements. The complexity depends directly
upon the number of elements required. They are listed in Table 7. Complexity depends indirectly upon filter
type (low-pass, high-pass, etc.), the filter gain characteristic (Butterworth, etc.), and the arithmetic used for
computations. Table 7 shows that multiple feedback structures are the simplest and wave structures are the
most complex. Cost in the general sense is proportional to complexity.
Speed is determined by the speed of the adders, multipliers, and delay (write/read) operations. If these
three digital filter elements have about the same speed, then speed is proportional to total complexity. Parallel
processing techniques can be used to increase speed.
The memory requirements are dictated by the number of delay elements required (data storage) and the
number of filter coefficients used (the ak and bk ). Memory is minimized by using canonical forms having the
minimum number of z − 1 terms. Almost all the filter forms are canonical. The 3F and 4F multiple feedback
forms should be avoided because they require twice the number of delay elements.
The sensitivity of the pole–zero locations of the filter and its response depends upon the word length (i.e.,
finite word size and coefficient truncation) and the type of arithmetic used in the computations. Generally
floating-point arithmetic and long coefficient lengths produce the lowest sensitivity.
IIR FILTERS 21

BIBLIOGRAPHY

1. C. S. Lindquist Adaptive & Digital Signal Processing with Digital Filtering Applications. Miami, FL: Steward & Sons,
1989.
2. A. V. Oppenheim A. S. Willsky Signals & Systems, 2nd ed., Chaps. 9–10. Englewood Cliffs, NJ: Prentice-Hall, 1997.
3. R. C. Gonzalez P. Wintz Digital Image Processing, 2nd ed. Reading, MA: Addison-Wesley, 1987.
4. S. J. Orfanides Introduction to Signal Processing, Chap. 3.5. Englewood Cliffs, NJ: Prentice-Hall, 1996.
5. J. G. Proakis D. M. Manolakis Digital Signal Processing, 3rd ed., Chap. 8.3. Englewood Cliffs, NJ: Prentice-Hall, 1996.
6. S. D. Stearns D. R. Rush Digital Signal Analysis, Chap. 11. Englewood Cliffs, NJ: Prentice-Hall, 1990.
7. A. V. Oppenheim R. W. Schafer Discrete-Time Signal Processing, Chap. 7.1. Englewood Cliffs, NJ: Prentice-Hall, 1989.
8. A. Antoniou Digital Filters: Analysis and Design, Chap. 7.4. New York: McGraw-Hill, 1979.
9. C. S. Lindquist Active Network Design with Active Filtering Applications. Miami, FL: Steward & Sons, 1977.
10. S. D. Stearns R. A. David Signal Processing Algorithms, Chap. 7. Englewood Cliffs, NJ: Prentice-Hall, 1988.

CLAUDE S. LINDQUIST
University of Miami
INTEGRATED CIRCUITS 361

integration), but many in the rest of the world continue to call


it VLSI. The driving factor behind integrated circuit technol-
ogy was the scaling factor, which in turn affected the circuit
density within a single packaged chip. In 1965, Gordon Moore
predicted that the density of components per integrated cir-
cuit would continue to double at regular intervals. Amazingly,
this has proved true, with a fair amount of accuracy (1).
Another important factor used in measuring the advances
in IC technology is the minimum feature size or the minimum
line width within an integrated circuit (measured in microns).
From about 8 애m in the early 1970s, the minimum feature
size has decreased steadily, increasing the chip density or the
number of devices that can be packed within a given die size.
In the early 1990s, the minimum feature size decreased to
about 0.5 애m, and currently 0.3, 0.25, and 0.1 애m technolo-
gies (also called as deep submicron technologies) are becom-
ing increasingly common. IC complexity refers, in general, to
the increase in chip area (die size), the decrease in minimum
feature size, and the increase in chip density. With the in-
crease in IC complexity, the design time and the design auto-
mation complexity increase significantly. The advances in IC
INTEGRATED CIRCUITS technology are the result of many factors, such as high-resolu-
tion lithography techniques, better processing capabilities, re-
The invention of the transistor in 1947 by William Shockley liability and yield characteristics, sophisticated design auto-
and his colleagues John Bardeen and Walter Brattain at Bell mation tools, and accumulated architecture, circuit, and
Laboratories, Murray Hill, NJ, launched a new era of inte- layout design experience.
grated circuits (IC). The transistor concept was based on the
discovery that the flow of electric current through a solid
BASIC TECHNOLOGIES
semiconductor material like silicon can be controlled by add-
ing impurities appropriately through the implantation pro-
The field of integrated circuits is broad. The various basic
cesses. The transistor replaced the vacuum tube due to its
technologies commonly known are shown in Fig. 1. The inert
better reliability, lesser power requirements, and, above all,
substrate processes, further divided as thin and thick film
its much smaller size. In the late 1950s, Jack Kilby of Texas
processes, yield devices with good resistive and temperature
Instruments developed the first integrated circuit. The ability
characteristics. However, they are mostly used in low-volume
to develop flat or planar ICs, which allowed the interconnec-
circuits and in hybrid ICs. The two most popular active sub-
tion of circuits on a single substrate (due to Robert Noyce and
strate materials are silicon and gallium arsenide (GaAs). The
Gordon Moore), began the microelectronics revolution. The
silicon processes can be separated into two classes: MOS (the
substrate is the supporting semiconductor material on which
basic device is a metal oxide semiconductor field effect tran-
the various devices that form the integrated circuit are
sistor) and bipolar (the basic device is bipolar junction tran-
attached. Researchers developed sophisticated photolithogra-
sistors). The bipolar process was commonly used in the 1960s
phy techniques that helped in the reduction of the minimum
and 1970s and yields high-speed circuits with the overhead of
feature size, leading to larger circuits being implemented on
high-power dissipation and the disadvantage of low density.
a chip. The miniaturization of the transistor led to the devel-
The transistor-transistor logic (TTL) family of circuits consti-
opment of integrated circuit technology in which several hun-
tutes the most popular type of bipolar and is still used in
dreds and thousands of transistors could be integrated on a
many high-volume applications. The emitter-coupled logic
single silicon die. IC technology led to further developments,
such as microprocessors, mainframe computers, and super-
computers. Basic technologies
Since the first integrated circuit was designed following
the invention of the transistor, several generations of inte-
grated circuits have come into existence: SSI (small-scale in- Inert substrate Active substrate
tegration) in the early 1960s, MSI (medium-scale integration)
in the latter half of the 1960s, and LSI (large-scale integra-
tion) in the 1970s. The VLSI (very large scale integration) era Thin film Thick film Silicon Gallium arsenide
began in the 1980s. While the SSI components consisted on
the order of 10 to 100 transistors or devices per integrated
circuit package, the MSI chips consisted of anywhere from MOS Bipolar MESFET Bipolar
100 to 1000 devices per chip. The LSI components ranged
from roughly 1000 to 20,000 transistors per chip, while the
VLSI chips contain on the order of up to 3 million devices.
NMOS PMOS CMOS BI-CMOS TTL I2 L ECL
When the chip density increases beyond a few million, the
Japanese refer to the technology as ULSI (ultra large scale Figure 1. Overview of the basic technologies.

J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
362 INTEGRATED CIRCUITS

(ECL) devices are used for high-speed parts that form the tions, the electrons in n-type GaAs material travel twice
critical path delay of the circuit. The MOS family of processes faster than in silicon. Thus, the GaAs circuits could function
consists of PMOS, NMOS, CMOS, and BiCMOS. The term at twice the speed than the silicon ECL circuits for the same
PMOS refers to a MOS process that uses only p-channel tran- minimum feature size. The GaAs mateial has a larger band-
sistors, and NMOS refers to a MOS process that uses only n- gap and does not need gate oxide material, as in silicon, which
channel transistors. PMOS is not used much due to its electri- makes it immune to radiation effects. Also, the GaAs material
cal characteristics, which are not as good as the n-channel has very high resistivity at room temperatures and lower par-
field effect transistors (FET), primarily since the mobility of asitic capacitances, yielding high-quality transistor devices.
the n-channel material is almost twice compared to the mobil- However, the cost of fabricating large GaAs circuits is signifi-
ity of the p-channel material. Also, the NMOS devices are cantly high due to its low reliability and yield characteristics
smaller than the PMOS devices, and thus PMOS do not give (primarily due to the presence of more defects in the material
good packing density. compared to silicon). The fabrication process is complex, ex-
CMOS was introduced in the early 1960s; however, it was pensive, and does not aid scaling. Also, the hole mobility is
only used in limited applications, such as watches and calcu- the same as in silicon, which means GaAs is not preferable
lators. This was primarily due to the fact that CMOS had for complementary circuits. Thus, the GaAs technology has
slower speed, less packing density, and latchup problems al- not been as successful as initially promised. Since CMOS has
though it had a high noise margin and lower power require- been the most dominant technology for integrated circuits, we
ments. Thus, NMOS was preferred over CMOS, in general, examine the MOS transistor and its characteristics as a
until the p-channel devices developed began to have similar switch in the next section.
characteristics as the nMOS and both the p-channel and n-
channel transistors started delivering close to equal amounts
THE MOS SWITCH
of currents with similar transistor sizes. In the 1980s and the
1990s, the need for lower power consumption was the driving
The MOSFET is the basic building block of contemporary
factor, and thus CMOS emerged as the leading IC technology
CMOS circuits, such as microprocessors and memories. A
(2). The BiCMOS technology combines both bipolar and
MOSFET is an unipolar device; that is, current is transported
CMOS devices in a single process. While CMOS is preferred
by means of only one type of polarity (electrons in an n type
for logic circuits, BiCMOS is preferred for input/output (I/O)
and holes in a p type). In this section, we describe the basic
and driver circuits due to its low input impedance and high
structure of MOSFETS and their operation and provide ex-
current driving capability.
amples of gates built using MOS devices.
Since the 1980s, efforts have been directed toward design-
ing digital ICs using GaAs devices. In many high-resolution
Structure
radar systems, space systems, high-speed communication cir-
cuits, and microwave circuits, the integrated circuits need to The basic structure of a MOSFET (n and p type) is shown in
operate at speeds beyond several gigahertz (GHz). In silicon Fig. 2. We describe the structure of an n-type MOSFET (3,4).
technology, it is possible to obtain speeds on the order of up It consists of four terminals with a p-type substrate into
to 10 GHz using ECL circuits, which is almost pushing the which two n⫹ regions are implanted. The substrate is a silicon
limits of the silicon technology. In GaAs technology, the basic wafer that provides stability and support. The region between
device is the metal semiconductor (Schottky gate) field effect the two n⫹ regions is covered by an insulator, typically poly-
transistor, called the GaAs MESFET. Given similar condi- silicon and a metal contact. This contact forms the gate of the

Gate oxide G

Poly gate

Field oxide n+ n+ Field oxide


Channel
S D
P-type substrate

NMOS structure NMOS symbol

Gate oxide G

Poly gate

Field oxide p+ p+ Field oxide


Channel
S D
N-type substrate
Figure 2. Structure of n- and p-type
MOSFET. PMOS structure PMOS symbol
INTEGRATED CIRCUITS 363

transistor. The insulating layer is required to prevent the flow


of current between the semiconductor and the gate. The two
n⫹ regions form the source and the drain. Due to the symme- Vgs1
try of the structure, the source and the drain are equivalent. Linear Saturation
The gate input controls the operation of the MOSFET. A bias region region
voltage on the gate causes the formation of a channel between Ids
Vgs2
the n⫹ regions. This channel causes a connection between the
source and drain and is responsible for the flow of the current.
Vgs3
The MOSFET is surrounded by a thick oxide, called the field
Vgs4
oxide, which isolates it from neighboring devices. Reversal of
n and p types in the discussion will result in a p-type MOS- Vds
FET. Typical circuit symbols for n-type and p-type MOSFETS
are also shown in Fig. 2. Figure 3. Output characteristics of a MOS transistor.

Operation
When no gate bias is applied, the drain and the source behave the conducting channel. The channel acts like a resistance,
as two pn junctions connected in series in the opposite direc- and the drain current is proportional to the drain voltage.
tion. The only current that flows is the reverse leakage cur- This is the linear region of operation. As the value of Vds is
rent from the source to the drain. When a positive voltage is increased, the channel charge near the drain decreases. The
applied to the gate, the electrons are attracted and the holes channel is pinched off when Vds ⫽ Vgs ⫺ Vt. An increase in
are repelled. This causes the formation of an inversion layer Vds beyond the pinchoff value causes little change in the drain
or a channel region. The source and the drain are connected current. This is the saturation region of operation of the MOS
by a conducting n channel through which the current can device. The output characteristics of n- and p-type devices is
flow. This voltage-induced channel is formed only when the shown in Fig. 3. The equations that describe the regions of
applied voltage is greater than the threshold voltage, Vt. MOS operation can be summarized as follows:
devices that do not conduct when no gate bias is applied are
called enhancement mode or normally OFF transistors. In 0 If Vgs ≤ Vt (cutoff)
nMOS enhancement mode devices, a gate voltage greater Ids = k/2[2(Vgs − Vt )Vds − Vds
2
]
than Vt should be applied for channel formation. In pMOS If Vg > Vt , Vds ≤ (Vgs − Vt ) (linear)
enhancement mode devices, a negative gate voltage whose k/2(Vgs − Vt )2 If Vg > Vt , Vds > (Vgs − Vt ) (saturation)
magnitude is greater than Vt must be applied. MOS devices
that conduct at zero gate bias are called normally ON or
where k is the transconductance parameter of the transistor.
depletion mode devices. A gate voltage of appropriate polarity
A detailed analysis of the structure and operation of MOS
depletes the channel of majority carriers and hence turns it
devices is described in Refs. 3, 5, 7, and 8.
OFF.
Considering an enhancement mode n-channel transistor,
CMOS Inverter
when the bias voltage is above the predefined threshold volt-
age, the gate acts as a closed switch between the source and The basic structure of an inverter is shown in Fig. 4, and the
drain, the terminals of which become electrically connected. process cross section is shown in Fig. 5. The gates of both the
When the gate voltage is cut off, the channel becomes absent, NMOS and the PMOS transistors are connected. The PMOs
the transistor stops conducting, and the source and the drain transistor is connected to the supply voltage Vdd, and the
channels get electrically disconnected. Similarly, the p-chan- NMOS transistor is connected to Gnd. When a logical 0 is ap-
nel transistor conducts when the gate voltage is beneath the plied at the input Vin, then the PMOS device is on and the
threshold voltage and stops conducting when the bias voltage output is pulled to Vdd. Hence the output is a logical 1. On the
is increased above the threshold. The behavior of the MOS other hand, when a logical 1 is applied at the input, then the
transistor as a switch forms the fundamental basis for imple- NMOS transistor is on and the output is pulled to the ground.
menting digital Boolean circuits using MOS devices. Hence we have a logical 0. The operating regions of the tran-

Output Characteristics
We describe the basis output characteristics (5,6) of a MOS Vdd
device in this subsection. There are three regions of operation
for a MOS device:

1. Cutoff region
In Out
2. Linear region
3. Saturation region

In the cutoff region, no current flows and the device is said to


be off. When a bias, Vgs, is applied to the gate such that Vg ⬎
GND
Vt, the channel is formed. If a small drain voltage, Vds, is ap-
plied, drain current, Ids, flows from source to drain through Figure 4. Circuit schematic of an inverter.
364 INTEGRATED CIRCUITS

;;;;;;;;;;
; ;;;;
VDD Input
Ground

;;; ;;
Output

; ;
;
;;
n well

;;
;
p substrate

Polysilicon Field oxide p diffusion


Figure 5. Process cross section of an n-
well inverter. Metal (A1) Gate oxide n diffusion

sistor are shown in Fig. 6. In region I, the n device is off and control signal (say, g) applied to the n-type device is comple-
the p device operates in the linear region. Hence the output mented and applied to the p-type device. When g is high, both
is pulled to Vdd. In region II, the n and p devices operate in the transistors are on and hence a good 1 or a 0 is passed.
the linear and saturation region depending on the input volt- When g is low, both the devices are off. This is also called a
age. In region III, the p device is cut off and the n device is complementary switch, or a C SWITCH (5).
operating in the linear region. The output is pulled to the
ground. In region II, when both the transistors are on simul-
taneously, a short is produced between Vdd and Gnd. This ac- NAND and NOR Gates
counts for the short circuit power dissipation in CMOS logic. CMOS combinational gates are constructed by connecting the
PMOS and NMOS devices in either series or parallel to gener-
Transmission Gate ate different logical functions. The structures for a two-input
Consider the device shown in Fig. 7, which represents an NAND and NOR gate are shown in Fig. 9.
NMOS or a PMOS device. By suitably controlling the gate
bias, the device can be made to turn on or off. It behaves NAND Gate. The p devices are connected in parallel, and
as an electrical switch that either connects or disconnects the n devices are connected in series. When either of the in-
the points s and d. An NMOS device is a good switch when puts A or B is a logical 0, the output is pulled high to Vdd.
it passes a logical 0, and a PMOS is a good switch when it When both A and B are high, then the output is pulled to the
passes a logical 1. In CMOS logic, both the NMOS and PMOS ground. Hence this structure implements the operation f ⫽
devices operate together. In general, the NMOS transistor
(A ⭈ B)⬘.
pulls down the output node to logical 0, and the PMOS device
pulls up a node to logical 1. A transmission gate is obtained
by connecting the two in parallel, as shown in Fig. 8. The NOR Gate. Similarly, in the NOR gate, the p devices are
connected in series and the n devices are connected in paral-
lel. When either of the inputs A or B is a logical 1, then the
output is pulled to the ground. When both A and B are low,
then the output is pulled to Vdd. Hence this structure imple-
Vdd
ments the operation f ⫽ (A ⫹ B)⬘. The p structure is the logi-
cal dual of the n structure. An n input NAND and NOR gate
can be constructed in a similar fashion.

Electrical equivalent

s MOS d s d
device
I II III
s d
0
Vin g Appropriate gate bias

Figure 6. Operating regions of the transistor. Figure 7. A MOS device as a switch.


INTEGRATED CIRCUITS 365

g System-level specification and requirements

Functional design and description

Architectural design

Logic design
a b

Circuit design

Physical design

g Verification

Figure 8. Transmission gate. Fabrication

Testing
IC DESIGN METHODOLOGY
Figure 10. IC design methodology.
To design and realize VLSI circuits, several factors play key
roles. The goal of an IC designer is to design a circuit that
meets the given specifications and requirements while spend- the functional design and description, in which the system is
ing minimum design and development time avoiding design partitioned into functional modules and the functionality of
errors. The designed circuit should function correctly and the different modules and their interfaces to each other are
meet the performance requirements, such as delay, timing, considered. The issues to be considered are regularity and
power, and size. A robust design methodology has been estab- modularity of structures, subsystem design, data flow organi-
lished over the years, and the design of complex integrated zation, hierarchical design approach, cell types, geometric
circuits has been made possible essentially due to advances placements, and communication between the different blocks.
in VLSI design automation. The various stages in the design Once the functionality of the various modules is deter-
flow are shown in Fig. 10. The design cycle ranges from the mined, the architectural design of the modules is pursued.
system-level specification and requirements to the end prod- Many design alternatives are considered toward optimization.
uct of a fabricated, packaged, and tested integrated circuit. This stage also includes the design of any hardware algo-
The basic design methodology is briefly described here, and rithms to be mapped onto architectures. A behavioral-level
the various stages are discussed in detail in the followiing description of the architecture is obtained and verified using
sections using simple examples. extensive simulations, often with an iterative process. This
The first step is to determine the system-level specifica- stage is critical in obtaining an efficient circuit in the end and
tions, such as the overall functionality, size, power, perfor- for simplifying the steps in some of the following stages. In
mance, cost, application environment, IC fabrication process, the logic design stage, the architectural blocks are converted
technology, and chip-level and board-level interfaces required. into corresponding gate-level logic designs, Boolean minimiza-
There are several tradeoffs to be considered. The next step is tion is performed, and logic simulation is used to verify the
design at this level. In some design flows, the circuit could be
synthesized from the logic level by using gate-level libraries
(this is referred to as logic synthesis). The logic design usually
Vdd Vdd
includes a conventioinal logic design approach and a nontra-
ditional design, such as precharge logic. At this stage, gate
B delays are considered and timing diagrams are derived to ver-
ify the synchronization of the various logic modules. The next
step is the circuit design stage, which essentially involves
A B A converting the logic design modules into a circuit representa-
tion. At this stage, the essential factors considered are clock-
Out ing, switching speeds or delays, switching activity and power
requirements, and other electrical characteristics (e.g., resis-
Out tance, capacitance).
A
A B The most complex step in VLSI design automation is the
physical design, which includes floorplanning, partitioning,
B
placement, routing, layout, and compaction. This process con-
verts the given circuit design or description into a physical
layout that is a geometric representation of the entire circuit.
Each step of the physical design by itself is complex and takes
Gnd Gnd significant amounts of iterations and time. The various types
of transistors, the interconnecting wires, and contacts be-
Two input NAND gate Two input NOR gate
tween different wires and transistors are represented as dif-
Figure 9. Two-input NAND and NOR gate. ferent geometric patterns consisting of many layers placed ac-
366 INTEGRATED CIRCUITS

cording to several design rules that govern a given fabrication and functions perfectly depends on the efficiency of the design
technology and process. The floorplanning step involves automation tools.
higher-level planning of the various components on the lay-
out. The partitioning step converts the overall circuit into CIRCUIT DESIGN
smaller blocks to help the other steps. It is usually impracti-
cal to synthesize the entire circuit in one step. Thus, logic To create performance optimized designs, two areas have to
partitioning is used to divide the given circuit into a smaler be addressed to achieve a prescribed behavior: (1) circuit or
number of blocks, which can be individually synthesized and structural design, and (2) layout or physical design. While the
compacted. This step considers the size of the blocks, the layout design is discussed in a later section, this section fo-
number of blocks, and the interconnections between the cuses on the former.
blocks and yields a netlist for each block that can be used in A logic circuit must function correctly and meet the timing
the further design steps. requirements. There are several factors that can result in the
During the next step, which is the placement of the blocks incorrect functioning of a CMOS logic gate: (1) incorrect or
on the chip layout, the various blocks are placed such that insufficient power supplies, (2) noise on gate inputs, (3) faulty
the routing can be completed effectively and the blocks use transistors, (4) faulty connections to transistors, (5) incorrect
minimum overall area, avoiding any white spaces. The place- ratios in ratioed logic, and (6) charge sharing or incorrect
ment task is iterative in that an initial placement is obtained clocking in dynamic gates. In any design, there are a certain
first and evaluated for area minimization and effective rout- paths, called critical paths, that require attention to timing
ing possibility, and alternate arrangements are investigated details since they determine the overall functional frequency.
until a good placement is obtained. The routing task com- The critical paths are recognized and analyzed using timing
pletes the routing of the various interconnections, as specified analyzer tools and can be dealt with at four levels:
by the netlists of the different blocks. The goal is to minimize
the routing wire lengths and minimize the overall area 1. Architecture
needed for routing. The routing areas between the various 2. RTL/logic level
blocks are referred to as channels or switchboxes. Initially, a 3. Circuit level
global routing is performed in which a channel assignment is
4. Layout level
determined based on the routing requirements, and then a
detailed routing step completes the actual point-to-point
Designing an efficient overall functional architecture helps
routing.
to achieve good performance. To design an efficient architec-
The last step in the physical design is the compaction step,
ture, it is important to understand the characteristics of the
which tries to compact the layout in all directions to minimize
algorithm being implemented as the architecture. At the reg-
the layout area. A compact layout leads to less wire lengths, ister transfer logic (RTL)/logic level, pipelining, the type of
lower capacitances, and more chip density since the chip area gates, and the fan-in and the fan-out of the gates are to be
is used effectively. The compaction step is usually an inter- considered. Fan-in is the number of inputs to a logic gate, and
active and iterative process in which the user can specify cer- fan-out is the number of gate inputs that the output of a logic
tain parameters and check if the compaction can be achieved. gate drives. Logic synthesis tools can be used to achieve the
The goal of compaction, in general, is to achieve minimum transformation of the RTL level. From the logic level, the cir-
layout area. The entire physical design process is iterative cuit level can be designed to optimize a critical speed path.
and is performed several times until an efficient layout for This is achieved by using different styles of CMOS logic, as
the given circuit is obtained. explained later in this section. Finally, the speed of a set of
Once the layout is obtained, design verification needs to be logic can be affected by rearranging the physical layout. The
done to ensure that the layout produced functions correctly following techniques can be used for specific design con-
and meets the specifications and requirements. In this stage, straints.
design rule checking is performed on the layout to make sure The various CMOS logic structures that can be used to im-
that the geometric placement and routing rules and the rules plement circuit designs are as follows:
regarding the separation of the various layers, the dimensions
of the transistors, and the width of the wires are followed 1. CMOS Complementary Logic. The CMOS complemen-
correctly. Any design rule violations that occurred during the tary logic gates are designed as ratioless circuits. In
physical design steps are detected and removed. Then circuit these circuits, the output voltage is not a fraction of the
extraction is performed to complete the functional verification Vdd (supply) and the gates are sized to meet the required
of the layout. This step verifies the correctness of the layout electrical characteristics of the circuits. The gate con-
produced by the physical design process. After layout verifi- sists of two blocks, and n block and a p block, that deter-
cation, the circuit layout is ready to be submitted for fabrica- mine the function of the gate. The p block is a dual of
tion, packaging, and testing. Usually, several dies are pro- the n block. Thus, an n-input gate will consist of 2n
duced on a single wafer and the wafer is tested for faulty dies. transistors.
The correct ones are diced out and packaged in the form of a 2. Pseudo-NMOS Logic. In this logic, the load device is a
pin grid array (PGA), dual in-line package (DIP), or any other single p transistor with the gate connected to Vdd (5,10).
packaging technology. The packaged chip is tested extensively This is equivalent to replacing the depletion NMOS load
for functionality, electrical and thermal characteristics, and in a conventional NMOS gate by a p device. The design
performance. The process of designing and building an inte- of this style of gate (11,12) involves ratioed transistor
grated circuit (9) that meets the performance requirements sizes to ensure proper operation and is shown in Fig.
INTEGRATED CIRCUITS 367

Weak p device
z

a Outputs

c
n-logic
b Inputs block
d

Clock

z = a⋅b + c⋅d
Figure 11. Pseudo-NMOS logic. Figure 13. CMOS-domino logic.

11. The static power dissipation that occurs whenever 5. CMOS Domino Logic. This is a modification of the
the pull-down chain is turned on is a major drawback clocked CMOS logic, in which a single clock is used to
of this logic style. precharge and evaluate a cascaded set of dynamic logic
3. Dynamic CMOS Logic. In the dynamic CMOS logic blocks. This involves incorporating a static CMOS in-
style, an n-transistor logic structure’s output node is verter into each logic gate (15), as shown in Fig. 13.
precharged to Vdd by a p transistor and conditionally During precharge, the output node is charged high and
discharged by an n transistor connected to Vss (5). The hence the output of the buffer is low. The transistors in
input capacitance of the gate is the same as the pseudo- the subsequent logic blocks will be turned off since they
NMOS gate. Here, the pull-up time is improved by vir- are fed by the buffer. When the gate is evaluated, the
tue of the active switch, but the pull-down time is in- output will conditionally go low (1–0), causing the
creased due to the ground. The disadvantage of this buffer to conditionally go high (0–1). Hence, in a cas-
logic structure is that the inputs can only change during caded set of logic blocks, each state evaluates and
the precharge phase and must be stable during the causes the next stage to evaluate, provided the entire
evaluate portion of the cycle Figure 12 depicts this logic sequence can be evaluated in one clock cycle. Therefore,
style. a single clock is used to precharge and evaluate all logic
4. Clocked CMOS Logic. To build CMOS logic gates with gates in a block. The disadvantages of this logic are that
low power dissipation (13), this logic structure was pro- (1) every gate needs to be buffered, and (2) only nonin-
posed. The reduced dynamic power dissipation is real- verting structures can be used.
ized due to the metal gate CMOS layout considerations. 6. NP Domino Logic (Zipper CMOS). This is a further re-
The gates have larger rise and fall times because of the finement of the domino CMOS (16–18). Here, the dom-
series clocking transistors, but the capacitance is simi- ino buffer is removed, and the cascading of logic blocks
lar to the CMOS complementary gates. This is a recom- is achieved by alternately composed p and n blocks, as
mended strategy for ‘‘hot electron’’ effects, because it is shown in Fig. 14. When the clock is low, all the n-
places an additional n transistor in series with the logic block stages are precharged high while all the p-block
transistors (14). stages are precharged low. Some of the advantages of

Clk –Clk Clk


z to p
blocks

n-logic n-logic p-logic n-logic


Inputs block Inputs
block block block

Clock

Figure 12. Dynamic CMOS logic. Other p Other n


blocks blocks
Figure 14. NP-domino logic.
368 INTEGRATED CIRCUITS

abstraction. A circuit can be simulated at the logic level, the


switch level, or with reference to the timing. Simulation is a
critical procedure before committing the design to silicon. The
simulators themselves are available in a wide variety of
types (22).
Output Output
Clock
Logic-Level Simulation
nMOS Logic-level simulation occurs at the highest level of abstrac-
Differential combinational tion. It uses primitive models of NOT, OR, AND, NOR, and
inputs network NAMD gates. Virtually all digital logic simulators are event
driven (i.e., a component is evaluated based on when an event
occurs on its inputs). Logic simulators are categorized ac-
cording to the way the delays are modeled in the circuit: (1)
Clock unit delay simulators, in which each component is assumed
to have a delay of one time unit, and (2) variable-delay simu-
lators, which allow components to have arbitrary delays.
While the former helps in simulating the functionality of the
Figure 15. Cascade voltage switch logic. circuit the latter allows for more accurate modeling of the
fast-changing nodes.
the dynamic logic styles include (1) smaller area than The timing is normally specified in terms of an inertial de-
fully static gates, (2) smaller parasitic capacitances, and lay and a load-dependent delay, as follows:
(3) glitch-free operation if designed carefully.
Tgate = Tintrinsic + Cload × Tload
7. Cascade Voltage Switch Logic (CVSL). The CVSL is a
differential style of logic requiring both true and com-
where
plement signals to be routed to gates (19). Two comple-
mentary NMOS structures are constructed and then
Tgate ⫽ delay of the gate
connected to a pair of cross-coupled p pull-up transis-
Tintrinsic ⫽ intrinsic gate delay
tors. The gates here function similarly to the domino
Cload ⫽ actual load in some units (pF)
logic, but the advantage of this style is the ability to
Tload ⫽ delay per load in some units (ns/pF)
generate any logic expression involving both inverting
and noninverting structures. Figure 15 gives a sketch
Earlier, logic simulators used preprogrammed models for the
of the CVSL logic style.
gates, which forced the user to describe the system in terms
8. Pass Transistor Logic. Pass transistor logic is popular of these models. In modern simulators, programming primi-
in NMOS-rich circuits. Formal methods for deriving tives are provided that allow the user to write models for the
pass transistor logic for NMOS are presented in Ref. 20. components. The two most popular digital simulation systems
Here, a set of control signals and a set of pass signals in use today are VHDL and Verilog.
are applied to the gates and sources of the n transistor,
correspondingly. From these signals, the truth table for
Circuit-Level Simulation
any logic equation can be realized.
9. Source follower pull-up logic (SFPL): This is similar to The most detailed and accurate simulation technique is re-
the pseudo-NMOS gate except that the pull-up is con- ferred to as circuit analysis. Circuit analysis simulators oper-
trolled by the inputs (21). In turn, this leads to the use ate at the circuit level. Simulation programs typically solve a
of smaller pull-down circuits. The SFPL gate style re- complex set of matrix equations that relate the circuit volt-
duces the self-loading of the output and improves the ages, currents, and resistances. They provide accurate results
speed of the gate. Therefore, it shows a marked advan- but require long simulation times. If N is the number of non-
tage in high fan-in gates. linear devices in the circuit, then the simulation time is typi-
cally proportional to Nm, where m is between 1 and 2. Simula-
Using the various design styles, any circuit design can be tion programs are useful in verifying small circuits in detail
built in a hierarchical fashion. The basic gates are first built, but are unrealistic for verifying complex VLSI designs. They
from which functional blocks like a multiplexer or an adder are based on transistor models and hence should not be as-
circuit can be realized. From these basic blocks, more complex sumed to predict accurately the performance of designs. The
circuits can be constructed. Once a design for a specific appli- basic sources of error include (1) inaccuracies in the MOS
cation has been designed, the functionality of the circuit model parameters, (2) an inappropriate MOS model, and (3)
needs to be verified. Also, other constraints, like the timing inaccuracies in parasitic capacitances and resistances. The
and electrical characteristics, have to be studied before the circuit analysis programs widely used are the SPICE pro-
design can be manufactured. The techniques and tools to gram, developed at the University of California at Berekely
achieve this are the subject of the next section. (23), and the ASTAP program from IBM (24). HSPICE (25) is
the commercial variant of these programs. The SPICE pro-
SIMULATION gram provides various levels of modeling. The simple models
are optimized for speed, while the complex ones are used to
Simulation is required to verify if a design works the way get accurate solutions. As the feature size of the processes is
it should. Simulation can be performed at various levels of reduced, the models used for the transistors are no longer
INTEGRATED CIRCUITS 369

valid and hence the simulators cannot predict the perfor- out of the transistors of this schematic, which can then be
mance accurately unless new models are used. used to build the photomask for the fabrication of the in-
verter. Generating a complete layout in terms of rectangles
Switch-Level Simulation for a complex system can be overwhelming, although at some
point we need to generate it. Hence designers use an abstrac-
Switch-level simulation is simulation performed at the lowest
tion between the traditional transistor schematic and the full
level of abstraction. These simulators model transistors as
layout to help organize the layout for complex systems. This
switches to merge the logic-level and circuit-level simulation
abstraction is called a stick diagram. Figure 16 shows the
techniques. Although logic-level simulators also model tran-
stick diagram for the inverter schematic. As can be seen, the
sistors as switches, the unidirectional logic gate model cannot
stick diagram represents the rectangles in the layout as lines,
model charge sharing, which is a result of the bidirectionality
which represent wires and component symbols. Stick dia-
of the MOS transistor. Hence, we assume that all wires have
grams are not exact models of the layouts but let us evaluate
capacitance, since we need to locate charge-sharing bugs.
a candidate design with relatively little effort. Area and as-
RSIM (26) is an example of a switch-level simulator with tim-
pect ratio are difficult to estimate from stick diagrams.
ing. In RSIM, CMOS gates are modeled as either pull-up or
pull-down structures, for which the program calculates a re-
Design Rules
sistance to power or ground. The output capacitance of the
gate is used with the resistance to predict the rise and the Design rules for a layout (28) specify to the designer certain
fall times of a gate. geometric constraints on the layout artwork so that the pat-
terns on the processed wafer will preserve the topology and
Timing Simulators geometry of the designs. These help to prevent separate, iso-
lated features from accidentally short circuiting, or thin fea-
Timing simulators allow simple nonmatrix calculations to be
tures from opening, or contacts from slipping outside the area
employed to solve for circuit behavior. This involves making
to be contacted. They represent a tolerance that ensures very
approximations about the circuit, and hence accuracy is less
high probability of correct fabrication and subsequent opera-
than that of simulators like SPICE. The advantage is the exe-
tion of the IC. The design rules address two issues primarily:
cution time, which is over two orders of magnitude less than
for SPICE. Timing simulator implementations typically use
1. The geometrical reproduction of features that can be re-
MOS-model equations or table look-up methods. Examples of
produced by the mask-making and lithographical pro-
these simulators are in Ref. 27.
cess
Mixed-Mode Simulators 2. The interaction among the different layers

Mixed-mode simulators are available commercially today and Several approaches can be used to descibe the design rules.
merge the aforementioned different simulation techniques. These include the micron rules, stated at some micron resolu-
Each circuit block can be simulated in the appropriate mode. tion, and the lambda (␭)-based rules. The former are given as
The results of the simulation analysis are fed back to the a list of minimum feature sizes and spacings for all masks in
design stage, where the design is tuned to incorporate the a process, which is the usual style for the industry. Mead-
deviations. Once the circuit is perfected and the simulation Conway (29) popularized the ␭-based approach, where ␭ is
results are satisfactory, the design can be fabricated. To do process dependent and is defined as the maximum distance
this, we need to generate a geometric layout of the transistors by which a geometrical feature on any one layer can stray
and the electrical connections between them. This has been a from another feature. The advantage of the ␭-based approach
subject of intense research over the last decade and continues is that by defining ␭ properly the design itself can be made
to be so. The following section introduces this problem and independent of both the process and fabrication house, and
presents some of the well-known techniques for solving it. the design can be rescaled. The goal is to devise rules that
are simple, constant in time, applicable to many processes,
LAYOUT standardized among many institutions, and have a small
number of exceptions for specific processes. Figure 17 gives
The layout design is considered a prescription for preparing the layout of the inverter, with the design rules specified.
the photomasks used in the fabrication of ICs (5). There is a To design and verify layouts, different computer-aided de-
set of rules, called the design rules, used for the layout; these sign (CAD) tools can be used. The most important of these
serve as the link between the circuit and the process engi- are the layout editors (30), design rule checkers, and circuit
neer. The physical design engineer, in addition to knowledge extractors. The editor is an interactive graphic program that
of the components and the rules of the layout, needs strate- allows us to create and delete layout elements. Most editors
gies to fit the layouts together with other circuits and provide work on hierarchical layouts, but some editors, like Berekely’s
good electrical properties. The main objective is to obtain cir-
cuits with optimum yield in as small an area as possible with-
Vdd
out sacrificing reliability. Metal 2
The starting point for the layout is a circuit schematic. Fig- Metal 1
ure 2 depicts the schematic symbols for an n-type and p-type In Out Poly
transistor. The circuit schematic is treated as a specification n diff
for which we must implement the transistors and connections pdiff
Vss
between them in the layout. The circuit schematic of an in-
verter is shown in Fig. 4. We need to generate the exact lay- Figure 16. Stick diagram of the inverter.
370 INTEGRATED CIRCUITS

Metal 1-pdiff via reach the optimal. The modules are usually considered as

;;;;;
;;;
p-type rectangular boxes with specified dimensions. The algorithms
transistor then use different approaches to fit these boxes in a minimal
Metal 1 area or to optimize them to certain other constraints. For in-
Tub tie stance, consider a certain number of modules with specific di-
VDD mensions and a given area in which to fit them. This is simi-
lar to the bin-packing algorithm. After the placement step,

;;;;;;
ntub the different modules are placed in an optimal fashion and
Poly the electrical connections between them need to be realized.
Metal 1
Routing. Once the modules have been placed, we need to
a a′ create space for the electrical connections between them. To
keep the area of the floorplan minimal, the first consideration
Metal 1-poly via is to determine the shortest path between nodes, although a

;;;
; ;
Metal 1-ndiff via
cost-based approach may also be used. The cost is defined to
n-type ptub include an estimate of the congestion, number of available
transistor wire tracks in a local area, individual or overall wire length,

;;;
Metal 1
and so on. Since the problem is a complex one, the strategy is
to split the problem into global or loose routing and local or
Vss
Tub tie detailed routing. Global routing is a preliminary step, in
which each net is assigned to a particular routing area, and
Figure 17. Transistor layout of the inverter. the goal is to make 100% assignment of nets to routing re-
gions while minimizing the total wire length. Detailed routing
then determines the exact route for each net within the global
Magic tool (31), work on a symbolic layout. The latter include route. There are a number of approaches to both of these
somewhat more detail than the stick diagram but are still problems.
more abstract than the pure layout. The design rule checker, Global Routing. Global routing (36) is performed using a
or DRC, programs look for design rule violations in the lay- wire-length criterion, because all timing critical nets must be
outs. Magic has an on-line design rule checking. The circuit routed with minimum wire length. The routing area itself can
extractor is an extension of the DRC programs. While the be divided into disjoint rectangular areas, which can be classi-
DRC must identify transistors and vias to ensure proper fied by their topology. A two-sided channel is a rectangular
checks, the circuit extractor performs a complete job of compo- routing area with no obstruction inside and with pins on two
nent and wire extraction. it produces a netlist, which lists the parallel sides. A switch box is a rectangular routing area with
transistors in the layouts and the electrical nets that connect no obstructions and signals entering and leaving through all
their terminals. four sides (37). The focus in this problem is only to create
space between the modules for all the nets and not to deter-
Physical Design mine the exact route. The algorithms proceed by routing one
From the circuit design of a certain application and the design net at a time, choosing the shortest possible path. Since there
rules of a specific process, the physical design problem is to is a lot of dependency among the nets, different heuristics are
generate a geometric layout of the transistors of the circuit used to generate the least possible routing space in which to
design conforming to the specified design rules. From this lay- route the nets. Once space is created for all the nets, the exact
out, photomasks can be generated that will be used in the route of each net can be determined.
fabrication process. To achieve this, the different modules of Detailed Routing. Detailed routing is usually done by either
the design need to be placed first and then electrical connec- a maze-search or a line-search algorithm. The maze-running
tions between them realized through the metal layers. For algorithm (38,39) proposed by Lee-Moore finds the shortest
instance, a two-layer metallization would allow the designer path between any two points. For this, the layout is divided
to lay out metal both vertically and horizontally on the floor- into a grid of nodes, in which each node is weighted by its
plan. Whenever the wire changes direction, a via can be used distance from the source of the wire to be routed. The route
to connect the two metal layers. Due to the complexity of this that requires the smallest number of squares is then chosen.
problem, most authors treat module placement and the rout- If a solution exists, this algorithm will find it, but an exces-
ing between modules as two separate problems, although they sive amount of memory is required to achieve this. In the line-
are related critically. Also, in former days, when designs were search algorithm, vertical and horizontal lines are drawn
less complex, design was done by hand. Now we require so- from the source and the target, followed by horizontal or ver-
phisticated tools for this process. tical lines that intersect the original lines. This is repeated
until the source and target meet. There are also a number of
Placement. Placement is the task of placing modules adja- other heuristic algorithms that exploit different characteris-
cent to each other to minimize area or cycle time. The litera- tics of the design to generate optimal routing solutions. Ge-
ture consists of a number of different placement algorithms netic algorithms and simulated annealing approaches to this
that have been proposed (32–35). Most algorithms partition problem have gained importance in recent years.
the problem into smaller parts and then combine them, or An introduction to the various algorithms that have been
start with a random placement solution and then refine it to proposed for layouts can be found in Ref. 40. Once the layout
INTEGRATED CIRCUITS 371

has been determined and the photomasks made, the circuit 5. The edges of the slices are then rounded to reduce sub-
can go to the fabrication plant for processing. stantially the incidence of chipping during normal wa-
fer handling.
6. A chemical-mechanical polishing (43) step is then used
FABRICATION to produce the highly reflective and scratch- and dam-
age-free surface on one side of the wafer.
The section describes the approach used in building inte- 7. Most VLSI process technologies also require an epitax-
grated circuits on monolithic pieces of silicon. The process in- ial layer, which is grown by a chemical vapor deposi-
volves the fabrication of successive layers of insulating, con- tion process.
ducting, and semiconducting materials, which have to be
patterned to perform specific functions. The fabrication there- The most obvious trend in silicon material technology is
fore must be executed in a specific sequence, which consti- the increasing size of the silicon wafers. The use of these
tutes an IC process flow. The manufacturing process itself is larger-diameter wafers presents major challengers to semi-
a complex interrelationship of chemistry, physics, material conductor manufacturers. Several procedures have been in-
science, thermodynamics, and statistics. vestigated to increase axial impurity uniformity. These in-
Semiconducting materials, as the name suggests, are nei- clude the use of double crucibles, continuous liquid feed (CLF)
ther good conductors nor good insulators. While there are systems (44), magnetic Czochralski growth (MCZ) (44,45),
many semiconducting elements, silicon is primarily chosen for and controlled evaporation from the melt.
manufacturing ICs because it exhibits few useful properties.
Silicon devices can be built with a maximum operating tem- Epitaxial Layer
perature of about 150⬚C due to the smaller leakage currents
The epitaxial growth process is a means of depositing a single
as a result of the large bandgap of silicon (1.1 eV). IC planar crystal film with the same crystal orientation as the underly-
processing requires the capability to fabricate a passivation ing substrate. This can be achieved from the vapor phase, liq-
layer on the semiconductor surface. The oxide of silicon, uid phase, or solid phase. Vapor phase epitaxy has the widest
SiO2, which could act as such a layer, is easy to form and is acceptance in silicon processing, since excellent control of the
chemically stable. The controlled addition of specific impuri- impurity concentration and crystalline perfection can be
ties makes it possible to alter the characteristics of silicon. achieved. Epitaxial processes are used for the fabrication of
For these reasons, silicon is almost exclusively used for fabri- advanced CMOS VLSI circuits, because epitaxial processes
cating microelectronic components. minimize latch-up effects. Also in the epitaxial layer, doping
concentration can be accurately controlled, and the layer can
Silicon Material Technology be made oxygen and carbon free. Epitaxial deposition is a
chemical vapor deposition process (46). The four major chemi-
Beach sand is first refined to obtain semiconductor-grade sili- cal sources of silicon used commercially for this deposition are
con. This is then reduced to obtain electronic-grade polysilicon (1) silicon tetrachloride (SiCl4), (2) trichlorosilane (SiHCl3), (3)
in the form of long, slender rods. Single-crystal silicon is dichlorosilane (SiH2Cl2), and (4) silane (SiH4). Depending on
grown from this by the Czochralski (CZ) or float-zone (FZ) particular deposition conditions and film requirements, one of
methods. In CZ growth, single crystal ingots are pulled from these sources can be used.
molten silicon contained in a crucible. For VLSI applications,
CZ silicon is preferred because it can better withstand ther- Doping Silicon
mal stresses (41) and offers an internal gettering mechanism
The active circuit elements of the IC are formed within the
than can remove unwanted impurities from the device struc-
silicon substrate. To construct these elements, we need to cre-
tures on wafer surfaces (42). FZ crystals are grown without
ate localized n-type and p-type regions by adding the appro-
any contact to a container or crucible and hence can attain
priate dopant atoms. The process of introducing controlled
higher purity and resistivity than CZ silicon. Most high-volt-
amounts of impurities into the lattice of the monocrystalline
age, high-power devices are fabricated on FZ silicon. The sin-
silicon is known as doping. Dopants can be introduced selec-
gle crystal ingot is then subjected to a complex sequence of
tively into the silicon using two techniques: diffusion and ion
shaping and polishing, known as wafering, to produce start-
implantation.
ing material suitable for fabricating semiconductor devices.
This involves the following steps: Diffusion. The process by which a species moves as a result
of the presence of a chemical gradient is referred to as diffu-
1. The single crystal ingot undergoes routine evaluation of sion. Diffusion is a time- and temperature-dependent process.
resistivity, impurity content, crystal perfection size, and To achieve maximum control, most diffusions are performed
weight. in two steps. The first step is predeposition (47), which takes
2. Since ingots are not perfectly round, they are shaped to place at a furnace temperature and controls the amount of
the desired form and dimension. impurity that is introduced. The second step, the drive-in step
(47), controls the desired depth of diffusion.
3. The ingots are then sawed to produce silicon slices. The Predeposition. In predisposition, the impurity atoms are
operation defines the surface orientation, thickness, ta- made available at the surface of the wafer. The atoms of the
per, and bow of the slice. desired element in the form of a solution of controlled viscos-
4. To bring all the slices to within the specified thickness ity can be spun on the wafer, in the same manner as the pho-
tolerance, lapping and grinding steps are employed. toresist. For these spin-on dopants, the viscosity and the spin
372 INTEGRATED CIRCUITS

rate are used to control the desired dopant film thickness. micron features. The resolution is limited by a number of
The wafer is then subjected to a selected high temperature to factors, including (1) hardware, (2) optical properties of the
complete the predeposition diffusion. The impurity atoms can resist material, and (3) process characteristics (52).
also be made available by employing a low-temperature Most IC processes require 5 to 10 patterns. Each one of
chemical vapor deposition process in which the dopant is in- them needs to be aligned precisely with those already on the
troduced as a gaseous compound—usually in the presence of wafer. Typically, the alignment distance between two pat-
nitrogen as a diluent. The oxygen concentration must be care- terns is less than 0.2 애m across the entire area of the wafer.
fully controlled in this operation to prevent oxidation of the The initial alignment is made with respect to the crystal lat-
silicon surface of the wafer. tice structure of the wafer, and subsequent patterns are
Drive-In. After predeposition the dopant wafer is subjected aligned with the existing ones. Earlier, mask alignment was
to an elevated temperature. During this step, the atoms fur- done using contact printing (53,54), in which the mask is held
ther diffuse into the silicon crystal lattice. The rate of diffu- just off the wafer and visually aligned. The mask is then
sion is controlled by the temperature employed. The concen- pressed into contact with the wafer and impinged with ultra-
tration of the dopant atoms is maximum at the wafer surface violet light. There is a variation of this technique called prox-
and reduces as the silicon substrate is penetrated further. As imity printing, in which the mask is held slightly above the
the atoms migrate during the diffusion, this concentration wafer during exposure. Hard contact printing was preferred
changes. Hence a specific dopant profile can be achieved by because it reduced the diffraction of light, but it led to a num-
controlling the diffusion process. The dopant drive-in is usu- ber of yield and production problems. So the projection align-
ally performed in an oxidizing temperature to grow a protec- ment and exposure system was developed, in which the mask
tive layer of SiO2 over the newly diffused area. and wafer never touch and an optical system projects and
aligns the mask onto the wafer. Since there is no damage to
Ion Implantation. Ion implantation is a process in which the mask or photoresist, the mask life is virtually unlimited.
energetic, charged atoms or molecules are directly introduced VLSI devices use projection alignment as the standard pro-
into the substrate. Ion implantation (48,49) is superior to the duction method.
chemical doping methods discussed previously. The most im-
portant advantage of this process is its ability to control more Junction Isolation
precisely the number of implanted dopant atoms into sub-
When fabricating silicon ICs, it must be possible to isolate the
strates. Using this method, the lateral diffusion is reduced
devices from one another. These devices can then be con-
considerably compared to the chemical doping methods. Ion
nected through specific electrical paths to obtain the desired
implantation is a low-temperature process, and the parame-
circuit configuration. From this perspective, the isolation
ters that control the ion implantation are amenable to auto-
technology is one of the critical aspects of IC fabrication. For
matic control. After this process the wafer is subjected to an-
different IC types, like NMOS, CMOS, and bipolar, a variety
nealing to activate the dopant electrically. There are some
of techniques have been developed for device isolation. The
limitations to this process. Since the wafer is bombarded with
most important technique developed was termed LOCOS, for
dopant atoms, the material structure of the target is dam-
LOCal Oxidation of Silicon. This involves the formation of
aged. The throughput is typically lower than diffusion doping
semirecessed oxide in the nonactive or field areas of the sub-
process. Additionally, the equipment used causes safety haz-
strate. With the advent of submicron-size device geometries,
ards to operating personnel.
alternative approaches for isolation were needed. Modified
LOCOS processes, trench isolation, and selective epitaxial
Photolithography isolation were among the newer approaches adopted.
Photolithography is the most critical step in the fabrication
sequence. It determines the minimum feature size that can LOCOS. To isolate MOS transistors, it is necessary to pre-
be realized on silicon and is a photoengraving process that vent the formation of channels in the field regions. This im-
accurately transfers the circuit patterns to the wafer. Lithog- plies that a large value of VT is required in the field region, in
raphy (50,51) involves the patterning of metals, dielectrics, practice about 3 to 4 V above the supply voltage. Two ways to
and semiconductors. The photoresist material is first spin increase the field voltage are to increase the field oxide thick-
coated on the wafer substrate. It performs two important ness and raise the doping beneath the field oxide. Thicker
functions: (1) precise pattern formation and (2) protection of field oxide regions cause high enough threshold voltages but
the substrate during etch. The most important property of the unfortunately lead to step coverage problems, and hence thin-
photoresist is that its solubility in certain solvents is greatly ner field oxide regions are preferred. Therefore, the doping
affected by exposure to ultraviolet radiation. The resist layer under the field oxide region is increased to realize higher
is then exposed to ultraviolet light. Patterns can be trans- threshold voltages. Nevertheless, the field oxide is made 7 to
ferred to the wafer using either positive or negative masking 10 times thicker than the gate oxide. Following this, in the
techniques. The required pattern is formed when the wafer channel-stop implant step, ion implantation is used to in-
undergoes the development step. After development, the un- crease the doping under the field oxide. Until about 1970, the
desired material is removed by wet or dry etching. thick field oxide was grown using the grow-oxide-and-etch ap-
Resolution of the lithography process is important to this proach, in which the oxide is grown over the entire wafer and
process step. It specifies the ability to print minimum size then etched over the active regions. Two disadvantages of this
images consistently under conditions of reasonable manufac- approach prevented it from being used for VLSI applications:
turing variation. Therefore, lithographic processes with sub- (1) Field-oxide steps have sharp upper corners, which poses a
micron resolution must be available to build devices with sub- problem to the subsequent metallization steps; and (2) chan-
INTEGRATED CIRCUITS 373

nel-stop implant must be performed before the oxide is grown. 5. After depositing the metal, the contact structure is sub-
In another approach, the oxide is selectively grown over the jected to a thermal cycle known as sintering or anneal-
desired field regions. This process was introduced by Appels ing. This helps in bringing the Si and metal into inti-
and Kooi in 1970 (55) and is widely used in the industry. This mate contact.
process is performed by preventing the oxidation of the active
regions by covering them with a thin layer of silicon nitride. Al is desired as an interconnect material because its resis-
After etching the silicon nitride layer, the channel-stop dop- tivity, 2.7 애⍀-cm, is very low, and it offers excellent compati-
ant can be implanted selectively. The process, has a number bility with SiO2. Al reacts with SiO2 to form Al2O3, through
of limitations for submicron devices. The most important of which the Al can diffuse to reach the Si, forming an intimate
these is the formation of the ‘‘bird’s beak,’’ which is a lateral Al0Si contact. But using pure Al has certain disadvantages.
extension of the field oxide into the active areas of the device. Since Al is polycrystalline in nature, its grain boundaries of-
The LOCOS bird’s beak creates other problems as junctions fer very fast diffusion paths for the Si at temperatures above
become shallower in CMOS ICs. The LOCOS process was 400⬚C. Hence, if a large volume of Al is available, a significant
therefore modified in several ways to overcome these limita- quantity of the Si can diffuse into Al. As a result, the Al from
tions: (1) etched-back LOCOS, (2) polybuffered LOCOS, and the film moves rapidly to fill in the voids created by the mi-
(3) sealed-interface local oxidation (SILO) (56). grating Si, which leads to large leakage currents or electri-
cally shorting the circuit. This effect is referred to as junction
Non-LOCOS Isolation Technologies. There have been non- spiking (58). To prevent junction spiking, different techniques
LOCOS isolation technologies for VLSI and ultra-large-scale are used:
integration (ULSI) applications. The most prominent of these
is trench isolation technology. Trench technologies are classi- 1. Add approximately 1% of Si to Al.
fied into three categories: (1) shallow trenches (⬍1 애m), (2)
2. Add a diffusion barrier to prevent Si from diffusing into
moderate depth trenches (1 to 3 애m), and (3) deep, narrow
Al.
trenches (⬎3 애m deep, ⬍2 애m wide). Shallow trenches are
used primarily for isolated devices of the same type and hence 3. Decrease sintering temperature, but this increases con-
are considered a replacement to LOCOS. The buried oxide tact resistance.
(BOX) (57) isolation technology uses shallow trenches refilled 4. Add a ‘‘barrier’’ metal to the contact hole (59).
with a silicon dioxide layer, which is etched back to yield a
planar surface. This technique eliminates the bird’s beak of Of these techniques, the most commonly used is the barrier
LOCOS. The basic BOX technique has certain drawbacks for metal. The idea is to block or hinder Al from intermixing with
which the technique is modified. Si. There are three main types of contact barrier metallurgies:
(1) sacrificial barrier, (2) passive barrier, and (3) stuffed
Metallization barrier.
The use of Al has its own problems, the most important
This subsection describes the contact technology to realize the
being its high resistivity and electromigration. There is also
connections between devices, and how the different metal lay-
the problem with ‘‘hillock’’ formation. Hillocks are formed due
ers are connected to realize the circuit structure.
to the thermal expansion mismatch among Al, SiO2, and Si.
As the wafer is cooled, thermal expansion mismatch forms
Contact Technology. Isolated active-device regions in the
stresses (usually compressive), which forms these hillocks.
single-crystal substrate are connected through high-conduc-
Therefore, copper metallization has been gaining importance.
tivity, thin-film structures that are fabricated over the silicon
Copper is preferred over Al because it has a low resistivity
dioxide that covers the surface. An opening in the SiO2 must
(1.2 애⍀-cm) and is resistant to electromigration. In fact, cop-
be provided to allows contacts between the conductor film and
per is added in small quantities to Al to reduce the electromi-
the Si substrate. The technology involved in etching these
gration problem of Al. However, there are some real problems
contacts is referred to as contact technology. These contacts
with copper that need to be addressed before it can replace Al:
affect the circuit behavior because of the parasitic resistances
that exist in the path between the metal to Si substrate and
the region where the actual transistor action begins. Conven- 1. Cu is a terrible contaminant in Si. It has a very high
tional contact fabrication involves the fabrication of a contact diffusivity in Si and causes junction leakage, which de-
to silicon at locations where the silicon dioxide has been grades the gate oxide integrity (GOI).
etched to form a window. It involves the following steps: 2. Cu diffuses and drifts easily through SiO2. Hence, Cu
needs to be encapsulated for use in metallization.
1. In regions where contacts are to be made, the silicon 3. Cu oxidizes to CuO easily.
substrate is heavily doped. 4. The etch chemistry for Cu is highly contaminated, and
2. A window or contact hole is etched in the oxide that the wafers need to be held at higher temperatures.
passivates the silicon surface.
3. The silicon surface is cleaned to remove the thin native- Typical process steps involved in the fabrication of a 0.8
oxide layer that is formed rapidly when the surface is 애m LOCOS n-well inverter are as follows:
exposed to an oxygen-containing ambient.
4. The metal film is deposited on the wafer and makes con- 1. Wafer: 1 ⫻ 1015 to 1 ⫻ 1016 CZ(p) with 具100典 crystal ori-
tact with silicon wherever contact holes were created. entation. Epitaxial layer required because of latch-up.
Aluminum is the most commonly used metal film. The thickness is 2 애m to 16 애m with 5 ⫻ 1015.
374 INTEGRATED CIRCUITS

2. Grown screen oxide layer, with the thickness in the 15.2. Perform n⫹ source/drain ion implantation using
range 400 to 1000. 5 ⫻ 1015 /cm2 As75 at 40 keV. As is used because
3. Expose the n-well photo on the wafer. it is slow and does not diffuse deep into the sili-
4. n-well ion implant. Use 1 ⫻ 1013 /cm2 phosphorous. The con substrate.
voltage used is 60 keV to 2 MeV. 15.3. Perform n⫹ anneal at 900⬚C for 15 min to acti-
5. n-well drive-in. This step is carried out at 1050 to vate the dopant.
1100⬚C for 2 to 6 h. This activates the dopant atoms. 15.4. Strip the resist.
The drive-in depth is around 1 애m to 10 애m. 15.5. Mask the n⫹ regions.
6. Perform LOCOS process. 15.6. Perform p⫹ source/drain ion implantation using
6.1. Strip wafer. 1 ⫻ 1015 /cm2 BF2 /B11 at 5 to 20 keV.
6.2. Pad oxide. Thickness is 100 to 400. 15.7. Source/drain anneal at 900⬚C for 30 min in an
6.3. Pad nitride. Thickness is 1000 to 2000. LPCVD oxidizing atmosphere. This is a rapid thermal
silicon nitride is used. process.
15.8. Strip the resist off.
6.4. Expose the diffusion photo on the wafer.
16. Interlevel dielectric. Boro-phospho silicon glass
6.5. Etch the nitride layer.
(BPSG) is used because it flows well. Typical thickness
6.6. Expose the block field (BF) photo. This is the in- is 5000 to 8000. A 900⬚C reflow anneal is also per-
verse of the n-well photo and prevents the for- formed.
mation of the parasitic transistors between adja-
17. The contact photo is exposed on the wafer. This is criti-
cent transistors.
cal to the layout density.
6.7. Field ion implantation. 1 ⫻ 1013 /cm2 boron at 60
18. The contacts are etched using RIE.
keV.
19. After etching, the contact resist is stripped off.
6.8. Strip the BF and the resist layer.
20. Metallization. Ti barrier metallurgy is used. The ac-
6.9. Grow the field oxide. The thickness is about
tual contact is made with an alloy of Al/Cu/Si with
4000 to 6000 of SiO2. The process used is a pyro
percentages 98%, 1%, and 1%, respectively. The Al
process at 900⬚ to 1050⬚C for 3 to 6 h.
alloy is sputtered onto the wafer. The typical thickness
6.10. Strip the pad nitride layer by dipping the wafer is about 1.2 애m.
in H3PO4.
21. The Metal-1 layer photo is exposed.
6.11. Strip the pad oxide layer by dipping the wafer in
22. Metal-1 etch.
50 : 1 HF.
23. Strip resist.
7. Grow a sacrificial oxide layer and strip it. The thick-
ness is about 600 to 1000. The sacrificial oxide layer 24. Foaming gas anneal is performed to improve the mo-
eats into the bare silicon, thus exposing fresh silicon bility of the electrons and relieve stress on the wafer.
area on which the device can be grown.
The inverter is finally fabricated. Figure 5 describes the pro-
8. Grow a sacrificial gate oxide layer. Here the thickness
cess cross section of this inverter after the various steps have
is about 80 to 130. This layer protects the gate when been performed.
the VT implant is done.
9. VT implant. Two masks, one for the n region and one
for the p region, are used. The concentration is 1 ⫻ TESTING
1011 to 1 ⫻ 1012 /cm2 at 5 to 30 keV.
Testing is a critical factor in the design of circuits. The pur-
10. Strip the sacrificial gate oxide layer using a 50 : 1 HF
pose of testing is to verify conformance to the product defini-
solution.
tion. To understand the complexity of this problem, consider
11. Grow the gate oxide layer. Typical thickness is 80 to a combinational circuit with n inputs. A sequence of 2n inputs
130. The gate oxide layer is grown at 800 to 900⬚C for must be applied and observed to test the circuit exhaustively.
20 min. Since the number of inputs are high for VLSI circuits, testing
12. Polysilicon is deposited all over the wafer. LPCVD si- the chip exhaustively is impossible. Hence, this becomes an
lane is used at 620⬚C for a blanket deposition. The typ- area of importance to circuit design. There are three main
ical thickness is 2500 to 4000. areas that need to be addressed to solve this problem:
13. Polysilicon doping is done by ion implantation using
5 ⫻ 1015 phosphorous. 1. Test generation
14. The polysilicon etch is a very critical photo/etch pro- 2. Test verification
cess. 3. Design for testability
14.1. Polysilicon photo is exposed on the wafer.
Test generation corresponds to the problem of generating a
14.2. Reactive ion etch (RIE) is used to etch the polysi-
minimum number of tests to verify the behavior of a circuit.
licon.
The problem of test verification, which is commonly gauged by
14.3. The resist is stripped. performing fault simulations, involves evaluating measures of
15. Diffusion processing the effectiveness of a given set of test vectors. Circuits can
15.1. Mask the p⫹ regions. also be designed for testability.
INTEGRATED CIRCUITS 375

Test Generation 1. Toggle Testing. This is the cheapest, simplest, and least
time-consuming method of applying simulation to test-
Test generation (60) involves the search for a sequence of in-
ing. Toggle testing provides a testability measure by
put vectors that allow faults to be detected at the primary
tracking the activity of circuit nodes. From a set of vec-
device outputs. VLSI circuits are typically characterized by
tors, the method identifies those parts of the network
buried flip-flops, asynchronous circuits, indeterminate states,
that exhibit no activity. Since the test vectors do not
complex clock conditions, multiple switching of inputs simul-
affect these nodes, faults occurring here cannot be de-
taneously, and nonfunctional inputs. Due to these factors, an
tected by the fault simulator.
intimate knowledge of the internal circuit details is essential
to develop efficient test strategies. The goal of a test genera- 2. Fault Simulation of Functional Tests. The outputs of
tion strategy (61,62) is multifold: (1) chip design verification the functional simulator can be used in the design pro-
in conjunction with the designer, (2) incorporation of the cus- cess as an effective design analysis tool. The lists of de-
tomer’s specification and patterns into the manufacturing test tectable and undetectable faults generated by the simu-
program, and (3) fault detection by fault simulation methods. lator can be used to locate problems in the design and
correct them. This results in substantial savings in de-
velopment and manufacturing.
Test Verification
3. Fault Simulation after New Test Vector Generation.
Test verification (63) involves calculating measures for how High-quality testing in a reasonable timeframe would
efficient the test vectors for a given circuit are. This is often require an efficient test pattern generation system and
accomplished by using fault models (64). Fault simulation re-
a fault simulator. Test vectors are first generated to de-
quires a good circuit simulator to be efficient and is hence
tect specific faults, and the fault simulator determines
closely related to logic simulation and timing analysis. While
the quality of the vector set. In this scenario, it becomes
the logic simulator verifies the functionality of a design and
important to fault simulate after every new test vector
ensures that the timing constraints are met, the fault simula-
is generated in order to catch multiple faults. Acceler-
tor tells the designer if enough analysis has been performed
ated fault simulation is faster than test pattern gener-
to justify committing the design to silicon. In fault simulation,
ation.
the true value of a circuit and its behavior under possible
faults is simulated. The fault model is a hypothesis based on
an abstract model of the circuit, conformed to some precise Design for Testability
real physical defects. To begin with, the simulator generates
Design for testability commonly refers to those design tech-
a fault list that identifies all the faults to be modeled. Then a
set of test vectors is simulated against the fault-free and niques that produce designs for which tests can be generated
faulty models. Those faults that cause an erroneous signal at by known methods. The advantage of these techniques are (1)
an output pin are considered as detected faults. Now the fault reduced test generation cost, (2) reduced testing cost, (3) high-
coverage of the test vector set can be computed as the number quality product, and (4) effective use of computer-aided design
of faults detected over the total number of faults modeled. tools. The key to designing circuits that are testable are two
The most widely used fault model is the single stuck-at concepts, controllability and observability. Controllability is
fault model. This model assumes that all faults occur due to defined as the ability to set and reset every node that is inter-
the shorting of a signal node with the power rail. A number nal to the circuit. Observability is defined as the ability to
of faults can be detected by this model, but a major disadvan- observe either directly or indirectly the state of any node in
tage of this model is its assumption that all faults appear as the circuit. There are programs like SCOAP (68) that, given
stuck-at faults. The limitations of this model have led to the a circuit structure, can calculate the ability to control or ob-
increased use of other models, like the stuck-open (65) and serve internal circuit nodes. The concepts involved in design
bridging fault models (66). The former can occur in a CMOS for testability can be categorized as follows: (1) ad hoc testing,
transistor or at the connection to a transistor. The bridging (2) scan-based test techniques, and (3) built-in self-test
faults are short circuits that occur between signal lines. These (BIST).
represent a frequent source of failure in CMOS ICs. A major-
ity of the random defects are manifested as timing delay Ad Hoc Testing. Ad hoc testing comprises techniques that
faults in static CMOS ICs. These are faults in which the in- reduce the combinational explosion of testing. Common tech-
creased propagation delay causes gates to exceed their rated niques partition the circuit structure and add test points. A
specifications. The statically designed circuits have a tran- long counter is an example of a circuit that can be partitioned
sient power supply that peaks when the gates are switching
and tested with fewer test vectors. Another technique is the
and then settles to a low current value in the quiescent state.
use of a bus in a bus-oriented system for testing. The common
The quiescent power supply current (67), known as IDDQ, can
approaches can be classified as (1) partitioning techniques, (2)
be used as an effective test to detect leakage paths due to
adding test points, (3) using multiplexers, and (4) providing
defects in the processing. The measured IDDQ of a defect-free
for easy state reset.
CMOS IC is approximately 20 nA. Most physical defects will
elevate IDDQ by one to five orders of magnitude. Thus the IDDQ
testing approach can be used to detect shorts not detectable Scan-Based Test Techniques. Scan-based approaches stem
by the single stuck-at fault model. from the basic tenets of controllability and observability. The
There are several other ways of applying logic and fault most popular approach is the level sensitive scan design, or
simulation to testing: LSSD, approach, introduced by IBM (69). This technique is
376 INTEGRATED CIRCUITS

Inputs Outputs ing method, in which all possible inputs are applied and the
Combinational
number of 1’s at the outputs is counted, is also a test method
logic
that requires exhaustive testing. The resultant value is com-
pared to that of a known good machine.

Shift register Other Tests


latches
So far we have discussed techniques for testing logic struc-
tures and gates. But we need testing approaches at the chip
level and the system level also. Most approaches for testing
Clocks Scan out
chips rely on the aforementioned techniques. Memories, for
Figure 18. Level-sensitive scan design. instance, can use the built-in self-test techniques effectively.
Random logic is usually tested by full serial scan or parallel
illustrated in Fig. 18. Circuits designed based on this ap- scan methods. At the system level, traditionally the ‘‘bed-of-
proach operate in two modes—namely, normal mode and test nails’’ testers have been used to probe points of interest. But
mode. In the normal mode of operation, the shift register with the increasing complexity of designs, system designers
latches act as regular storage latches. In the test mode, these require a standard to test chips at the board level. This stan-
latches are connected sequentially and data can be shifted in dard is the IEEE 1149 boundary scan (74) architecture. ICs
or out of the circuit. Thus, a known sequence of data (control- that are designed based on this standard enable complete
lability) can be input to the circuit and the results can be testing of the board. The following types of tests can be per-
shifted out of the circuit using the registers (observability). formed in a unified framework: (1) connectivity test between
The primary disadvantage of this approach is the increased components, (2) sampling and setting chip I/Os, and (3) distri-
complexity of the circuit design and the increased external bution and collection of built-in self-test results.
pin count. The serial scan approach is similar to the LSSD,
but the design of the shift register latch is simplified to obtain BIBLIOGRAPHY
faster circuits. For most circuit designs, only the input and
output register need be made scannable. This technique 1. R. S. Schaller, Moore’s Law: past, present and future, IEEE Spec-
makes the designer responsible for deciding which registers trum, 34 (6): 52–59, June 1997.
need to be scanned and is called the partial serial scan tech- 2. J. Y. Chen, CMOS—The Emerging Technology, IEEE Circuits
nique (70). The parallel scan (71) approach is an extension of Devices Mag., 2 (2): 16–31, 1986.
the serial scan in which the registers are arranged in a sort 3. S. Wolf and R. N. Tauber, Silicon Processing for the VLSI Era:
of a grid, where on a particular column all the registers have Process Integration, vol. 2, Sunset Beach, CA: Lattice Press, 1986.
a common read/write signal. The registers that fall on a par- 4. D. Kahng and M. M. Atalla, Silicon-silicon dioxide field induced
ticular row have common data lines. Therefore, the output of surface devices, IRE Solid State Devices Res. Conf., Carnegie Inst.
a register can be observed by enabling the corresponding col- Technol., Pittsburgh, PA: 1960.
umn and providing the appropriate address. Data can also be 5. N. H. E. Weste and K. Esharaghian, Principles of CMOS VLSI
written into these registers in a similar fashion. Design, 2nd ed., Reading, MA: Addison-Wesley, 1993.
6. H. C. Pao and C. T. Shah, Effects of diffusion current on charac-
Built-In Self-Test. Signature analysis (72) or cyclic redun- teristics of metal-oxide (insulator)-semiconductor transistors
dancy checking can be used to incorporate a built-in self-test (MOST), Solid State Electron., 9: 927–937, 1966.
module in a circuit. This involves the use of a linear feedback 7. S. M. Sze, Physics of Semiconductor Devices, New York: Wiley,
shift register, as depicted in Fig. 19. The value in the register 1981.
will be a function of the value and number of latch inputs and 8. D. A. Hodges and H. G. Jackson, Analysis and Design of Digital
the counting function of the signature analyzer. The good part Integrated Circuits, New York: McGraw-Hill, 1983.
of the circuit will have a particular number or signature in 9. P. K. Chaterjee, Gigabit age microelectronics and their manufac-
the register, while the faulty portion will have a different ture, IEEE Trans. VLSI Syst., 1: 7–21, 1993.
number. The signature analysis approach can be merged with 10. Chung-Yu Wu, Jinn-Shyan Wang, and Ming-Kai Tsai, The analy-
the level-sensitive scan design approach to create a structure sis and design of CMOS multidrain logic and stacked multidrain
called a built-in logic block observation, or BILBO (73). Yet logic, IEEE JSSC, SC-22: 47–56, 1987.
another approach to built-in test is called a design for autono- 11. M. G. Johnson, A symmetric CMOS NOR gate for high speed
mous test, in which the circuit is partitioned into smaller applications, IEEE JSSC, SC-23: 1233–1236, 1988.
structures that are tested exhaustively. The partitioning 12. K. J. Schultz, R. J. Francis, and K. C. Smith, Ganged CMOS:
method involves the use of multiplexers. The syndrome test- trading standby power for speed, IEEE JSSC, SC-25: 870–873,
1990.
13. Y. Susuki, K. Odagawa, and T. Abe, Clocked CMOS calculator
circuitry, IEEE JSSC, SC-8: 462–469, 1973.
14. T. Sakurai et al., Hot-carrier generation in submicrometer VLSI
environment, IEEE JSSC, SC-21: 187–191, 1986.

Q1 Q2 Q3 15. R. H. Krambeck, C. M. Lee, and H. S. Law, High-speed compact


circuits with CMOS, IEEE JSSC, SC-17: 614–619, 1982.
16. V. Friedman and S. Liu, Dynamic logic CMOS circuits, IEEE
Clock JSSC, SC-19: 263–266, 1984.
Figure 19. Linear feedback shift register. 17. N. F. Gonclaves and H. J. DeMan, NORA: a racefree dynamic
INTEGRATED CIRCUITS 377

CMOS technique for pipelined logic structures, IEEE JSSC, SC- 43. D. Biddle, Characterizing semiconductor wafer topography, Mi-
18: 261–266, 1983. croelectron. Manuf. Testing, 15: 15–25, 1985.
18. C. M. Lee and E. W. Szeto, Zipper CMOS, IEEE Circuits Devices, 44. G. Fiegl, Recent advances and future directions in CZ-Silicon
2 (3): 101–107, 1986. crystal growth technology, Solid State Technol., 26 (8): 121–131,
19. L. G. Heller et al., Cascade voltage switch logic: a differential 1983.
CMOS logic family, Proc. IEEE Int. Solid State Circuits Conf., 45. T. Suzuki et al., CZ silicon growth in a transverse magnetic field,
San Francisco, CA, Feb. 16–17, 1984. in Semiconductor Silicon 1981, Pennington, NJ: Electrochemical
20. T. D. Simon, A fast static CMOS NOR gate, in T. Knight and J. Society, 1981, pp. 90–93.
Savage (eds.), Proc. 1992 Brown/MIT Conf. Advanced Res. VLSI 46. J. Bloem and L. J. Gilling, Epitaxial growth by chemical vapor
Parallel Syst., Cambridge, MA: MIT Press, 1992, pp. 180–192. deposition, in N. G. Einspruch and H. Huff (eds.), VLSI Electron-
21. D. Radhakrishnan, S. R. Whitaker, and G. K. Maki, Formal de- ics, vol. 12, Orlando, FL: Academic Press, 1985, chap. 3, 89–139.
sign procedures for pass transistor switching circuits, IEEE 47. S. Wolf and R. N. Tauber, Silicon Processing for the VLSI Era:
JSSC, SC-20: 531–536, 1985. Process Technology, Sunset Beach, CA: Lattice Press, 1986.
22. C. J. Terman, Simulation Tools for VLSI, VLSI CAD Tools and 48. P. Burggraff, Ion implantation in wafer fabrication, Semiconduc-
Applications, W. Fichtner and M. Morf (eds.), Norwell, MA: tor Int., 39: 39–48, 1981.
Kluwer, 1987, chapter 3. 49. H. Glawishnig and N. Noack, Ion implantation system concepts,
23. L. W. Nagel, SPICE2: a computer program to simulate semicon- in J. F. Ziegler (ed.), Ion Implantation, Science and Technology,
ductor circuits, Memo ERL-M520, Dept. Electr. Eng. Comput. Orlando, FL: Academic Press, 1984, pp. 313–373.
Sci., Univ. California, Berkeley, May 9, 1975. 50. L. F. Thompson and M. J. Bowden, The lithographic process: The
24. W. T. Weeks et al., Algorithms for ATSAP—a network analysis physics, in L. F. Thompson, C. G. Willson, M. S. Bowden (eds.),
program, IEEE Trans. Circuit Theory, CT-20: 628–634, 1973. Introduction to Microlithography, American Chemical Society,
25. HSPICE User’s Manual H9001, Campbell, CA: Meta-Software, Advances in Chemistry ser., vol. 219, 1983, pp. 15–85.
1990. 51. M. C. King, Principles of optical lithography, in N. G. Einspruch
26. C. Terman, Timing simulation for large digital MOS circuits, in (ed.), VLSI Electronics Micro Structure Science, vol. 1, New York:
A. Sangiovanni-Vincentelli (ed.), Advances in Computer-aided En- Academic Press, 1981.
gineering Design, vol. 1, Greenwich, CT: JAI Press, 1984, pp. 52. P. S. Gwozdz, Positive vs. negative: A photoresist analysis, SPIE
1–91. Proc., Semicond. Lithography VI, 275: 1981, pp. 156–182.
27. J. White and A. Sangiovanni-Vincentelli, Relaxation Techniques 53. D. J. Elliot, Integrated Circuit Fabrication Technology, New York:
for the simulation of VLSI Circuits, Hingham, MA: Kluwer, 1987. McGraw-Hill, 1982, chapter 8.
28. R. F. Lyon, Simplified design rules for VLSI layouts, LAMBDA, 54. R. C. Braken and S. A. Rizvi, Microlithography in semiconductor
II (1): 1981. device processing, in N. G. Einspruch and G. B. Larabee (eds.),
VLSI Electronics—Microstructure Science, vol. 6, Orlando, FL:
29. C. A. Mead and L. A. Conway, Introduction to VLSI Systems,
Academic Press, 1983, pp. 256–291.
Reading, MA: Addison-Wesley, 1980.
55. E. Kooi and J. A. Appels, Semiconductor Silicon 1973, in H. R.
30. S. M. Rubin, Computer Aids for VLSI Design, Reading, MA: Addi-
Huff and R. Burgess (eds.), The Electrochem. Symp. Ser.,
son-Wesley, 1987, chapter 11.
Princeton, NJ, 1973, pp. 860–876.
31. J. K. Ousterhout et al., Magic: A VLSI layout system, Proc. 21st
56. P. Deroux-Dauphin and J. P. Gonchond, Physical and electrical
Design Autom. Conf., 1984, pp. 152–159.
characterization of a SILO isolation structure, IEEE Trans. Elec-
32. U. Lauther, A min-cut placement algorithm for general cell as- tron Devices, ED-32 (11): 2392–2398, 1985.
semblies based on a graph, Proc. 16th Design Autom. Conf., 1979,
57. M. Mikoshiba, IEDM Tech. Dig., A new trench isolation technol-
pp. 1–10.
ogy as a replacement of LOCOS, 1984, pp. 578–581.
33. E. S. Kuh, Recent advances in VLSI layouts, Proc. IEEE, 78: 237–
58. Y. Pauleau, Interconnect materials for VLSI circuits: Part II:
263, 1990.
Metal to Silicon Contacts, Solid-State Technol., 30 (4): 155–162,
34. S. Kirkpatrick, C. Gelatt, and M. Vecchi, Optimization by simu- 1987.
lated annealing, Science, 220 (4598): 671–680, 1983.
59. M. A. Nicolet and M. Bartur, Diffusion barriers in layered contact
35. C. Sechen and A. Sangiovanni-Vincentelli,, TimberWolf 3.2: a structures, J. Vacuum Sci. Technol., 19 (3): 786–793, 1981.
new standard cell placement and global routing package, Proc.
60. V. D. Agrawal and S. C. Seth, Tutorial: Test Generation for VLSI
23rd Design Autom. Conf., Las Vegas, 1986, pp. 432–439.
Chips, Los Alamitos, CA: IEEE Computer Society Press, 1988.
36. G. W. Clow, A global routing algorithm for general cells, Proc.
61. S. T. Chakradhar, M. L. Bushnell, and V. D. Agrawal, Toward
21st Design Autom. Conf., Albuquerque, NM, 1984, pp. 45–50.
massively parallel automatic test generation, IEEE Trans. CAD,
37. G. Dupenloup, A wire routing scheme for double layer cell-layers, 9: 981–994, 1990.
Proc. 21st Design Autom. Conf., Albuquerque, NM, 1984, pp. 62. J. D. Calhoun and Franc Brglez, A framework and method for
32–35. hierarchical test generation, IEEE Trans. CAD, 11: 598–608,
38. E. F. Moore, The shortest path through a maze, Proc. Int. Symp. 1988.
Switching Theory, vol. 1, Harvard University Press, 1959, pp. 63. H. K. Reghbati, Tutorial: VLSI Testing and Validation Tech-
285–292. niques, Los Alamitos, CA: IEEE Computer Society Press, 1985.
39. C. Y. Lee, An algorithm for path connection and its applications, 64. W. Malay, Realistic fault modeling for VLSI testing, IEEE/ACM
IRE Trans. Electron. Comput., 346–365, September 1961. Proc. 24th IEEE Design Autom. Conf., Miami Beach, FL, 1987,
40. T. Lengauer, Combinatorial Algorithms for Integrated Circuit Lay- pp. 173–180.
outs, New York: Wiley, 1981. 65. A. P. Jayasumana, Y. K. Malaiya, and R. Rajsuman, Design of
41. J. Doerschel and F. G. Kirscht, Differences in plastic deformation CMOS circuits for stuck-open fault testability, IEEE JSSC, 26
behavior of CZ and FZ grown Si crystals, Phys. Status Solid, A64: (1): 58–61, 1991.
K85–K88, 1981. 66. J. M. Acken, Testing for bridging faults (shorts) in CMOS cir-
42. W. Zuhlehner and D. Huber, Czochralski Grown Silicon, Crystals cuits, Proc. 20th IEEE/ACM Design Autom. Conf., Miami Beach,
8, Berlin: Springer-Verlag, 1982. FL, 1983, pp. 717–718.
378 INTEGRATED CIRCUIT SIGNAL DELAY

67. K. Lee and M. A. Breuer, Design and test rules for CMOS circuits
to facilitate IDDQ testing of bridging faults, IEEE Trans CAD,
11: 659–670, 1992.
68. L. H. Goldstein and E. L. Thigpen, SCOAP: Sandia
controllability/observability analysis program, Proc. 17th Design
Autom. Conf., 1980, pp. 190–196.
69. E. B. Eichelberger and T. W. Williams, A logic design structure
for LSI testing, J. Design Autom. Fault Tolerant Comput., 2 (2):
165–178, 1978.
70. R. Gupta, R. Gupta, and M. A. Breuer, An efficient implementa-
tion of the BALLAST partial scan architecture, IFIP Proc. Int.
VLSI’89 Conf., Munich, 1990, pp. 133–142.
71. H. Ando, Testing VLSI with random access scan, IEEE/ACM
Dig. Papers COMPCON 80, February 1980, pp. 50–52.
72. R. A. Frohwerk, Signature analysis—a new digital field service
method, Hewlett Packard J., 28 (9): 2–8, 1977.
73. B. Koenemann, J. Mucha, and G. Zwiehoff, Built-in logic block
observation techniques, Dig. 1979 IEEE Test Conf., October 1979,
pp. 37–41.
74. IEEE Standard 1149.1: IEEE Standard Test Access Port and
Boundary-Scan Architecture, New York: IEEE Standards Board,
19.

Reading List
A. Mukherjee, Introduction to nMOS and CMOS VLSI Systems Design,
Englewood Cliffs, NJ: Prentice-Hall, 1986.
L. A. Glasser and D. W. Dobberpuhl, The Design and Analysis of VLSI
Circuits, Reading, MA: Addison-Wesley, 1985.
M. Annaratone, Digital CMOS Circuit Design, Norwell, MA:
Kluwer, 1986.
M. Shoji, CMOS Digital Circuit Technology, Englewood Cliffs, NJ:
Prentice-Hall, 1988.
D. A. Pucknell and K. Eshraghian, Basic VLSI Design: Systems and
Circuits, Sydney: Prentice-Hall of Australia Lt., 1988.
W. Wolf, Modern VLSI Design: A System Approach, Englewood Cliffs,
NJ: Prentice-Hall, 1994.
J. Schroeter, Surviving the ASIC Experience, Englewood Cliffs, NJ:
Prentice-Hall, 1992.
J. M. Rabaey and M. Pedram, Low Power Design Methodologies, Bos-
ton: Kluwer, 1996.
S. M. Kang and Y. Leblebici, CMOS Digital Integrated Circuits, New
York: McGraw-Hill, 1996.
C. Y. Chang and S. M. Sze, ULSI Technology, New York: McGraw-
Hill, 1996.
N. Sherwani, Algorithms for VLSI Physical Design Automation, Bos-
ton: Kluwer, 1993.
R. L. Gieger, P. E. Allen, and N. R. Strader, VLSI Design Techniques
for Analog and Digital Circuits, New York: McGraw-Hill, 1990.
L. J. Herbst, Integrated Circuit Engineering, London, Oxford Univer-
sity Press, 1996.
M. S. Smith, Application Specific Integrated Circuits, Reading, MA:
Addison-Wesley, 1997.

N. RANGANATHAN
RAJU D. VENKATARAMANA
University of South Florida
INTEGRATING CIRCUITS 433

INTEGRATING CIRCUITS C
vI (t) R
Since inductors tend to be bulky and expensive (except for – vo (t)
applications at frequencies higher than approximately 1
iI (t) +
GHz), integrating circuits generally consist of a current signal
which is integrated onto a capacitor to form a voltage signal.
Cascading one integrating circuit to another requires that the
(a)
voltage signal be converted to a current signal. An integrating
circuit can be realized using an opamp, resistor, and capaci- VG C
tor. The input voltage is converted to an input current which
vI (t)
is directly proportional to the input voltage via Ohm’s Law.
G – vo (t)
This current is integrated onto the capacitor. The output volt-
age is produced at the output of the opamp. +
The major applications of integrating circuits are in filters,
slow analog-to-digital converters, and image sensor circuits.
(b)
Integrating circuits are the basic building blocks used to syn-
thesize frequency selective circuits, or filters. The complexity vI (t)
of the filter function, in terms of the number of poles, deter- io (t)
+ vo (t)
mines the number of integrating circuits that must be in- G
cluded in the circuit. A high precision but low speed technique –
for analog-to-digital conversion employs an integrating cir- Vg C
cuit, comparator, and a counter or timer. The integrating ca-
pacitor is charged for a specified amount of time by a current (c)
which is proportional to the input signal. The capacitor is dis-
Figure 1. Integrating circuits: (a) the inverting integrator, (b) the
charged by a fixed amount of current. The length of time re-
MOSFET-C integrator, and (c) the transconductance-C integrator.
quired to fully discharge the capacitor determines the value
of the input signal. Another major application of integrating
circuits is in image sensing circuits. Incident light is con- where vC(0) is the initial voltage stored on the capacitor. Note
verted to a photo-current which is integrated on a storage ca- that even a small dc input voltage will eventually result in an
pacitor for a specified length of time. The final voltage on the output voltage which is huge. A theoretically infinite output
capacitor is directly proportional to the photocurrent. voltage is prevented by the finite dc voltage supplies of the
Performance criteria for integrating circuits are cost and opamp.
dynamic range. In integrated circuits, cost is a nondecreasing The integrator time constant of the Miller integrator is
function of the silicon area occupied by the circuit and the RC, which has units of seconds.
power consumed by the circuit. We measure dynamic range
as the ratio of the largest to the smallest signal level that the The MOSFET-C Integrator
circuit can handle. A tradeoff exists between these perfor-
mance criteria; that is, the higher the dynamic range re- The MOSFET-C integrator is shown in Fig. 1(b). It is popular
quired, the higher the cost of the integrator. in integrated circuit design, where the amplifier, capacitor,
and resistance are fabricated on the same substrate. An MOS
transistor operating in the triode region acts like a voltage-
INTEGRATING CIRCUITS
controlled resistor, where the nominal conductance G ⫽ 1/R
has units of 1/⍀. Using the same analysis as for the Miller
There are several means of realizing the mathematical func-
integrator, we find that the integrating time constant is
tion of integration using only resistors, transistors, amplifi-
C/G. The two main advantages of the MOSFET-C integrator
ers, and capacitors. The function we want to synthesize is of
over the inverting integrator are: (1) an MOS transistor gen-
the form:
erally occupies less silicon area than an equivalent resistor,
 t and (2) the conductance is tunable via the gate voltage VG.
vO (t) = A vI (t) dt + B (1) The latter property is particularly important in integrated
0
circuit design, where the tolerance on capacitors is approxi-
mately 10 to 50%.
The Inverting Integrator
The inverting integrator, also known as the Miller integrator, The Transconductance-C Integrator
is shown in Fig. 1(a). It is an inverting amplifier, where the The transconductance-C integrator is shown in Fig. 1(c). It
feedback element is the capacitor C. The input voltage, vI(t), consists of a transconductance amplifier which converts a dif-
is converted to a current iI(t) ⫽ vI(t)/R, since a virtual ground ferential input voltage to an output current via the relation
exists at the negative input terminal of the opamp. This cur-
rent is integrated on the capacitor, forming an output voltage iO = G(v+ − v− ) (3)
according to the relation,
 t In Fig. 1(c), we note that v⫹ ⫽ vI(t), and v⫺ ⫽ 0 V. Thus, the
1 output current is equal to the input voltage times the conduc-
vO (t) = − vI (t) dt − vC (0) (2)
RC 0 tance. The current iO(t) is integrated on the capacitor, produc-

J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
434 INTEGRATING CIRCUITS

QR

C
C
r
– R
– r
+
vo (t) –
+
R1 R2 R3 +
C1
Figure 2. The feedforward Tow–Thomas vI (t)
two-integrator-loop biquadratic circuit.

ing the output voltage, vO(t). The integrator time constant is function is
the same as that for the MOSFET-C integrator, namely,  
C/G. The transconductance-C integrator is also used in inte- C1 1 1 r 1
s2 +s − + 2
grated circuit design. Compared to the MOSFET-C inte- VO (s) C C R1 RR3 C RR2
=− (4)
grator, the transconductance-C integrator has simpler cir- VI (s) 1 1
s2 + s +
cuits and is generally capable of operating at higher speeds. QCR C2 R2
On the other hand, the MOSFET-C integrator has a higher
maximum dynamic range at a given cost than the transcon- By a suitable choice of circuit components, Eq. (4) can be con-
ductance-C integrator. figured as a second-order low-pass, bandpass, high-pass,
notch, or allpass filter. Fourth and higher-order filter func-
Other Integrator Circuits tions are constructed by cascading two or more biquads to-
gether.
The switched-capacitor integrator employs a capacitor and at
least two MOS transistors operating as switches to emulate
Dual-slope Analog-to-digital Converter
the resistance R. A global clock signal, operating at a fre-
quency much higher than the bandwidth of the input signal, The dual-slope analog-to-digital converter (ADC) is a high-
turns the switches on and off at a rate which is inversely pro- precision but low speed ADC method. A block diagram is
portional to the effective resistance seen by the input. The given in Fig. 3(a). The dual-slope ADC consists of an inverting
switched-capacitor integrator is common in integrated circuit integrator, a comparator, a high-speed counter, and control
design. Tuning the integrator time constant is straightfor- logic. The charge on the capacitor is initially set to zero. Dur-
ward since it depends on the clock frequency and the ratio of ing the integration phase, the input voltage vI(t) is converted
capacitors. In integrated circuit design, the tolerance on the to an input current that is integrated on the capacitor C for a
ratio of capacitors can be lower than 1%. The cost of this de-
sign strategy is increased circuit complexity, power consump-
tion, and noise due to the global clock signal. C
If the input signal is a current, integration is easily S
vI (t) R
achieved using a single capacitor. During the reset phase of a
– vo (t) Comparator,
capacitor integrator, the capacitor voltage is set to a known VREF control logic,
initial voltage, typically either 0 V or VDD. During the integra- + and counter
tion phase, the input current is integrated on the capacitor to
produce an output voltage which is proportional to the input
current. This output voltage is typically buffered and scaled (a)
before it is sent off chip.

VDD
MAJOR APPLICATIONS OF INTEGRATOR CIRCUITS

Three major applications of integrator circuits are in filters,


dual-slope analog-to-digital converters, and image sensor cir- VSET
vo
cuits.
iI
The Biquadratic Filter C
The two-integrator-loop biquadratic circuit, or biquad, is used
to synthesize an arbitrary second-order filter function in s,
(b)
where s ⫽ j웆, and 웆 is the frequency in radians/s. The feedfor-
ward Tow-Thomas biquad is drawn in Fig. 2. It consists of two Figure 3. Two applications of integrating circuits: (a) a dual-slope
inverting integrators and an inverting amplifier. Its transfer analog-to-digital converter, and (b) a CMOS image sensor circuit.
INTEGRATING CIRCUITS 435

fixed length of time. During the discharge phase, current de- maximum dynamic power consumption is
rived from a known reference voltage is used to discharge the
capacitor. The length of time, as measured in counts, required PD = 4 f SVDD
2
C (7)
to discharge the capacitor to 0 V is proportional to the input
voltage. The factor 4 takes into account positive charging to VDD and
negative charging to ⫺VDD. Total power consumption is the
CMOS Image Sensor Circuits sum, PS ⫹ PD.

CMOS image sensor circuits receive as input a photocurrent Dynamic Range


which is proportional to the intensity of the incoming light.
The photocurrent is generated at a reverse-biased pn junction Dynamic range (DR) is defined as the maximum input level
in the silicon. A simplified diagram is shown in Fig. 3(b). A the circuit can handle divided by the noise level. By level, we
description of its operation is as follows. The integrating ca- mean the mean-square value of the input or output voltage.
pacitor is initially set to VDD. During the integrating time, the Dynamic range is generally expressed in dB.
photocurrent discharges the capacitor. The difference be-
tween VDD and the final voltage is proportional to the mean Noise. Sources of noise in the integrator are found in the
value of the photocurrent. conductance and the amplifier. Thermal noise associated with
each element gives rise to a power spectral density that has
a flat frequency power spectrum with one-sided density
PERFORMANCE CRITERIA
STH = 4kTRξ (8)
Two performance criteria that we consider are its cost, as
measured in its area and power dissipation, and dynamic where k is Boltzmann’s constant, T is the absolute tempera-
range. Other performance criteria may be relevant, such as ture, and ␰ the combined noise factors of the conductance and
maximum bandwidth or minimum supply voltage. amplifier. In general, ␰ is greater than unity.
Another major source of noise for integrators is 1/f, or
Cost flicker, noise. Its power spectrum is proportional to the in-
verse of the frequency, hence, its name. Flicker noise becomes
In integrated circuit design, the cost of an integrator is a non- dominant at low frequencies.
decreasing function of the area and power consumption. In We now configure the integrator as a single-pole lowpass
this analysis, we assume that the technology is fixed; that is, filter by placing a conductance of value 1/R in parallel with
the designer is unable to change all the parameters of the the capacitor. Its transfer function is given by
devices, except their size.
VO (s) 1
= (9)
Area. The silicon area of an integrator is generally domi- VI (s) 1 + s/RC
nated by the area of the capacitor. Define the capacitance per
unit area of a capacitor in a given fabrication technology as Considering thermal noise only, the noise level at the output
COX, with units of F/m2. Then, the total area of the integrator is found by integrating the product of the power spectrum in
can be written as Eq. (8) and the square magnitude of the lowpass filter in Eq.
(9) over all positive frequencies. In order to account for the
C presence of two conductors, the noise level is found to be
A=ζ (5)
COX
kT
VN2 = 2 ξ (10)
where ␨ is an area factor that takes into account the portion C
of silicon area used by the amplifier and conductor. This area
The expression Eq. (10) shows that the noise level does not
factor is always greater than unity.
depend on the value of the resistor, but primarily on the value
of the capacitory.
Power. Static power consumption is the power supply volt-
age times the quiescent, or bias, current of the amplifier. Let Distortion. The sources of distortion in the integrator are:
us denote the total amplifier bias current as IB, the positive (1) the capacitor, (2) the amplifier, and (3) the conductance.
supply voltage as VDD, and the negative supply voltage as ⫺ Linear capacitors are available in CMOS fabrication pro-
VDD. Then the static power consumption is cesses suitable for analog and mixed-mode circuits. Linear ca-
pacitors are needed to obtain a high dynamic range inte-
PS = 2VDD IB (6) grator. In addition, an amplifier which operates over the full
voltage supply range is necessary to achieve low distortion for
Dynamic power consumption results primarily from the large amplitude input signals. If we suppose that the conduc-
charging and discharging of the integrating capacitance. In tance is implemented using an MOS transistor operating in
most designs, dynamic power consumption is much larger the triode region, there will be some range of input voltages
than static power consumption. The maximum dynamic over which the effective resistance is nearly constant. One
power consumption occurs when the capacitor is fully charged measure of distortion that is mathematically tractable is the
and discharged with each cycle of the input signal. Let the maximum deviation of the effective resistance, expressed as a
input signal have amplitude VDD and frequency f S. Then, the percent of the nominal value. Then, the linear range is de-
436 INTEGRO-DIFFERENTIAL EQUATIONS

fined as the continuous set of input voltages over which the cluded, then, that the MOSFET-C integrator can approach
maximum deviation of the effective resistance is less than the dynamic range maximum in Eq. (11), whereas the best
d% of the nominal value, where d% is the amount of distor- transconductance-C integrator is at least several dB lower.
tion. Other distortion measures are possible, such as mean-
square error; however, they can be much more complex to
BIBLIOGRAPHY
compute, as they may require knowledge of the input signal
and the circuit topology.
A. S. Sedra and K. C. Smith, Microelectronic Circuits, 4th ed., New
The highest achievable linear range is limited by the volt- York: Oxford University Press, 1998.
age supplies. As such, the maximum input and output ampli-
P. R. Gray and R. G. Meyer, Analysis and Design of Analog Integrated
tude range for a sinusoidal signal is VDD. For an input signal Circuits, 3rd ed., New York: Wiley, 1993.
of maximum amplitude, the level is VDD2 /2. As a result, the
Y. Tsividis, The MOS Transistor, New York: McGraw-Hill, 1988.
highest achievable dynamic range of the low-pass filter is
E. Vittoz, Micropower techniques, in J. Franca and Y. Tsividis (eds.),
2 Design of Analog-Digital VLSI Circuits for Telecommunication and
VI2 CV DD Signal Processing, Englewood Cliffs, NJ: Prentice-Hall, 1994.
DR = = (11)
VN2 4kTξ G. Groenewold, Optimal dynamic range integrators, IEEE Trans. Cir-
cuits Syst. I, Fundam. Theory Appl., 39: 614–627, 1992.
Cost Versus Dynamic Range P. M. Furth and A. G. Andreou, A design framework for low power
analog filter banks, IEEE Trans. Circuits Syst. I, Fundam. Theory
Here, we relate the cost of the integrator to its dynamic Appl., 42: 967–971, 1995.
range. If we only consider dynamic power dissipation as found
in Eq. (7), we see that PAUL M. FURTH
New Mexico State University
P / fs ANDREAS G. ANDREOU
DR = D (12)
16kTξ Johns Hopkins University

Both the numerator and the denominator in Eq. (12) have the
units of energy. Thus, the upper limit on the dynamic range
of the low-pass filter is directly proportional to the amount of
INTEGRATION. See CALCULUS.
energy dissipated in the integrator. For example, in order to INTEGRATION OF DATABASES. See DATABASE
achieve a dynamic range of 60 dB, we must dissipate at least DESIGN.

16 ⫻ 106 kT␰ J per cycle of the input signal.


We can rearrange Eq. (7) to solve for frequency of the input
signal, as in

PD
fS = 2 C
(13)
4VDD

Thus, for fixed power supply voltage and power consumption,


the input frequency is constrained by the size of the capacitor.
The lower the frequency of the input, the larger the area of
the integrating capacitor. Values of integrated capacitors
range from as low as 10 f F to as high as 1 nF; however, the
area penalty of the largest value is not amenable to mixed
analog/digital circuits.

Differential Signaling
Differential signaling is a technique used primarily in inte-
grated circuits to increase the maximum amplitude range of
the input and output signals by a factor of two. Differential
signaling has two other major benefits: even-order distortion
in the amplifier, conductor, and capacitor is cancelled, as are
common-mode sources of noise. The cost of using differential
signaling is increased circuit complexity, area, and static
power consumption due to common-mode feedback circuitry.
The MOSFET-C integrator is unable to take full advantage of
differential signaling since the capacitor must have a virtual
ground at one node. Thus, its maximum dynamic range is
given by Eq. (11). On the other hand, the transconductance-
C integrator can employ a differential signal across the capac-
itor. Notwithstanding, the transconductance-C integrator
cannot operate at the maximum input amplitude. It is con-
INTERMEDIATE-FREQUENCY AMPLIFIERS plifier must not be overdriven or go into limiting until
after the last band-pass filter to prevent “splattering”
or broadening and distortion of the signal.
5. Linearity The amplifier must be linear to prevent dis-
INTERMEDIATE-FREQUENCY AMPLIFIERS FOR AM AND
tortion of the recovered information. AM receivers
FM
should be linear in amplitude, whereas FM or PM
receivers should be linear in phase.
The intermediate-frequency (IF) amplifier is the circuitry
used to process the information-bearing signal between the
first converter, or mixer, and the decision making circuit, Selecting the IF Frequency
or detector. It can consist of a very few or a great many Image rejection and signal selectivity are the primary rea-
component parts. Generally, it consists of an amplifying sons for selecting an IF frequency. Most currently manufac-
stage or device to provide gain and a band-pass filter to tured band-pass filters of the crystal or resonator type are
limit the frequency band to be passed. The signal to be standardized so that the designer can obtain off the shelf
processed can be audio, video, or digital, using Amplitude components at reasonable cost for these standard frequen-
Modulation, Frequency Modulation, Phase Modulation or cies. The standard AM broadcast receiver utilizes a 455
combinations thereof. Several examples are shown in Figs. kHz IF filter because extensive experience has shown that
13 through 19. this rejects all but the strongest images. Assume that the
IF amplifiers are also used in radio transmitters to limit desired signal is at 600 kHz. A local oscillator operating
the occupied bandwidth of the transmitted signal. Certain at 1,055 kHz has an image frequency at 1,510 kHz, which
modulation methods create a very broad frequency spec- the RF input filter easily rejects. Similarly, an FM receiver
trum which interferes with adjacent channels. Regulatory operating at 90.1 MHz with an IF frequency of 10.7 MHz
agencies, such as the FCC, require that these out-of-band has an image at 111.5 MHz, which is rejected by the RF
signals be reduced below a certain permissible level, so amplifier. A single IF frequency is used in both of these
they must undergo processing through a bandwidth lim- cases. A receiver operating at 450 MHz requires two IF
iting filter and amplifier. frequencies obtained by using first and second mixers, as
For each application there are certain design restric- in Fig. 16. The first IF amplifier may consist of a relatively
tions or rules which must be followed to achieve optimum broadband filter operating at 10.7 or 21.4 MHz, followed
results. by a second converter and IF stage operating at 455 kHz.
The first IF filter is narrow enough to reject any 455 kHz
General IF Amplifier Functions and Restrictions images, and the second IF filter is a narrowband filter that
passes only the desired signal bandwidth. If the 455 kHz
1. Image Rejection The mixer stages in a receiver con-
filter had been used as the first IF filter, the 450 MHz RF
vert a frequency below or above the local oscillator
filter, being relatively broad, would not have eliminated the
frequency to an IF frequency. Only one of these fre-
image frequency, which is 455 kHz above or below the local
quencies is desired. The IF frequency must be cho-
oscillator frequency.
sen so that undesirable frequencies or images are re-
Television receivers use a video IF frequency of approx-
moved by the RF amplifier filter and are rejected by
imately 45 MHz, because this allows a relatively broad RF
the mixer. This may mean that two or three differ-
filter to pass the broadband TV signal, while still rejecting
ent IF frequencies must be used within the same re-
the images. The video signal from the IF amplifier is AM
ceiver. The IF frequencies in common use range from
with an FM sound carrier riding on it. Television sound is
0 Hz to approximately 2.0 GHz.
generally obtained from a beat, or difference frequency, be-
2. Selectivity Selectivity is required to reject as much tween the video and sound carriers, which is at 4.5 MHz.
as possible of any adjacent channel’s interfering sig- Satellite receivers use a broadband first IF frequency cov-
nal. Generally this means obtaining a band-pass fil- ering a frequency block from 900 MHz to 2.1 GHz. This is
ter characteristic as close to that of the ideal filter done by a “Low Noise Block” converter (LNB). The second
as possible to pass the necessary Nyquist bandwidth mixer is tunable so that any frequency in the block is con-
(the baseband bandwidth from 0 Hz to the highest verted to the second IF frequency, usually fixed at 70 or
frequency to be passed) without introducing harmful 140 MHz. The second IF frequency, which drives the detec-
amplitude or phase distortion. tor, has a narrower bandwidth to reduce noise and reject
3. Gain Gain is required to amplify a weak signal to adjacent channel interference.
a useful level for the decision making circuit. This Crystal, ceramic resonator and SAW filters are mass
gain must be provided by a stable amplifier that in- produced at relatively low cost for the frequencies men-
troduces a minimum of noise, so as not to degrade tioned previously, so that most consumer products employ
the receiver noise figure. All circuit input and output one or more of these standard frequencies and standard
impedances should be properly matched for optimum mass produced filters.
power transfer and circuit stability.
4. Automatic Gain Control The amplifier gain must
Selectivity
vary automatically with signal strength so that the
decision making circuit receives a signal of as nearly Carson’s rule and the Nyquist sampling theorem, on which
constant a level as possible. The stages of the IF am- it is based, state that a certain bandwidth is required to

J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright © 2007 John Wiley & Sons, Inc.
2 Intermediate-Frequency Amplifiers

transmit an undistorted signal. The necessary bandwidth to manually adjust the gain to obtain a constant sound or
for an AM signal is given as picture level when changing stations. This function is per-
formed by detecting the output level of the IF amplifier and
correcting it by a feed-back circuit that adjusts the level
Thus an AM broadcast receiver requires 10 kHz of band- to keep it as constant as possible. Because this detected
width to pass a 5 kHz = fm audio tone. In data transmission level varies rapidly, it is passed through a low-pass filter
systems, the frequency fm corresponding to the data rate fb , (usually an RC pair) to integrate or slow down the changes,
is given by fm = ½ fb . The data clock frequency is twice the then amplified by a dc amplifier, and applied to an IF ampli-
frequency of the data in ones and zeros. This means that a fier circuit or gain stage with variable gain characteristics.
baud rate fb of 9,600 bits per second requires a bandwidth Some receivers, such as those in an automobile, require
of 9.6 kHz. relatively rapid acting automatic gain control (AGC) cir-
For FM, the necessary bandwidth required for trans- cuits, whereas fixed receivers use a much slower AGC time
mission is given by constant. Dual-gate, field-effect transistors use the second
gate to control the gain. Bipolar or single-gate, field-effect
transistors vary the gain by a bias voltage or current ap-
plied to the input terminal along with the signal. Special
A 15 kHz audio tone (= fm ) and an FM transmitter devi- integrated circuit gain stages for IF amplification are avail-
ated with a modulation index of 5 requires 2 [15 + (15 × able, such as the Motorola MC 1350, which amplify and
5)] = 180 kHz of bandwidth. f is (5 × 15) and fm is 15 provide a variable gain control function.
kHz. Narrow band FM (with a modulation index less than
0.7) is somewhat different in that the bandwidth actually
required is the same as that for AM because the higher Band-Pass Filters for IF Amplifiers
Bessel products are missing (Eq. 1). Except for block conversions, which convert wide frequency
These values are for “double-sideband” transmission. bandwidths, such as those used on satellite receivers, IF
Single-sideband transmission requires half as much band- amplifiers generally use a narrow band-pass or a low-pass
width. The required baseband bandwidth is the same as filter to limit the bandwidth to the Nyquist bandwidth.
the value for fm . This is also known as the “Nyquist band- Block conversion, on the other hand, uses a high-pass/low-
width,” or the minimum bandwidth that carries the signal pass filter pair where the bandwidth to be passed is be-
undistorted at the baseband. tween the high and low cutoff frequencies.
Ideally the IF filter, or the baseband filter, need pass The traditional band-pass filter requires a resonant el-
only this bandwidth and no more. This requires an “ideal” ement. Although the actual resonator may be a coil and
band-pass or low-pass filter, which does not exist, but is capacitor, ceramic resonator, or SAW filter, the principles
approached by various means. The filter must be as nar- are basically the same. Digital filters which do not use
row as conditions permit to reduce the noise bandwidth and resonators have been employed more recently. These are
any adjacent channel interference, because noise power in- discussed later in brief. They are discussed in more detail
creases linearly with increasing filter bandwidth. elsewhere in this encyclopedia.
The inductance/capacitor resonator was the first used,
Gain and is still a comparison standard. Figure 1(a) shows a se-
The IF amplifier must provide sufficient gain to raise a ries resonant circuit and Fig. 1(b) shows a parallel resonant
weak signal at the RF input to the level required, or de- circuit. These circuits pass a signal at the resonant peak
sired, by the decision making circuit or detector. This re- and reject a signal off resonance. Rs and Rp are naturally
ceiver gain varies from 0 up to 130 dB, most of which must occurring losses that reduce the circuit efficiency. Figure 2
be provided by the IF amplifier. The RF amplifier and mixer shows the universal resonance curve which is applicable to
circuits preceding the IF amplifier generally provide 20 or both series and parallel resonant circuits. It is important
more dB of gain so that the IF amplifier generally con- to note that the signal rejection never goes to a zero level
tributes little to the receiver noise figure. See “noise figure” in the area of interest, but reaches an asymptotic value of
elsewhere in this article. about 0.2 or −15 dB. If it is necessary to reject a signal on
Gain is provided by an amplifying device, such as a tran- the shoulders of this curve by 60 dB, then four cascaded
sistor or vacuum tube (in older equipment). These devices stages of this filter must be used to obtain the necessary
have complex input and output impedances that must be rejection. Note also that there is a nonlinear phase shift
matched to the filtering circuits for best power transfer, that reaches a maximum in the area of interest of about
stability, and lowest noise. Current practice is to use a ±70◦ . When stages are cascaded, this phase shift is mul-
“gain stage” which consists of multiple amplifying devices tiplied by the number of stages. A nonlinear phase shift
in an integrated circuit package. These packages contain causes distortion in FM receivers.
the mixer stages and detectors. A frequency f0 , at which the response of a parallel reso-
nant LC filter is a maximum, that is, the point at which the
parallel impedance is a maximum, is defined as a “pole.” A
Automatic Gain Control
frequency at which the impedance is a minimum, as in the
Receivers must respond to a wide range of input levels series LC circuit, is defined as a zero. Thus the previous as-
while maintaining a nearly constant level at the detector or sumed four cascaded stages above constitute a four-pole fil-
decision making circuit. The user or operator does not wish ter, because it contains four resonant poles. The frequency
Intermediate-Frequency Amplifiers 3

Figure 1. Series and parallel resonant circuits. Rp and Rs are


loads that reduce the efficiency of the circuit.

Figure 3. A typical transistor IF circuit with bandpass filter.

Q(2 f/ fo )
Tanϕ =
1
Figure 3 shows a typical IF amplifier stage used in ear-
lier transistor radios. In this circuit R p (the total shunt-
ing resistive load) is actually three resistances in parallel,
one the equivalent Rp of the coil itself (representing the
coil losses), another the input resistance of the following
Figure 2. The “Universal Resonance Curve.” The phase change stage, as reflected, and the third the output resistance of
is shown for series resonance. The phase reverses for parallel res- the driving transistor, as reflected. It cannot be assumed
onance. α = Q (Hz off resonance)/(Resonant frequency). that the resulting coil Q and, hence, the selectivity of the
circuit is that of the unloaded coil and capacitor alone.
Dual-gate, field-effect transistors have the highest shunt-
of resonance is given by Eq. (3). This is the frequency at ing resistance values, and bipolar transistors the lowest.
which Xc = 1/−jωC and XL = jωL are equal. The gain is varied by increasing or decreasing the bias volt-
age Vb applied to the input terminal.
Manufacturers of amplifying devices often provide the
impedances, or admittances, of their products on their data
sheets. Formerly this was done in the form of h parameters.
The bandwidth that an analog LC filter passes is altered The more common practice today is to provide the infor-
by the circuit efficiency, or circuit Q, given in Eqs. (4a), mation in the form of S parameters. These values can be
(4b), and (4c). Generally the bandwidth is specified as the converted to impedances and admittances, but the manual
bandwidth between the −3 dB points. process is rather complicated. An easier method is to use
the various software programs (see references) to make the
conversion. Matrix algebra and “h” and “S” parameters are
discussed elsewhere in this article and also in references
(3, 4). Unfortunately, S parameters for band-pass filters are
rarely available.
For simplicity in analyzing the following circuits, the Q Figure 4(a) shows the equivalent circuit of the transistor
determining R is assumed to be a parallel resistance Rp as the tuned LC sees it. The transistor amplifies a current
across the inductance. which is passed through a relatively low driving resistance
The amplitude response of the resonant circuit is given Rs to the outside. At the same time, the attached LC sees
by Eq. (5a) and the phase response by Eq. (5b). an equivalent shunting resistance Rc and capacitance Cc
which must be added in parallel to Rp , L, and C. The input
1 to the following stage, assumed to be an identical transis-
G( jω) = A( jω) = tor, has a relatively low shunting resistance Ri , and capac-
1 + jQ(2 f/ fo )
4 Intermediate-Frequency Amplifiers

Figure 5. Impedance step up or down using a transformer.

Figure 4. (a) The equivalent circuit of a transistor amplifier. (b)


Figure 6. Impedance matching utilizing capacitors.
The equivalent circuit of Fig. 3 when all of the shunting loads are
included.
matching networks are added, the network in Fig. 4(b) re-
itance Ci which must be added. Unless the added capaci- sults. To calculate the resonant frequency and circuit Q
tances are large compared to the resonant C, they merely from these reactances and resistances in parallel is compli-
add to it without greatly detuning the circuit. When tuned, cated unless they are converted to admittances. Software is
the total C plus L determine the frequency and the result- available at reasonable cost to perform these calculations.
ing total R p determines the Q of the LC circuit, hence the See references.
bandwidth. Thus the complex components are tuned out, Stock or mass produced IF transformers often do not
and the remaining design problem consists of matching the have the desired turns ratio to match the impedances. An
real or resistive part of the input and output impedances additional Z match circuit using capacitors enables the
to the best advantage. available transformers to match almost any impedance.
The desired result is to couple the output of the driv- This capacitor divider circuit is often used instead of a
ing stage to the input of the following stage with the least tapped coil or transformer as shown in Fig. 6.
loss by matching the differing impedances. An additional The formulas for calculating the matching conditions
desired result is to narrow the band of frequencies passed with capacitors are more complex than those used for
by a filter. These objectives are accomplished by transform- transformer coupling, because there are more variables.
ing the input and output impedances to a higher or lower In this circuit Ri , is assumed to be lower than Rp . Although
shunting impedance that maintains the desired bandpass Rp is the equivalent parallel resistance of the LC circuit in
characteristic of the filter. A low driving or load impedance Fig. 6, it could also be the reduced resistance or reflected
can be stepped up to a very high impedance which main- Rp2 at a transformer tap. N in these equations is equal
tains the circuit Q at the desired value. to the loaded resonator Q, or to a lower arbitrary value if
Impedance matching enables the designer to change the total shunting Rp is lowered by transformer action as in
actual impedance to a different apparent value which is op- equation (6) or if the component ratios become unwieldy.
timum for the circuit. Figure 5 shows how impedances are
matched by transformer action. A transformer with a 3:1
turn ratio is shown as an example. The output impedance
relative to the input impedance is given by Eq. (6). Ni and
No are the input and output numbers of turns on the wind-
ing.

Thus 90  at the input is seen as 10  at the output. The


auto transformer [tapped coil in Fig. 5(b)] has the same
relationship.
When all of the reactances and resistances from the
tuned circuit and the transistor input and output, as mod-
ified by the step-up/step-down process of the impedance
Intermediate-Frequency Amplifiers 5

Figure 7. Double-tuned circuits coupled together.

Equations (8) and (9) calculate the reactances of the two Figure 8. The effects of various coupling coefficients.
capacitors. Note that NXL is the same as QXL . Starting
with a value of N = Q, find XC1 , then XC2 . The amplitude response curves in Fig. 8 do not yield any
If Q is large in Eq. (7), the equations reduce to the ap- information as to the phase shifts that take place through
proximate values in Eqs. (10) and (11). Unless Q is less than the filter. In AM circuits, phase is generally of little concern,
10, these approximate equations are accurate enough for and most attention is paid to the amplitude ripple and lin-
general use. As an example, let Ri = 100  and Rp = 10,000 earity. In FM circuits, nonlinear phase shift, or a related
 with Q = 100. Then using Eq. (10), XC2 becomes 10  term “differential group delay,” becomes more of a problem
and XC1 becomes 100 . C2 is approximately ten times as and efforts are made to keep the phase shift as linear as
large as C1 . Note the similarity of this ratio to Eq. (6). If a possible. In data transmission circuits using phase mod-
transformer is involved, N becomes much smaller and the ulation, any nonlinearity must be avoided. For these rea-
full formulas Eqs. (7), (8), and (9) should be used. sons, the coupling coefficients are carefully adjusted, and
Equations (7) through (9) apply for R1 < Rp , and N > cascaded IF amplifier stages are used to get the desired
(Rp /R1 − 1)1/2 . transfer function for the IF amplifier.

Double-Tuned Circuits
CASCADING IF AMPLIFIER STAGES AND FILTERS
When two identical LC circuits are coupled together, as
in Fig. 7, a number of responses are possible as in Fig. All filtering actions between the RF receiver input and the
8. The amplitude response depends on the coupling coeffi- decision making circuit are parts of the IF amplifier band-
cient K. Undercoupling results in a two-pole filter with the pass filter. Because the decision making circuit is at base-
sharpest selectivity. Critical coupling results in the nar- band, or 0 Hz, all filtering before the decision making cir-
rowest bandwidth with the highest gain. Transitional cou- cuit is part of the IF bandpass filtering, which should be
pling is slightly greater than critical coupling and results treated as a whole.
in a flat topped response with a wider bandwidth. Over- A single LC circuit seldom has the desired bandpass
coupling results in a double-humped response with sharper characteristic for an IF amplifier. Cascading IF amplifier
skirts and broad bandwidth. The coupling coefficient is cal- stages with differing coupling and Q values enables the
culated using Eqs. (5a–d). Equation (12a) applies to mutual designer to obtain the desired transfer response. One com-
inductive coupling and Eqs. (12b–d) to capacitive coupling. bination of LC filters uses an overcoupled, double-tuned
stage followed by a single tuned stage with a lower Q.
The result is a three-pole filter with relatively steep skirt
slopes. Cascading these stages results in filters with re-
sponses resembling Butterworth, Chebycheff, elliptical, or
equal-ripple filters which are noted for rejecting adjacent
channel interference. See Figs. 9 and 10.
When additional filtering is required at the baseband,
simple RC filters, low-pass LC filters, or digital FIR filters
Equation (12a) calculates the coupling coefficient for two
are used. These and other filters are discussed in greater
identical LC tuned circuits that are coupled together by
detail elsewhere in this encyclopedia.
leakage inductance [Fig. 7(a)], often obtained by using
shielded coils with holes in the sides of the shield cans to
Crystal and Ceramic Filters
allow the magnetic fields to interact. The size of the hole
determines the value of the mutual inductance M. Because Figure 11(a) shows the equivalent circuit of a crystal or a
this is difficult to control, a coupling capacitor is often used ceramic resonator. These devices have both a pole and a
as shown in Fig. 7(b,c). The critical coupling value is given zero whose frequencies are located relatively close to each
by Eq. (12(b)). The coupling coefficients for Fig. 7(b,c) are other. Quartz crystals have Q values from 2,000 to 10,000
given in Eqs. (12 c,d). or more depending on the mechanical loading of the crys-
6 Intermediate-Frequency Amplifiers

Figure 9. Two amplifier stages cascaded. The first stage is over-


coupled; the second stage with a lower Q is critically coupled.
Figure 12. The frequency response of the two-pole crystal res-
onator in Fig. 11(b).

When using these devices, care must be taken to care-


fully match the specified impedance. Any impedance mis-
match seriously alters the response curve of the filter. The
impedance matching techniques previously discussed en-
able the designer to obtain a very close match which op-
timizes the circuit performance. Typical input and output
impedances range from 50 to 4,000 . Crystal filter makers
often build in transformer or other tuned matching circuits
so that the user does not need to provide a matching circuit
outside the crystal filter.
Surface acoustic wave (SAW) filters utilize a crystal os-
cillating longitudinally with many fingers or taps placed
along the surface. They are made with very broad band-
pass characteristics, which makes them well suited for TV
Figure 10. The overall response of the two cascaded stages in IF amplifiers, spread-spectrum IF filters, and other uses re-
Fig. 9.
quiring wide bandwidths. They have losses which are typ-
ically about 8 to 20 dB, so they must have amplifiers with
adequate gain ahead of them if the receiver noise figure is
not to be degraded. They are not suitable for narrowband
or low-frequency applications.

Baseband IF Filtering
IF filters with specific response characteristics are some-
times very difficult to obtain, whereas the desired charac-
teristic is easily and inexpensively obtainable at the base-
band. This concept is often applied to transmitters where a
sharp cutoff filter is obtained with simple components, such
as the switched filter. An eight-pole equivalent at baseband
becomes a 16-pole filter at the modulation IF frequency. For
example, a sharp cutoff filter for voice with a 4 kHz audio
cutoff results in a bandpass filter 8 kHz wide at RF after
Figure 11. (a) The equivalent circuit of a crystal or ceramic res- modulation, with the same sharp cutoff. The same cutoff
onator. (b) Two resonators are coupled together to form a bandpass
characteristics at RF are almost impossible to obtain in a
filter.
crystal filter, which is also very costly and beyond the bud-
get for a low-cost transmitter, such as a cordless telephone.
tal. Ceramic resonators usually have Q values between 100 By using baseband filtering, a poor-quality RF filter that
and 400. The higher the Q, the narrower the filter band- rejects only the opposite image is used. Similarly, a wide-
pass. When two of these devices are connected as shown in band or poor-quality IF filter is used ahead of a detector
Fig. 11(b), the result is a band-pass filter with steep skirts, if the undesired signal components are filtered off later at
as in Fig. 12. These devices are always used in pairs to baseband by a sharp cutoff filter.
make a two-pole filter, which then is combined in a single Switched capacitor filters are available as packaged in-
container with other pairs to create a filter with as many as tegrated circuits that are used at baseband and some lower
eight or more poles. They usually have excellent adjacent IF frequencies. They have internal operational amplifiers
channel rejection characteristics. with a switched feedback capacitor, the combinations of
Intermediate-Frequency Amplifiers 7

which determine the filter characteristics. Because they


depend on the speed of the operational amplifiers and the
values of the feedback capacitors, they seldom function
much above 100 kHz. They can be configured as Bessel,
Equal-ripple and Butterworth filters. Typical of this type
of filter are the LTC 1060 family manufactured by Lin-
ear Technology Corporation and the MAX274 from Maxim.
As Bessel type filters, they perform well out to about 0.7
times the cutoff bandwidth, after which the phase changes
rapidly and the Bessel characteristic is lost.
Digital signal processing (DSP) at baseband is widely
used to reduce the component count and size for baseband
filters in very small radio receivers, such as cordless and
cellular telephones. Almost any desired filter response is
obtained from DSP filters without inductances and capac- Figure 13. An integrated circuit with built-in IF amplifier that
itors which require tuning. FIR filters have a flat group comprises an almost complete AM radio.
delay response and are the best choice for FM or PM filter-
ing.

Amplifying Devices for IF Amplifiers


Transistors in one form or another are the standard for
IF amplifiers. The single, bipolar or field-effect transistor
(FET) used as an individual component, was formerly the
preferred device. For very high Q circuits, the dual-gate
FET performs best, because it is the most stable and offers
the lowest shunt resistance. Single-gate, FET devices often
have too much drain-to-gate capacitance for good stability.
Modern bipolar transistors usually have good stability, but
higher shunt resistances than dual-gate FETs. Stability is
discussed later in this section.
MMIC devices are stable and have good gain, but the
shunt impedance is too low for any bandpass filter except
a crystal filter matched to 50 . Figure 14. An integrated circuit for FM use that comprises an
The most recent practice for IF amplifiers is to use inte- almost complete FM receiver.
grated circuit blocks containing more than one transistor
in a gain stage. These are then packaged together in an in-
250 mV as long as the input signal level to the chip exceeds
tegrated circuit with other circuit components to form an
30 µV.
almost complete radio. Integrated circuits of this type are
Figure 14 shows a single-chip FM radio based on the
shown later.
NXP (Phillips) NE605 integrated circuit that uses ceramic
IF filters at 10.7 MHz. The input and output impedance
Typical Consumer IF Amplifiers
of the IF amplifier sections is approximately 1500  to
Consumer radio and TV equipment is mass produced at match the ceramic filter impedance, so that no matching
the lowest possible cost consistent with reasonable quality. transformer is required. The audio output is maintained
Manufacturers of integrated circuits now produce single- constant at 175 mV for all signal levels at input levels from
chip IF amplifiers that are combined with mass produced −110 dBm to 0 dBm. An automatic frequency control (AFC)
stock filters to produce a uniform product with a minimum voltage is obtained from the quadrature detector output.
of adjustment and tuning on the assembly line. In the ex- AGC is available from all FM integrated circuits so that
amples that follow, some circuit components inside and out- the gain of the mixer and RF stages is controlled at a level
side the IC have been omitted to emphasize the IF amplifier that does not allow these stages to be saturated by a strong
sections. incoming signal. Saturation or nonlinearity before filtering
Figure 13 shows a single-chip AM receiver that uses the results in undesirable signal spreading. The NE605 has a
Philips TDA 1072 integrated circuit and ceramic IF filters “Received Signal Strength Indicator” (RSSI) output which
at 455 kHz. The input impedance of the ceramic filter is is amplified and inverted if necessary to provide an AGC
too low to match the output impedance of the mixer, so voltage or current for the RF amplifier and Mixer.
that a tuned matching transformer is used to reduce the Figure 15 shows a TV IF amplifier using the Motorola
passed bandwidth and to match the impedances. The input (Freescale) MC44301/2 Video IF integrated circuit with a
impedance of the IF amplifier was designed to match the SAW filter at 45 MHz. The SAW filter band-pass is ap-
average impedance of the ceramic filters available. This proximately 6 MHz wide to pass the video and sound. The
integrated circuit has a built-in automatic gain control that circuit has both AFC and AGC features built in. Unlike
keeps the received audio output level relatively constant at the IF amplifiers used for AM and FM audio broadcast
8 Intermediate-Frequency Amplifiers

The TV IF amplifier with the detector circuit given in Fig.


15 illustrates some of the reasons. Conversion to baseband
occurs at the IF frequency or directly from the RF fre-
quency.
There is a noticeable trend in integrated circuit design
to utilize synchronous detection and restore the carrier by
a phase-locked loop, as in Fig. 15, or by regenerative IF am-
plifiers, to achieve several desirable features not obtainable
from classical circuits with square law detectors.
In the case of direct RF to baseband conversion, there
is no IF stage in the usual sense, and all filtering occurs at
the baseband. For this reason, direct conversion receivers
are referred to as zero Hz IF radios. Integrated circuits for
direct RF conversion are available that operate well above
2.5 GHz at the RF input.
It was discovered in the 1940s that the performance of
a TV receiver is improved by using a reconstructed syn-
Figure 15. An integrated circuit for a TV IF amplifier that detects chronous or exalted carrier, as occurs in the TV IF ampli-
both the AM video and the FM subcarrier sound. fier described in Fig. 15. The carrier is reduced by vestigial
sideband filtering at the transmitter and contains undesir-
applications, the TV IF amplifier includes a phase-locked able AM signal components. By locking an oscillator to, or
loop and a synchronous detector which locks the frequency synchronizing it with the carrier, and then using it in the
of an internal oscillator to the IF frequency. This locked, detector, a significant improvement in the received signal is
or synchronous oscillator output is then mixed with the achieved. Before using circuits of this type, the intercarrier
information-bearing portion of the signal to create a base- sound at 4.5 MHz in earlier TV sets had a characteristic
band signal. This is one of a family of 0 Hz IF amplifiers 60 Hz buzz due to the AM on the carrier. By substituting
which are becoming more popular in radio designs, because the recovered synchronous carrier instead, this buzz was
they permit most or additional signal processing at base- removed. Figure 15 is an example.
band. In the TV case, the video and sound carriers are both The earliest direct conversion receivers using locked os-
passed by the SAW filter. They beat together at 4.5 MHz in cillators or synchronous detectors were built in the 1920s,
the detector, providing a second IF stage with the sound in- when they were known as synchrodyne or homodyne re-
formation. This 4.5 MHz IF information is then filtered by ceivers. The theory is relatively simple. A signal from the
a ceramic filter approximately 50 kHz wide to remove any RF amplifier is coupled to an oscillator causing a beat or
video components, limited, and detected as a standard FM difference frequency. As the frequencies of the two sources
signal to provide the TV sound. Then the video portion, con- come closer together, the oscillator is pulled to match the
sisting of signals from 15 kHz to approximately 4.25 MHz, incoming signal. The lock range depends on the strength of
is further processed to separate the color information at the incoming signal. Then the two signals are mixed to pro-
3.58 MHz from the black and white information. The video vide a signal at the baseband, which is further filtered by
output level is detected to provide the AGC voltage. a low-pass filter. In this way, a relatively broad RF filter is
The phase-locked oscillator, operating at the IF fre- used, whereas the resulting AM signal bandwidth after de-
quency, also provides automatic frequency control to the tection and baseband filtering is very narrow. The Q of the
first mixer stage local oscillator. oscillator tank circuit rises dramatically with oscillation,
Figure 16 shows a dual conversion receiver for commu- so that Q values of 6,000 to 10,000 are not unusual and
nications utilizing the Motorola (Freescale) MC13135 inte- selectivity is greatly improved. AGC is obtained from the
grated circuit. When the receiver is operated at 450 MHz audio signal to maintain a constant input signal to insure a
or 850 MHz, as mentioned previously, single conversion IF good lock range. An undesirable characteristic is the whis-
stages do not offer the necessary image rejection. This re- tle or squeal that occurs between stations. Later receivers
ceiver is for narrowband AM or FM as opposed to wide- used a squelch circuit to make the signal audible only after
band FM for entertainment purposes. The first IF filter is locking occurred. High-quality receivers for entertainment
a low-cost ceramic filter at 10.7 MHz. The second filter is and communications, which use this principle have been
a multipole crystal or ceramic filter with a band-pass just produced in the 1990s. They offer higher sensitivity, better
wide enough to pass the audio with a small FM deviation fidelity and more controlled response. Integrated circuits
ratio. Radios of this type are used for 12.5 kHz and 25 kHz for receivers of this type (direct conversion) are now being
channel spacings for voice-quality audio. Analog cellular produced for paging, direct broadcast TV, and for cellular
telephones, aircraft, marine, police, and taxicab radios are and cordless telephones. The MAX 2101 and MAX 2102
typical examples. integrated circuits are typical examples.
Oscillating filters and phase-locked loops are similar in
principle. An IF frequency is applied to a phase/frequency
Direct Conversion and Oscillating Filters
detector that compares the IF carrier frequency with
Direct conversion converts the RF frequency directly to the the oscillator frequency. An error voltage is created that
baseband by using a local oscillator at the RF frequency. changes the oscillator frequency to match or become co-
Intermediate-Frequency Amplifiers 9

herent with that of the incoming IF carrier frequency. In


some cases the phase-locked loop signal is 90◦ out of phase
with the carrier, so that a phase shifter is used to restore
the phase and make the signal from the oscillator coher-
ent with the incoming signal. See Figs. 15 and 19 where
phase-locked loops and phase shifters are employed.
Synchronous oscillators and phase-locked loops (PLL)
extend the lower signal to noise ratio, and they also have
a bandwidth filtering effect as well. The noise bandwidth
of the PLL filter is the loop bandwidth, whereas the actual
signal filter bandwidth is the lock range of the PLL, which
is much greater. Figure 17 shows the amplitude and lin-
ear phase response of a synchronous oscillator. The PLL Figure 17. The filtering effect of a synchronous oscillator used as
is not always the optimum circuit for this use because its a band-pass filter. The filter bandpass is the tracking range. The
noise bandwidth is limited by the Q of the oscillating circuit.
frequency/phase tracking response is that of the loop fil-
ter. The locked oscillator (6) performs much better than the
PLL because it has a loop bandwidth equal to the lock range
without sacrificing noise bandwidth, although with some
phase distortion. Some authors hold that the synchronous
oscillator and locked oscillator are variations of the PLL
in which phase detection occurs in the nonlinear region of
the oscillating device and the frequency-change character-
istic of the voltage-controlled oscillator (VCO) comes from
biasing of the oscillator. Both the PLL and the locked os-
cillator introduce phase distortion in the detected signal if
the feedback loop is nonlinear. A later circuit shown in Fig.
18 has two feedback loops and is considered nearly free of
phase distortion (5). This circuit has the amplitude/phase
response given in Fig. 17.
Phase-locked loops have been used for many years for
FM filtering and amplification. They are commonly used
with satellite communication links for audio and video
reception. A 74HC4046 phase-locked loop integrated cir-
cuit operating at 10.7 MHz (the FM IF frequency) is used
to make an FM receiver for broadcasting (7). The phase- Figure 18. Schematic diagram of a synchronous oscillating band-
locked loop extends the lower signal-to-noise limit of the pass filter.
FM receiver by several dB while simultaneously limiting
bandwidth selectivity to the lock range of the PLL. The
detected audio signal is taken from the loop filter. AM STEREO (C-QUAM)

AM stereo radio is another application of the phase-locked


oscillator at the IF frequency. AM Stereo radio depends on
two programs transmitted at the same time and frequency.
They arrive at the receiver detector circuitry through a

Figure 16. A dual conversion integrated circuit use for


high-frequency voice communications.
10 Intermediate-Frequency Amplifiers

Figure 20. Neutralization or unilateralization of a transistor am-


plifier to prevent oscillation due to feedback.
Figure 19. An integrated circuit to detect AM Stereo (C-QUAM).
The L + R and L − R signals are in quadrature to each other. ditional subcarriers at 67 and 92 kHz. These FM/FM sub-
carriers carry background music, ethnic audio programs,
and digital data.
common IF amplifier operating at 455 kHz. The normal
To detect a subcarrier, the signal is first reduced to the
program heard by all listeners is the L + R program. The
baseband, then a band-pass filter is used that separates
stereo information (L − R) is transmitted at the same fre-
only the subcarrier frequencies. Then the subcarrier fre-
quency, but in quadrature phase to the L + R program.
quencies are passed to a second detector which is of the
Quadrature, or orthogonal transmission, is used because
proper type for the subcarrier modulation. This is seen in
the orthogonal channels do not interfere with one another.
Fig. 15 where a 4.5 MHz filter is used. This is followed by
Each program section requires a carrier coherent with its
a limiter and quadrature detector as is appropriate for an
own sideband data. The L + R program, which has a car-
FM signal. In the case of a 67 kHz FM/FM subcarrier, the
rier, uses an ordinary square law detector or a synchronous
filter is 15 kHz wide at 67 kHz. Detection is accomplished
detector. This is the program heard over monaural radios.
by a discriminator, quadrature detector, or PLL.
To obtain the L − R program which is transmitted without
a carrier, a phase-locked loop is used at the IF frequency
to lock a voltage-controlled oscillator to the carrier of the L Cellular and Cordless Telephones
+ R program. This carrier is then shifted 90◦ in phase and Analog cellular telephones employ the circuits shown in
becomes the carrier for the L + R segment. The output of Figs. 14 and 16. Digital telephones utilizing GMSK also
the PLL has the proper phase for the L − R detector, so that use these circuits. Digital telephones using QAM or PSK
phase shifting is not necessary. The L − R detector is a co- employ circuits similar to that used for C-QUAM with dig-
herent or synchronous detector that ignores the orthogonal ital filtering and signal processing instead of audio filter-
L + R information. By adding, and inverting and adding, ing at the baseband. The PLL for digital receivers is a more
the left and right channels are separated. Figure 19 shows complex circuit known as the “Costas Loop,” which is neces-
a simplified block diagram of the C-QUAM receiver. sary to restore a coherent carrier for digital data recovery.
The Motorola (Freescale) MC1032X series of integrated Some cellular phones are dual mode, that is, they trans-
circuits is designed for AM Stereo use. The MC10322 and mit and receive analog voice or digital GMSK modulation
MC10325 have most of the components required, including using circuits similar to Figs. 14 and 16.
the IF amplifiers, for a complete AM Stereo receiver in two
integrated circuit packages. Neutralization, Feedback, and Amplifier Stability
Earlier transistors and triode vacuum tubes had consider-
Subcarriers
able capacitance between the output element (collector or
Subcarriers carry two or more signals on the same carrier. plate) and the input side of the device. (See Fig. 4.) Feed-
They differ from the orthogonal signals used with C-QUAM back due to this capacitance is multiplied by the gain of
in that they are carried as separate signals superimposed the stage so that enough signal from the output was often
over the main carrier information, as in the video sound coupled back to the input to cause the stage to oscillate un-
carrier in Fig. 15. In Fig. 15, a frequency-modulated sub- intentionally, as opposed to the planned oscillation of the
carrier at 4.5 MHz is carried on top of the main video sig- locked oscillator, synchronous oscillator, or PLL. To prevent
nal information, which extends from 0 to 4.25 MHz. This this, feedback of an opposite phase was deliberately intro-
is an example of an AM/FM subcarrier. Nondigital satel- duced to cancel the undesired feedback. A neutralized IF
lites utilize a frequency-modulated video carrier with as amplifier is shown in Fig. 20. Transistors and integrated
many as 12 subcarriers at frequencies ranging from 4.5 circuits made since 1985 are rarely unstable and generally
MHz to 8.0 MHz. Normal FM Stereo broadcasting utilizes do not require neutralization unless seriously mismatched.
a FM/AM subcarrier at 38 kHz to carry the L–R portion of A solution better than neutralization is usually to improve
the stereo program. FM stations also frequently carry ad- the matching of the components and the circuit layout.
Intermediate-Frequency Amplifiers 11

By carefully controlling the feedback, a regenerative IF obtained from a digitally controlled frequency synthesizer
amplifier that operates on the verge of oscillation can be instead of tuned LC circuits.
constructed. This greatly increases the Q of the tuned cir-
cuit, thus narrowing the IF bandwidth. Circuits of this type Spread-Spectrum Radios
were once used in communications receivers for commer-
The spread-spectrum receiver also uses a conventional
cial and amateur use where they were called Q multipliers.
front end with a wideband first IF stage. The same con-
The maximum stable gain (MSG) achieved from a po-
ditions apply as to software radios and dual conversion re-
tentially unstable amplifier stage without neutralization
ceivers. The first IF stage must have the necessary band-
is obtainable from S parameters and is calculated from
width to accommodate the spread bandwidth to amplify
Eq. (13). This equation assumes that the input and output
it with minimum added noise, and to match the output
impedances are matched and that there is little or no scat-
to the despreading circuitry. Spread spectrum is covered
tering reflection at either the input or output. The stability
elsewhere in this encyclopedia. Although usually associ-
factor K, usually given with the S parameters, must be >1.
ated with digital reception, spread-spectrum technology is
A failure to match the impedances can result in an unsta-
also used for analog audio.
ble amplifier, but does not necessarily do so. A higher gain
is obtained, but at the risk of instability.
Computer-Aided Design and Engineering
For IF filter design, the admittances rather than the
impedances are easiest to use, because most components
The most frequent cause of amplifier instability or os-
are in parallel as shown in the equivalent circuit of Fig.
cillation is poor circuit board layout or inadequate ground-
4(b). Unfortunately, most available data is in the form of
ing and shielding, not the device parameters. The wiring,
S parameters which are very difficult to convert manually
whether printed or hand wired, forms inductive or capac-
to impedances or admittances. Parameters for the filters
itive coupling loops between the input and output termi-
are rarely available, so that calculated values based on
nals of the amplifying device. This is particularly notice-
assumed input and output impedances must be used un-
able when high gain ICs, such as the NXP SA636, are used.
less test equipment capable of measuring return losses or
These integrated circuits have IF gains of over 100 dB and
standing waves is available. Then the S parameters are
require very careful board layouts for best results. Unde-
measured or calculated.
sirable feedback greatly decreases the usable gain of the
Smith and Linville charts have been used by some au-
circuit.
thors to design IF amplifiers, but these methods are not
totally satisfactory for IF amplifier design, because a high
Software Radio Q circuit has its plot near the outer edge of the circle and
Digital radios, or radios based on digital signal processing changes are difficult to observe. The network admittance
(DSP), offer some technical advantages over their analog values shown in Fig. 4 would be used.
predecessors. Digital radios are used for digital modula- Computer programs that handle linear or analog de-
tion, and also for AM and FM. One receiver simultaneously signs, such as the various “Spice” programs, are readily
detects both digital and analog modulation, thus they can available. Other programs which concentrate on filter de-
be used for cellular telephones in environments where mul- sign can simplify filter design. They have outputs which
tiple modulation standards are used. As a class, they belong interface with the Spice programs if desired. Most semi-
to the zero Hz IF frequency group. conductor manufacturers provide scattering parameters (S
The typical receiver consists of a conventional RF front parameters) or Spice input data on disk for use with these
end and a mixer stage that converts the signal to a lower programs. Some design software sources are listed in the
frequency, as in the dual conversion radios discussed pre- bibliography.
viously (Fig. 16). The signal at this stage is broadband, but
not broadband enough to include the image frequencies. BIBLIOGRAPHY
Then the signal is fed to an analog-to-digital (ADC) con-
verter which is sampled at several times fm . This converts 1. J. M. Petit M. M. McWhorter Electronic Amplifier Circuits,
the portion of interest of the signal to the baseband (or 0 New York: McGraw–Hill, 1961.
Hz) instead of a higher IF frequency. The actual filtering 2. W. T. Hetterscheid Transistor Bandpass Amplifiers, Philips
to remove unwanted interfering signals then takes place Technical Library, N. V. Philips, Netherlands/Philips Semicon-
at baseband by baseband by digital filtering. Digital sig- ductors, 1964.
nal processing and decimation are covered elsewhere in 3. R. Hejhall RF Small Signal Design Using Two-Port Parame-
this encyclopedia. The ADC performs the same functions ters, Motorola Applications Note AN 215A.
as the oscillating detectors shown previously. 4. F. Davis Matching Network Designs With Computer Solutions,
Noise figure, amplification, and AGC considerations of Motorola Applications Note AN 267.
the first IF amplifier are the same as those for a conven- 5. V. Uzunoglu M. White Synchronous oscillators and coherent
tional receiver. The ADC and the DSP filters function best phase locked oscillators, IEEE Trans. Circuits Syst., 36: 1989.
with a constant signal input level.
The term “Software Radio” has been adopted because 6. H. R. Walker Regenerative IF amplifiers improve noise band-
the tuning function is done in software by changing the width, Microwaves and RF Magazine, December 1995 and
sampling frequency at the ADC. The sampling frequency is January 1996.
12 Intermediate-Frequency Amplifiers

7. R. E. Best Phase Locked Loops, 3rd ed., New York:


McGraw–Hill, 1997.
8. R. W. Goody MicroSim P-Spice for Windows. 2nd ed., Upper
Saddle River, NJ: Prentice–Hall, 1998.
9. M. E. Herniter Schematic Capture with MicroSim P-Spice. 2nd
ed., Upper Saddle River, NJ: Prentice–Hall, 1996.
10. W. Hayt, Jr. J. Kemmerly Engineering Circuit Analysis, 5th
ed., New York: McGraw–Hill, 1993.
11. W. K. Chen The Circuits and Filters Handbook, Piscataway,
NJ: IEEE Press 1995.
12. ARRL Handbook for the Radio Amateur, 65th ed., Newington,
CT: American Radio Relay League (Annual).
13. John Keown, Orcad PSpice and Circuit Analysis Upper Saddle
River, NJ: Prentice-Hall, 2001. (Includes Orcad P-Spice soft-
ware).
14. (Note: Microsim P-Spice is no longer available. See www.web-
ee.com, or Cadence to substitute Orcad P-Spice.)

Integrated Circuits and Semiconductors

AMD, One AMD Place, P.O. Box 3453; Sunnyvale, CA 94088


www.amd.com.
Analog Devices, One Technology Way, P.O. Box 9106, Norwood, MA
02062 www.analog.com
Freescale Semiconductor. www.freescale.com
Linear Technology Corporation. www.linear.com
Maxim Integrated Products, www.maxim-ic.com
Freescale, formerly Motorola, Semiconductors are now under
www.freescale.com
NXP, formerly Philips, www.NXP.com

Software

Ansoft/Compact Software, 201 McLean Blvd., Paterson, NJ 07504.


www.ansoft.com
Agilent.( Hewlett Packard ). Now combines Eagleware, Sysview
and eeSoft. www.eagleware.comEagleware Corp., 1750 Moun-
tain Glen, Stone Mtn, GA 30087Elanix Inc., 5655 Lindero
Canyon Road, Suite 721, Westlake Village, CA 91362 ( SysView
)Hewlett-Packard Company, P.O. Box 58199, Santa Clara, CA
95052 ( eeSoft ).
Cadence. 2655 Seely Ave. San Jose CA.95134. www.cadence.com
Freescale Seminconductor. www.freescale.com
Intusoft, 879 W. 19th St, Suite 100, Gardena CA. 90248-4223
www.intusoft.com.
Linear Technology Corp. www.linear.com
Mathworks. 3 Apple Hill Drive, Nagick MA. 01760
www.mathworks.com
Electronic Design Magazine ( Internet ). www.web-ee.com

HAROLD R. WALKER
Pegasus Data Systems, Inc.,
Edison, NJ
classical ladder filter design technique was employed exten-
sively until the 1960s when the digital computer made alter-
native filter design techniques practical.
LADDER FILTERS The image parameter method helped to develop an intu-
itive approach to the filter design problem without requiring
Ladder filters are an important class of filter structures for a computer. However, it was an approximate method which
implementing highly selective magnitude frequency re- did not make effective use of the poles and zeros that are
sponses. If the ladder filter structure is used to implement or provided by the filter transfer function, resulting in subopti-
simulate resistively terminated reactive LC filters, desirable mal designs in terms of the order of the filter. Therefore,
properties, such as the inherent stability and low sensitivity much research was devoted to finding an optimal solution for
with respect to parameter changes, can be retained. the LC ladder filter design problem, including both the ap-
The first LC ladder filters were implemented using induc- proximation of the filter transfer function and the synthesis
tors (L’s) and capacitors (C’s), operating in the continuous- of the LC ladder filter network.
time domain and embedded between resistive terminations. In 1924 and 1926, a major advance occurred when Foster
They are referred to as analog or classical LC ladder filters. and Cauer invented canonical one-port LC networks, essen-
These classical LC ladder filters perform remarkably well in tially solving the general one-port LC synthesis problem.
practice and are capable of realizing highly selective magni- Later, in 1931, the general passive one-port synthesis prob-
tude frequency responses. However, they are not suitable for lem was solved by Brune. His solution led to the fundamen-
microelectronic integration because inductors are usually tally important concept of the positive real function, which
bulky. To overcome this limitation, inductorless microelec- became the most important mathematical vehicle for the de-
tronic filters, such as RC-active filters, switched capacitor sign of LC filters and which continues to be the basis of many
(SC) filters, and digital filters, have been developed. In the alternative techniques for designing high-performance RC-ac-
early years of their development, these modern microelec- tive, SC, and digital filters. In 1930, Butterworth and Cauer
tronic filters were unfortunately found to be inferior to LC introduced the maximally flat and Chebyshev approximations
ladder filters for a number of reasons. In particular, they did of the filter transfer function, respectively, thereby solving the
not possess the desired inherent stability and low-parameter approximation problem for an important class of filters. In
sensitivity properties and, as a result, had poor perform- 1937, Norton proposed a new filter design approach which
ance in terms of stability and parameter sensitivity, espe- started from a prescribed insertion loss function. The general
cially for realizing highly selective magnitude frequency re- reactance two-port synthesis problem, which was involved in
sponses.
this new filter design method, was solved independently by a
Fortunately, it has been found that the superior classical
number of researchers between 1938 and 1941. In particular,
LC ladder filter structure and its corresponding filter design
Darlington and Cauer’s work led to optimal LC ladder filters
methodology can be simulated by modern microelectronic fil-
that are now widely known as elliptic filters. The insertion
ters. For example, the desired properties of passivity and loss-
loss theory of filter design was further developed by Belevitch
lessness, as possessed by LC ladder filters, can be extended
in 1948 using scattering matrix theory, which evolved to be-
to modern microelectronic filters in order to ensure stability
come the most important LC filter design method. However,
and to significantly improve the sensitivity performance of
the filter. because of the extensive numerical computations that this
In this article, we are concerned with the design, synthe- technique involved, it only found wide applications when pow-
sis, and implementation of ladder filters that conform to or erful digital computers became available in the 1960s.
simulate the ladder structure. We shall explain the general
features of the ladder structure and its inherent advantages The Properties and Classical Implementations
as well as its most successful and widely used technological of LC Ladder Filters
implementations such as reactive LC, RC-active, SC, and dig-
ital filters. We begin with an overview of this subject and by The classical LC filter is a two-port reactance (thus lossless)
placing the subject in its historical context. network N that consists of ideal inductors and capacitors and
that is inserted between a voltage source E and two terminat-
ing resistors such as shown in Fig. 1(a), where the uppercase
OVERVIEW OF LADDER FILTERS voltages indicate steady-state voltages. If this two-port N is a
ladder structure, then it consists of alternating series and
The Historical Development of Classical LC Ladder Filters (1,2) shunt branches and is referred to as a double-resistively ter-
Filter theory was developed at a remarkable pace in the early minated ladder filter. For LC ladder filters, the series and
years of the twentieth century. By 1915, Campbell and shunt branches are made up of simple inductors and capaci-
Wagner had developed the first LC filter, which not coinciden- tors or simple parallel and series resonant circuits. An exam-
tally was a ladder implementation. The first systematic LC ple of a fifth-order LC ladder filter is shown in Fig. 1(a). This
ladder filter design technique was facilitated by image param- filter structure is widely used to implement the elliptic filter
eter theory as introduced by Zobel in 1923. This theory was transfer function, whose typical attenuation response is
further refined by Bode and Piloty in the 1930s. The resulting shown in Fig. 1(b).
183
J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
184 LADDER FILTERS

been given by Fettweis (3) and Orchard (4) and is summa-


L2 L4
R1 rized in the following.
The filter transfer function of the reactance two-port N
+ such as shown in Fig. 1(a) is characterized by
E Zin V1 C2 C4 R2 V2
C1 C3 C5 √
2 R1 /R2V2
S21 = (1)
N
E

(a) In the terminology of scattering matrix theory, S21 is called


the input–output transmittance or the transmission coeffi-
α (ω ) cient. The filter attenuation response 움, corresponding to S21,
is given by
α smin a = 10 log(1/|S21|2 ) = 10 log(Pmax/P2 ) (2)

where Pmax ⫽ 兩E兩2 /4R1 is the maximum power available from


the voltage source and P2 ⫽ 兩V2兩2 /R2 is the power delivered to
α pmax the load resistor. Because a reactance two-port is lossless and
ω
therefore passive, we have P2 ⱕ Pmax and therefore 움 ⱖ 0. Let
x be any internal component value such as an inductance or
(b)
capacitance inside the reactance two-port. If for a particular
Figure 1. (a) A fifth-order ladder two-port N inserted between re- value of x, say x0, we have 움 ⫽ 0 at a certain frequency 웆0,
sistive terminations. (b) Attenuation response of a fifth-order elliptic the attenuation 움(웆0, x), which is a function of x with a fixed
filter. The arrows indicate possible shifts of attenuation caused by parameter 웆0, has a minimum at x ⫽ x0. This leads to ⭸움(웆0,
changes of filter parameter values. x)/⭸x ⫽ 0 for x ⫽ x0, and in general, ⭸움/⭸x ⫽ 0 for 움 ⫽ 0 and
⭸움/⭸x 앒 0 for 움 앒 0. This shows that, for a well-designed
lossless LC filter network (i.e., having an attenuation re-
sponse with the maximum number of attenuation zeros at
The LC ladder filter structure is widely considered to be a
real frequencies in the passband etc.), the first-order sensitiv-
preferred filter structure because of its many inherently use-
ity of the attenuation response with respect to any LC compo-
ful properties. Apart from the inherent advantages of the lad- nent value is small everywhere in the passband; furthermore,
der topology, the properties of passivity and losslessness are the closer the attenuation response is to its limiting value of
of particular significance. First, the individual inductor and zero, the smaller the sensitivity of the attenuation to pertur-
capacitor components of the embedded LC ladder network are bations of x. Furthermore, this low passband-sensitivity prop-
passive and lossless, implying (according to Kirchhoff ’s volt- erty can be shown to lead to excellent noise immunity and
age and current laws) that the complete LC ladder filter is superior dynamic range.
passive and lossless. It is very important to note that the LC In addition to the above-mentioned property of low sensi-
ladder network actually satisfies a more stringent passivity/ tivity in the passband, LC ladder filters also exhibit superior
losslessness definition, namely the internal passivity/lossless- low-sensitivity performance in the stopband, compared with
ness. A Kirchhoff ’s network is internally passive/lossless if many other lossless filter structures, such as lattice struc-
and only if all the individual internal components are tures. Although the above lossless argument establishes the
passive/lossless. By proper design, the property of internal low passband-sensitivity property, it does not apply to the
passivity/losslessness guarantees stability and freedom from stopband; in fact, the low stopband sensitivity is a result of
parasitic oscillations for the filter realizations that are subject the unique ladder topology, as explained in the following.
to parasitic effects. Filters, in particular, RC-active, SC, and Let us consider the filter network in Fig. 1(a). In the pass-
digital filters, which do not simulate the internal passivity/ band, the transmitted power P2 closely approximates the max-
losslessness, are subject to (usually nonlinear) instability imum available power Pmax, and P2 indeed equals Pmax at the
problems that are caused by such parasitic effects as nonideal attenuation zeros. This means that the input impedance Zin
phase shift, saturation, and lock-up of op-amps or quantiza- equals R1 at those zeros. In the stopband, the attenuation
tion effects in digital filters. It is important to note that the poles are attributed, in a one-to-one correspondence, to the
simulated internal passivity/losslessness must be retained reactance poles in the series branches and susceptance poles
when internal filter parameters are parasitically perturbed in the shunt branches. These poles disconnect the series
from their nominal values. branches and short-circuit the shunt branches, respectively.
In the early years, the inductors and capacitors within LC Therefore, the location of each attenuation pole is indepen-
ladder filters were implemented using coils and condensers, dently determined by a particular series reactance or shunt
respectively, and could not be manufactured to the level of susceptance. Furthermore, because the series reactance and
precision that is achievalble today. However, the attenuation the shunt susceptance are usually either a single inductor/
responses of those early LC ladder filters did not show high capacitor or a simple resonant circuit, the reactance/suscep-
sensitivity with respect to the LC component values and the tance and thus the locations of attenuation poles are easily
filters performed surprisingly well in practice. The theoretical tuned. Furthermore, the deviation of poles with respect to
explanation for this remarkable property, which may be ex- their ideal locations, due to perturbations of LC component
plained in terms of the first-order sensitivity property, has values, is small if the change of the component values is
LADDER FILTERS 185

small. In general, the series/shunt reactances/susceptances of RC-active filters, remained expensive, thereby lending sig-
are implemented using the Foster canonical forms, which nificant advantage to multiple-amplifier filter implementa-
guarantee that the reactance/susceptance poles are indepen- tions that allowed low-cost RC elements to be used. The RC-
dent of each other and are attributed to either a single active filters that are based on simulating classical LC ladder
inductor/capacitor or to a single second-order resonant cir- filters possess this very property and thus have been rapidly
cuits. Therefore, the sensitivity of the locations of attenuation developed.
poles with respect to changes of component values is low for There are two basic LC ladder simulation techniques. One
LC ladder filters. This leads to the low stopband sensitivity technique is based on simulating the LC ladder signal flow
for LC ladder filters, because the attenuation response in the graphs (SFG) and is referred to as the operational simulation
stopband is mainly determined by the number and the loca- technique. The other is based on simulating the inductors in
tions of attenuation poles. the LC ladders and is referred to as the component simulation
The low stopband sensitivity of LC ladder filters is supe- technique. The inductor simulation technique is best ex-
rior to that of other types of LC filters, such as LC lattice plained by using the concept of the two-port gyrator, which
filters. In LC lattice filters, the attenuation poles are achieved was originally proposed by Tellegen in 1948 and led to the
by signal cancellation of two or more transmission paths, invention of active generalized impedance converters (GIC) by
causing the above-mentioned superior stopband sensitivity Riordan (6) and Antoniou. An alternative inductor simulation
property to be lost. As a result of this relatively poor stopband technique is to use the so-called frequency-dependent nega-
sensitivity performance, classical LC lattice filters are used in tive resistance (FDNR) elements, which were invented by
special cases where the problem can be contained. For exam- Bruton. These methods are discussed later in this article,
ple, modern digital techniques have revitalized LC lattice fil- along with other modern ladder filter implementations. In
ter structures, because the high stopband-sensitivity may be Ref. 7, a historical review of the development of RC-active
alleviated by means of appropriate discrete numerical optimi- filters and a large number of references are listed.
zation techniques. In the 1970s, the pervasive MOS technology offered new
Classical LC ladder filters are implemented by using dis- opportunities for making microelectronic active ladder filters
crete inductors and capacitors, usually mounted on printed because low-power amplifiers and highly accurate capacitor
circuit boards. Continued advances in materials research ratios could be made at very low cost and at very high density
have led to small and inexpensive LC components of very by using the same fabrication process. These technical ad-
high quality. Filter designers can refer to practical guides, vances led to the development of SC filters, where capacitors
such as Ref. 5, in order to select the LC values and parts and and switches were initially used to replace the resistors in
to find information on testing and manufacturing. RC-active filter configurations.
In general, the voltages in SC filters are ideally constant
Modern Implementations of Ladder Filters except at the instants of time when switches are caused to
open or close. Thus, the voltages waveforms are sampled-data
The invention of transistors in the 1950s has played an im- staircase waveforms that are related to each other by the
portant role in the integrated circuit revolution and, in partic- same family of linear difference equations that describe the
ular, has fueled the pervasive growth of the modern computer relationships between the variables of digital filters. A histor-
and telecommunications industries. In spite of the high de- ical review of the development of SC filters is given in Refs.
mand for filter systems in microelectronic form and the above- 8–10.
mentioned attractive properties of classical LC ladder filters, While SC filters are analog sampled data systems, digital
the integration of the inductor has generally proven to be im- filters are quantized sampled data systems. The widely
practical, thereby preventing the application of classical LC spread industrial applications of digital filters have been en-
filters in microelectronic forms. This limitation of classical abled by the invention of CMOS VLSI technology in the
LC filters led to much research on the topic of inductorless 1980s. Digital filters have the advantage over analog filters
filters. that they do not suffer from manufacturing and temperature
In the 1950s, Yanagisawa and Linvill pioneered the field variations and aging effects. This advantage of digital filters
of RC-active filters and showed that passive RC elements and provides an opportunity to exploit the higher-order low-pass-
active controlled voltage or current sources could be combined band-sensitivity property of classical LC filters (11) when de-
to realize general filter transfer functions. Sallen and Key signing digital filters to simulate classical lossless LC filters,
proposed a single-amplifier configuration for realizing second- such as wave digital filters (WDF) which were invented by
order transfer functions, which were very useful for imple- Fettweis and lossless discrete integrator/differentiator (LDI/
menting low-order low-sensitivity filter transfer functions. LDD) digital filters which were invented by Bruton. A techni-
Nevertheless, these early RC-active filters proved to be overly cal review of digital filters and a large number of references
sensitive with respect to changes of component values for ap- can be found in Ref. 12.
plications involving high order and highly selective transfer The benefits of using high-order low passband sensitivity
functions. Moreover, they also required impractically large are considerably greater than might be expected from the
spreads of component values and had a tendency to be unsta- first-order sensitivity property discussed in the previous sec-
ble due to parasitics. tion. To show this, let us consider the attenuation response of
In the 1960s, the availability of high-performance micro- the lossless filter 움(웆, x0) again, where x0 indicates any origi-
electronic operational amplifiers (op-amps) allowed single op- nal digital filter coefficient value. If x0 changes to x0 ⫹ ⌬x in
amp RC-active filters to be used in many applications. In the such a way that the losslessness is maintained, 움(웆, x0 ⫹
1970s and 1980s, the cost of op-amps declined dramatically ⌬x) ⱖ 0 still holds for the resulting attenuation response. In
whereas the precision RC elements, as required by this type this case, the size of ⌬x does not have to be small and the
186 LADDER FILTERS

attenuation minima can only shift in the same upward direc- clopedia and Refs. 15–19 for a more comprehensive treatment
tion [see Fig. 1(b)]. The resulting attenuation distortion ⌬움 is of this topic.
predominantly determined by the differences of these shifts
and is therefore smaller, possibly substantially smaller, than The General Design Procedure
the individual changes of the minima. Because, once the filter
There are two steps in designing a filter. The first step is to
coefficients are determined, the attenuation responses of
find a transfer function having a frequency response that sat-
digital filters do not change due to manufacturing process,
isfies the specified attenuation and/or phase response require-
temperature, and aging, this higher-order low passband sen-
ments. The second step is to synthesize a filter network that
sitivity can be used for the discrete optimization of filter co-
realizes this transfer function. In the case of LC ladder filters,
efficients to obtain extremely simple-valued coefficients, thus
the filter transfer function is realized using an LC ladder net-
minimizing the hardware complexity of digital filter imple-
work. In the following, we review the method of determining
mentations.
the filter transfer function and the ladder synthesis technique
High-order direct-form recursive digital filters suffer from
for LC filters.
poor sensitivity performance, limit cycle, and parasitic oscilla-
The double-resistively terminated filter network N in Fig.
tory problems, due to underflow/overflow and high noise dis-
1(a) possesses transmittance S21 as defined in Eq. (1) and re-
tortion. However, due to the internal passivity property of
flectance (or the reflection coefficient) S11, which is defined as
classical LC filters, digital filters that are properly derived
from LC ladder filters, such as WDFs, can be made free from S11 = (2V1 − E)/E
parasitic oscillations even under extremely difficult looped
conditions. It is noted that in order to obtain superior perfor- For LC ladder filters, the embedded network N is lossless.
mance, passivity or losslessness must be maintained under Therefore, no power is dissipated in the network N. Thus, the
quantized conditions and considerable design effort may be two transfer functions S11 and S21 are complementary, im-
required to ensure that this is achieved. plying that
The above-mentioned benefits of digital filters were often
offset by the requirement for relatively expensive analog-to- |S11 ( jω)|2 + |S21 ( jω)|2 = 1 (3)
digital and digital-to-analog converters (ADC and DAC) and
by the relatively high cost of digital filters. However, during By introducing a new variable C ⫽ S11 /S21 and by taking Eq.
the 1990s, the advent of deep-submicron CMOS VLSI technol- (3) into account, the attenuation response given by Eq. (2) can
ogy has virtually reversed the cost equation in favor of digital be rewritten as
filters. Moreover, the transition of the computer and telecom-
munications industries to entirely digital systems has elimi- a(ω) = 10 log(1/|S21 ( ω)|2 ) = 10 log(1 + |C( jω)|2 ) (4)
nated the need for local ADCs and DACs and, in many cases,
has dictated the use of digital filters. The use of analog con- The function C( j웆) is the so-called characteristic function
tinuous-time filters, such as LC, RC-active, and SC filters, having zeros and poles that correspond with those of the at-
may soon be restricted to ultrahigh-frequency applications tenuation response 움(웆). This one-to-one correspondence of
where sampling and digitization are not economical or feasi- zeros and poles between the characteristic function and the
ble. For example, front-end analog radio-frequency (RF) fil- attenuation response makes the characteristic function an
ters in wireless systems are typically implemented as analog important and sufficient choice for approximating the filter
circuits because small low-valued RF inductors may be made transfer function. It can be shown (18) that for lossless filters
at low cost. Furthermore, RF resonator-type ladder filters the transmittance S21 and the reflectance S11 are rational
such as surface acoustic wave (SAW) ladder filters find wide functions in the complex frequency s (s ⫽ ␴ ⫹ j웆) and that
applications in wireless systems. In this type of filters, the S21 and S11 have the common denominator polynomial g,
ladder branches consist of (SAW) resonators, and the corre- where g is a Hurwitz polynomial. Let
sponding filter design procedure has many similarities to the
image parameter method. S21 = f /g (5a)
S11 = h/g (5b)

ON THE DESIGN OF PASSIVE LC LADDER FILTERS The characteristic function C becomes

The design of modern microelectronic ladder filters is based C = h/ f (6)


on the same underlying approximation theory and ladder syn-
thesis methods that are used to design classical LC ladder which is also a rational function. It can be shown from Eqs.
filters. The values of ladder elements for prototype low-pass (3) and (5) that the following fundamentally important rela-
filters are tabulated in design handbooks (13,14). High-pass, tion holds between f, h, and g for the entire s domain:
band-pass, and band-stop filters are often derived from proto-
type low-pass filters using frequency transformation tech- f (s) f (−s) + h(s)h(−s) = g(s)g(−s) (7)
niques. Alternatively, the filter approximation and synthesis
can also be performed by filter design software packages. In Furthermore, for LC filters, f(s) is either an even or an odd
this section we will briefly discuss the underlining principles function of s because of the reciprocity property of embedded
of filter approximation theory and the ladder synthesis tech- LC two-ports. Now, the transfer function approximation prob-
niques that lead to optimal LC ladder filter structures. The lem can be formulated so as to find the rational functions
interested readers may consult related articles in this ency- h( j웆), f( j웆) and thereby 兩C( j웆)兩2 ⫽ h( j웆)h(⫺j웆)/f( j웆)f(⫺j웆)
LADDER FILTERS 187

such that the attenuation response 움(웆), as defined by Eq. also be written as continued fractions
(4), satisfies the specified attenuation requirements. The fact
that g(s) is a Hurwitz polynomial, having its zeros in the left 1
Z(s) = L1 s + (8a)
half of the s plane, allows itself to be obtained by solving the 1
equation f(s)f(⫺s) ⫹ h(s)h(⫺s) ⫽ 0. Subsequently, the func- C2 s +
1
tions S21 and S11 are fully determined. Note that we have L3 s +
1
omitted discussion of phase responses because the phase re- C4 s +
sponse requirements for a ladder filter are usually satisfied by ..
.
cascading an equalizing all-pass filter. Nevertheless, special
ladder filters may be designed to satisfy the phase response or
requirements, such as the Thomson filter that is discussed in
the following. 1 1
Z(s) = 
+ (8b)
The transfer function approximation problem, which is the C1 s 1 1
determination of the characteristic function C, was solved for +
L2 s 1 1
low-pass filters by Butterworth, Cauer, Thomson, and others 
+
C3 s 1 1
in the early years of filter design. In the next section, we dis-

+
cuss design examples for low-pass prototype filters where it is L4 s ..
.
understood that simple frequency transformations are used to
obtain high-pass, band-pass, and band-stop filters from low- leading directly to the first and second Cauer canonical forms
pass prototype filters. shown in Fig. 2(b).
The synthesis of the double-resistively terminated two-port The Cauer canonical forms are reactance one-ports having
N in Fig. 1(a) is facilitated by the LC one-port synthesis tech- a ladder structure. The continued fraction expansion tech-
niques as developed by Foster and Cauer. A reactance func- nique is especially useful for synthesizing resistively termi-
tion, which is obtained as the input immitance of an LC nated two-port ladder network. It can be shown that the re-
one-port, can always be realized in the Foster and Cauer ca- flectance S11 can be written as
nonical forms. The first and second Foster canonical forms
are based on the partial fraction expansion of the reactance S11 = (Zin − R1 )/(Zin + R1 ) (9a)
function, and the first and second Cauer canonical forms are
based on the continued fraction expansion. It can be shown so that Zin can be written as
that a reactance function can be written in the following par-
tial fraction form as the impedance function Zin = R1 (1 + S11 )/(1 − S11 ) (9b)


n
However, Zin is an impedance function and thus a rational
Z(s) = B∞ s + B0 /s + 2Bi s/(s2 + ωi2 )
positive real function which, according to Darlington’s theory,
i=1
can always be synthesized as a lossless two-port network ter-
minated by a resistive load.
or admittance function
In general, the resulting two-port network involves the so-

n called Brune section, which is a second-order two-port net-
Y(s) = D∞ s + D0 /s + 2Di s/(s2 + ωi2 ) work containing coupled inductors or ideal transformers, and
i=1 thus strictly does not have the LC ladder structure according
to our definition. However, in most cases, an LC ladder struc-
leading directly to the first and second Foster canonical forms ture can be found for the input impedance Zin that results
as shown in Fig. 2(a). Similarly, the reactance function can from the reflectance S11 of a practical low-pass filter. This is
especially true if the resulting two-port is allowed to be a non-
canonical network. In fact, the continued fraction expansion
technique, illustrated by Eq. (8), can be applied to Zin in order
to realize an LC ladder two-port that is terminated by a
resistor.
The continued fraction expansion technique is also re-
ferred to as the pole removal technique because it removes
(a)
the attenuation poles of the filter one by one during the
course of the fractional expansion. For low-pass filters, having
multiple attenuation poles at infinity, each step in fractional
expansion removes a full attenuation pole at infinity, re-
sulting in a canonical implementation. For low-pass filters
that have attenuation poles located at finite frequencies, the
(b) removal of a finite frequency pole has to be accompanied by a
Figure 2. (a) The first Foster canonical form. (b) The first Cauer partial removal of an infinity pole, in order to avoid the Brune
canonical form. The second canonical form is the dual network to the section and to obtain a ladder structure. Because of this par-
first canonical form. The Foster canonical forms implement each tial pole removal, the resulting LC ladder two-port is a nonca-
reactance/susceptance pole by a separate second-order resonant cir- nonical network. We will consider examples for LC ladder
cuit. The Cauer canonical forms have a ladder structure. synthesis in the following section.
188 LADDER FILTERS

Low-Pass Prototype Filters the passband, the source terminating resistor, and the load
terminating resistor (where possible) are normalized to unity.
The most widely used low-pass prototype filters are the But-
The LC element formula for the nth-order minimum inductor
terworth, (inverse) Chebyshev, elliptic, and Thomson filters.
Butterworth ladder filter is given by
Each of these filters has particular characteristics that may
be preferred for a given application. We shall briefly discuss 
each type of these filters. Cm (m is odd)
= 2 1/n sin γ2m−1 and m = 1, 2, . . ., n
Lm (m is even)
Butterworth Filters. The nth order Butterworth low-pass
filter has the following characteristic function:
Chebyshev Filters. The characteristic function of the Cheb-
C(s) = (s/ωp )n yshev filter is a Chebyshev polynomial, which can be written
in a compact form as follows:
where 웆p is the passband edge frequency and ⑀ is the pass-

band ripple factor related to the maximum attenuation in the cos(n cos−1 ω/ωp ) for |ω/ωp | ≤ 1
passband by 움pmax ⫽ 10 log(1 ⫹ ⑀2). The first n ⫺ 1 derivatives C(ω/ωp ) = Tn (ω/ωp ) =  −1
cosh(n cosh ω/ωp ) for |ω/ωp | ≥ 1
of the characteristic function are zero at the origin. For this
reason, the attenuation response has the special characteris-
tic that it is maximally flat at the frequency origin. The But- where Tn(웆) is the nth-order Chebyshev polynomial. There-
terworth filter has all of its attenuation zeros and poles at the fore, the Chebyshev filter is an all-pole low-pass filter, having
frequencies zero or infinity. This leads to a less steep transi- all attenuation poles at infinity. In the passband, however,
tion region from the passband to the stopband and results in the Chebyshev filter has attenuation zeros at the finite fre-
a high filter order that is required to satisfy the attenuation quencies and the attenuation function has an equiripple form.
requirements in both the passband and stopband. The polyno- Because of the optimally distributed attenuation zeros in the
mial characteristic function of the Butterworth filter leads to passband, the Chebyshev filter has a steeper transition region
a transfer function having a constant numerator. This type of than the Butterworth filter so that the Chebyshev filter can
filters is called all-pole low-pass filters. For the Butterworth satisfy the same attenuation requirements with a much lower
filter, Eq. (7) can be solved analytically. Thus, S21 and S11 can filter order than the Butterworth filter. For example, an
be written in analytical forms. In particular, eighth-order Chebyshev filter may satisfy the practical atten-
uation requirements that would require a 20th-order Butter-
( 1/n s/ωp )n worth filter. However, it is also noted that because of the max-
S11 = R1

n imum flat property, Butterworth filters have a much
ai ( 1/n s/ωp )i smoother phase/delay response than Chebyshev filters, lead-
i=0
ing to lower time-domain distortion of passband signals.
The LC ladder synthesis of Chebyshev filters can be
where the coefficients a0 ⫽ 1 and ai (i ⫽ 1, 2, . . ., n) are
achieved in the same way as for Butterworth filters and the
given by
synthesized two-ports also have the same ladder structures
 as illustrated in Fig. 3. The explicit formulas for LC ladder
i
cos γk−1
ai = with γk = kπ/2n component values of an nth-order Chebyshev filter are given
κ =1
sin γk with help of two intermediate constants h and ␩ (15) as fol-
lows:
According to Eq. (9b), the input impedance function Zin can be
readily determined and then expanded into a continued frac-   1/2 1/n  
tion at infinity according to the first Cauer canonical form 1 1 1
h= + 1+ 2 and η = h −
(8a). The resulting LC ladder filter is illustrated in Fig. 3,   h
where the minimum inductor structure is selected. It is noted 4 sin γ1
that the minimum capacitor structure is available as the dual C1 = with γm = mπ/2n
ηR1
network to the minimum inductor structure. The LC values
16 sin γ4m−3 sin γ4m−1
of the resulting ladder filter can be determined either ac- C2m−1 L2m = , m = 1, 2, . . ., n/2
cording to the continued fraction expansion of Eq. (8a) or by η2 + 4 sin2 γ4m−2
using explicit formulas, which are available for all-pole filters 16 sin γ4m−1 sin γ4m+1
(15). Such formulas are especially simple for frequency and C2m+1 L2m = , m = 1, 2, . . ., n/2
η2 + 4 sin2 γ4m
impedance normalized filters, for which the edge frequency of
4 sin γ1
Cn = for odd n
ηR2
4R2 sin γ1
R1 L2 Ln–2 Ln Ln = for even n
η
+
E C1 C3 Cn–3 Cn–1 R2
Inverse Chebyshev Filters. The inverse Chebyshev filters
Figure 3. Minimum inductor ladder structure for all-pole filters. The have the reverse passband and stopband behavior with re-
number of inductors is equal to (when Ln ⬆ 0) or less than (when spect to the Chebyshev filters. The passband of the inverse
Ln ⫽ 0 and Cn⫺1 ⬆ 0) the number of capacitors. Chebyshev filter is maximum flat at the origin and the stop-
LADDER FILTERS 189

band has the equiripple form. The characteristic function for 웆앝, j are calculated by means of the elliptic functions, which
inverse Chebyshev filters can be written as are discussed in many filter design books (19). The attenua-
tion zeros and poles of an elliptic characteristic function are
C(s) = 1/Tn (ωp /ω) located symmetrically around a frequency 웆t in the transition
band such that 웆0, j웆앝, j ⫽ 웆t2. This frequency 웆t is a measure of
where Tn is the nth Chebyshev polynomial. For the LC ladder the selectivity of the filter. The synthesis process for elliptic
synthesis of the inverse Chebyshev filter, which has finite- filters is very similar to that for inverse Chebyshev filters. In
frequency attenuation poles, the continued fraction expansion particular, the LC ladder network in Fig. 1(a) can be used to
technique can be generalized by allowing the removal of sim- implement a fifth-order elliptic filter.
ple resonant circuit branches that have resonant frequencies
in one-to-one correspondence with the finite frequencies of at- Thomson Filters. All of the above filter types are designed
tenuation poles. However, this poses a potential problem such to meet specified attenuation requirements. The Thomson fil-
that, during the generalized continued fraction expansion, the ter, on the other hand, achieves maximally flat group delay
removal of a finite frequency pole requires shifting a zero of by maintaining the first n derivatives of the group delay to be
the remaining input impedance/admittance to the same fre- zero at the frequency origin. The transfer function of Thom-
quency as the attenuation pole that is to be removed. This so- son filters is an all-pole function with the Bessel polynomial
called zero-shifting process can introduce a negative LC ele- as the denominator:
ment value that can be absorbed into a Brune section after
the pole removal. Fortunately, there is a way around this Bn (0)
problem of physically unrealizable negative LC elements if S21 (s) =
Bn (s)
the filter has an attenuation pole at infinity, such as the odd-
order inverse Chebyshev filter. In this case, the zero-shifting
where
can be achieved by the so-called partial removal of the infinity
attenuation pole. The resulting LC ladder two-port is no n
(2n − 1)!si
longer a canonical network and no longer contains Brune sec- Bn (s) =
tions. i=0
2n−i i!(n − i)!
A fifth-order inverse Chebyshev filter can have an imple-
mentation such as that shown in Fig. 1(a), where the two left- The normalized group delay of the Thomson filter approxi-
hand shunt capacitors only partially remove the attenuation mates unity in the neighborhood of the frequency origin. The
pole at infinity and the right-hand shunt capacitor finally re- higher the filter order n, the wider the frequency band over
moves this pole completely. For the even-order inverse Cheb- which a flat delay response is achieved. The time-domain re-
yshev filters, which do not have attenuation poles at infinity, sponses of the Thomson filter are very smooth. For example,
a frequency transformation, which will be discussed in the the step response has no overshoot. The synthesis of Thomson
next section, should be performed before the synthesis process filters is similar to that for other all-pole filters.
in order to introduce an attenuation pole at infinity. It is noted that all the prototype filters discussed so far
Because LC ladder implementations of inverse Chebyshev allow a closed-form solution for the filter approximation prob-
filters are not canonical networks, they require a larger num- lem. The filter approximation of more general filter types such
ber of LC elements than do Chebyshev filters of the same or- as filters with nonequiripple attenuation/phase behavior may
der. Furthermore, because the transition region of both types be solved in a satisfactory manner by using computer-aided
of filters are similar with regard to their transition-band numerical methods.
steepness, the Chebyshev filter is usually preferred to its in-
verse version. However, the inverse Chebyshev filter has a Frequency Transformations
better phase/delay response due to its maximally flat pass-
band. Therefore, it may be preferred if a smooth delay re- In the previous sections, we discussed various types of low-
sponse is required. pass prototype filters. The approximation solutions and the
filter structures of these prototype filters can also be used to
obtain other filter types by means of appropriate frequency
Elliptic Filters. The elliptic filter has equiripple attenuation
transformations.
in both the passband and stopband. It provides the lowest
filter order satisfying a given attenuation requirement. For
comparison, a sixth-order elliptic filter can satisfy the same Frequency Scaling. Low-pass prototype designs are usually
attenuation requirement that would require an eighth-order obtained for normalized case so that the passband edge fre-
Chebyshev filter. The characteristic function for the nth-order quency is normalized to unity. This is especially the case
elliptic filter is a Chebyshev rational function given by when the explicit design formulas are used. To denormalize
the passband edge to a specified value 웆p, the following fre-
  m s2 + ω 2 quency transformation can be used:

 0,2i
s
 2 + ω2
for n = 2m + 1
s
C(s) = d  i=0
m s2 + ω 2
∞,2i p = s/ωp




0,2i−1
for n = 2m
i=0
s2 + ω∞,2i−1
2
where p is the complex frequency before transformation. The
filter structure does not change after the denormalization, but
where d is a scaling constant such that the passband ripple the LC element values of a given filter structure are scaled ac-
factor is once again ⑀ and the attenuation zeros 웆0, j and poles cordingly.
190 LADDER FILTERS

Low-Pass to High-Pass Transformation. A high-pass filter can characteristic function that is not realizable as an LC ladder
be obtained the following frequency transformation: two-port into a realizable one.
The even-order elliptic filter has the property that its at-
p = ωp /s tenuation has a nonzero value at the origin and a finite value
at infinity. However, a practical LC ladder implementation
which results in replacing each inductor with a capacitor and requires an attenuation pole at infinity. Furthermore, it is
vice versa. often desirable to have the zero attenuation at the dc level,
which also allows for a balanced load resistance equal to the
Low-Pass to Bandpass Transformation. The specification of a source resistance. In order to achieve these requirements, the
bandpass filter is given by the two passband edges 웆pl and following transformation can be applied to the characteristic
웆ph (웆pl ⬍ 웆ph) and the two stopband edges 웆sl and 웆sh (웆sl ⬍ function before the synthesis process:
웆sh). The bandpass characteristic can be thought of as a com-
bination of a low-pass and a high-pass characteristic such as ω∞
2
− ωp2 p2 + ω02
s2 = ωp2 ·
ωp2 − ω02 p2 + ω∞
2
s ωpl ωph /(ωph − ωpl )
p= + (10)
(ωph − ωpl ) s
where 웆0, 웆p, and 웆앝 are respective frequencies for the first
passband attenuation zero, the passband edge, and the last
The stopband edges 웆sl and 웆sh can be calculated using Eq. finite-frequency attenuation pole. This transformation trans-
(10) by setting p equal to the low-pass prototype stopband forms the passband attenuation zero at 웆0 to the origin, the
edge. Because Eq. (10) is a second-order equation in s, it de- stopband attenuation pole at 웆앝 to infinity, while retaining
termines both stopband edges 웆sl and 웆sh. Therefore, these the passband edge at 웆p. In general, the transformed filter
stopband edges are not independent of each other but related has a poorer performance (especially in the transition region)
by 웆pl웆ph ⫽ 웆sl웆sh, resulting in a frequency-domain symmetri- than the original elliptic filter, because the latter is the opti-
cal bandpass filter. According to Eq. (10), a bandpass filter mum solution. However, the transformed filter still has a bet-
structure is obtained from its low-pass prototype by replacing ter performance than an original elliptic filter of lower order.
each inductor with a series resonant circuit and each capaci- The even-order Chebyshev filters do not have an attenua-
tor with a parallel resonant circuit. tion zero at the origin while having attenuation poles at in-
A minor problem can arise from the direct application of finity. The above transformation can be modified to just move
Eq. (10) to a parallel or series resonant circuit, such as the the attenuation zero at 웆0 to the origin:
parallel resonant circuit in Fig. 1(a), when transforming an
inverse Chebyshev or an Elliptic low-pass filter into the corre- ωp2
sponding band-pass filter. The transformed resonant circuit, s2 = ( p2 + ω02 )
ωp2 − ω02
which is a combination of a parallel and a series resonant
circuits, does not directly relate to the anticipated attenuation
poles, resulting in a less favorable stopband sensitivity. This Similarly, for even-order inverse Chebyshev filters, the atten-
problem can be resolved by using network transformations uation pole at 웆앝 can be moved to infinity by the following
such that a parallel resonant circuit transforms into two par- transformation:
allel resonant circuits in series while a series resonant circuit
transforms into two series resonant circuits in parallel. p2
s2 = (ω∞
2
− ωp2 )
p2 + ω∞
2

Low-Pass to Band-stop Transformation. The band-stop filter


can be obtained from a bandpass filter by interchanging its
passband with its stopband frequency location. Therefore, the ACTIVE INTEGRATED CIRCUIT IMPLEMENTATIONS
band-stop characteristic can be obtained by performing a OF LC LADDER FILTERS
bandpass transformation on a high-pass filter instead of a
low-pass filter, resulting in the low-pass to band-stop trans- In the following sections, we discuss various techniques for
formation the design of RC-active filters that are derived from LC lad-
der filters. However, the design details and parasitic effects
−1
s ωpl ωph/(ωph − ωpl ) (primarily due to the finite gain and bandwidth of the op-
p= + amps) are not discussed. Reference material on these topics
(ωph − ωpl ) s
can be found in the related articles in this encyclopedia and
in Refs. 20–24.
Because of the similarity between the bandpass and band-
stop transformations, the properties discussed above for the RC-Active Ladder Filters Based on Simulating Inductors
band-pass transformation can be easily rewritten for the
band-stop transformation. The RC-active filters in this category can be readily obtained
by replacing inductors with selected active circuits. Three ba-
Other Frequency Transformations. The frequency transfor- sic types of active circuits are employed and discussed in the
mations discussed so far are reactance transformations; that following.
is, they transform a reactance into another reactance.
Whereas reactance transformations are very useful, nonre- Gyrators. A classical approach to the replacement of induc-
actance transformations are often required to transform a tors with active circuits is to use a two-port gyrator termi-
LADDER FILTERS 191

or
R
Z2 Z4
Zin2 = Z (11b)
L L C Z1 Z3 ld1

Gyrator Therefore, choosing

Z 1 = R1 , Z 2 = R2 , Z 3 = R3 , Z4 = 1/sC4 , and Zld1 = R5


(a) (12)

L
R1 R2 leads to a simulated grounded inductance at port 1 with L ⫽
R1R3C4R5 /R2.
According to Eq. (11a), Z2 could be chosen as a capacitor
C instead of Z4. However, for practical reasons the choice in Eq.
(12) has a better performance at high frequencies.
In general, we can define a conversion factor K(s) ⫽
Z1(s)Z3(s)/Z2(s)Z4(s), where Zi(s) can be any impedance func-
(b) tions. Thus, the GIC can perform more general impedance
conversion than the inductance simulation. In particular, if
Figure 4. (a) Grounded-inductor simulation as may be used in high-
port 1 is terminated in a capacitor Cld1, the input impedance
pass filters. (b) Floating-inductor simulation as may be used in low-
at port 2 is given by
pass filters. Both inductor simulations use a gyrator, which is realized
by an active circuit.
R2
Zin2 =
R1 R3C4Cld1 s2

nated at one end with a capacitor C as shown in Fig. 4(a). In which is a so-called frequency-dependent negative resistance
general, the relationship between the input impedance at (FDNR). Applications of FDNRs are discussed in the next
port 1, Zin, and the terminating impedance, Zld, at port 2 of a subsection.
gyrator is given by The GIC is used in a very similar way to that of a gyrator.
In particular, the gyrator simulating a grounded inductor, as
Zin = R2 /Zld shown in Fig. 4(a), can be replaced with a GIC given by Eq.
(12). The GICs can also be used to simulate floating inductors
where R is the gyration resistance inherent to the gyrator as shown in Fig. 5(b), which was first proposed by Gorski-
circuit. Therefore, the inductance seen from port 1 is given by Popiel. It is noted that, unlike the gyrator, the GICs with the
L ⫽ R2C.
There are two types of topological situations involving the
use of inductors, namely grounded inductors and floating in-
ductors, as shown in Figs. 4(a) and 4(b), respectively. To sim- – +
K(s)
ulate a grounded inductor, a one-port grounded gyrator may
be employed; and to simulate a floating inductor, a two-port Z1 Z2
grounded gyrator is needed. Note that because the active gy-
rator circuits involve complicated active circuitry, minimum Z3 Z4
inductor implementations should be chosen in order to mini- K(s) = Z1 Z3 / Z2 Z4 – +
mize the number of required gyrators.
In general, passive implementations of gyrators are not
available for many applications. In the RC-active filter appli-
cation, small-signal active implementations of gyrators have
been specifically designed for converting a capacitor to an in- 1 2
ductor (25–27). When the gyrator is used as an impedance
converter, it may be considered as a special case of the gener- (a)
alized impedance converter (GIC), which is discussed in the
L k⋅s R = L/k k⋅s
following.

Generalized Impedance Converters. The GIC is a two-port


circuit, usually employing two op-amps as shown in Fig. 5(a),
where the impedances Zi are usually either a resistor or a
capacitor. The impedance relations between the input and (b)
terminating impedances of a GIC are given by
Figure 5. (a) Active implementation of GIC and its corresponding
symbol. K(s) is the conversion factor. (b) Floating-inductor simulation
Z1 Z3
Zin1 = Z (11a) using GIC. This simulation uses a resistor connecting two GICs. The
Z2 Z4 ld2 required capacitors are hidden in the GICs.
192 LADDER FILTERS

Ld1 and a capacitor according to


L1 L3 L5
1
Vout = I
sC in
R1/s L2 L4
+
E R2/s Ld2 so that all the reactive components are represented as inte-
FDNR FDNR
1/s2C2 1/s2C4
grators within the SFG. The terminating resistors are repre-
sented by constant-valued analog multipliers within the SFG.
The physical interconnections of the LCR elements constrain
Figure 6. A fifth-order RC-active filter using FDNRs. The dashed the voltage and current signals to obey Kirchhoff ’s laws and
resistors Ld1 and Ld2 are the add-on discharging resistors. are represented in the SFG by appropriate combinations of
analog inverters and adders. An example of an SFG for the
third-order low-pass filter in Fig. 3 (where n ⫽ 3) is given in
Fig. 7(a), which is often referred to as the leapfrog structure.
conversion factor k ⭈ s (k is a constant) convert a floating in- It is noted that all inductors are simulated by noninverting
ductor into a floating resistor whereas the required capacitors integrators, and all capacitors are by inverting integrators so
are embedded in the GICs. that all signals entering an adder have the same positive
sign. Furthermore, because all summations are performed im-
mediately prior to integration, summation can be easily
Frequency-Dependent Negative Resistors. All of the above achieved in the RC-active circuit by current-summing at the
RC-active filters use minimum inductor implementations. virtual ground input terminals of the integrator’s op-amps.
The minimum capacitor implementations can also be em- Thus, no dedicated summing devices are required. In Fig.
ployed in an effective way if the concept of the FDNR is used. 7(b), the complete circuit corresponding to the SFG in Fig.
The dimensionless filter transfer function of any LCR net- 7(a) is given for the selected type of integrator implementa-
work is unaltered if each branch is scaled by a uniform func- tions, where the circuit parameters can be determined by
tion. Thus, impedance scaling all branches by 1/s converts all
inductors to resistors, all resistors to capacitors, and all ca-
pacitors to FDNR elements. An example of a RC-active filter
using FDNRs is given in Fig. 6, where the dual network to E R/R1
the fifth-order filter in Fig. 1(a) is 1/s impedance-scaled. The
resulting FDNRs can be implemented using GICs. It is noted
that the filter network in Fig. 6 is no longer resistively termi-
nated, which may cause a practical dc bias problem if the
R/R1 R/sL2 R/R2
source and/or load are not resistively coupled to ground. This
termination problem can be resolved by inserting two unity- –1/sC1R –1/sC3 R
gain amplifiers between the source and the load, and the bias
current problem can be compensated for by connecting two V2
discharging resistors across the capacitors, as shown in Fig.
6. The values of these resistors are suggested to be chosen (a)
such that the filter attenuation at the dc level remains equal
to unity, that is,
r1

Ld2 = Ld1 + L1 + L2 e
r2 r5

and that the insertion of Ld1 and Ld2 introduces the least dis-
tortion of the filter frequency response. r
– +

RC-Active Filters Based on Simulating Ladder SFGs r1 c1 + – c3 + – r6


r
The design and implementation of RC-active filters based on
c2
simulating the voltage–current signal flow graphs (SFGs) of
LC ladder prototype structures was proposed by Girling and – +
Good. An SFG can be derived for any given LC ladder filter,
where for the purpose of implementing RC-active filters, the r3 r4 V2
SFG should be arranged in such a way that it consists only
of inverting/noninverting integrators, analog multipliers, and (b)
adders. An inductor is represented in the SFG according to
Figure 7. (a) A third-order leapfrog SFG scaled by a constant R. (b)
The corresponding complete RC-active circuit, where c1r1 ⫽ C1R1,
1 c1r2c2r3 ⫽ C1L2, c2r5c3r4 ⫽ L2C3, c3r6 ⫽ C3R2. No dedicated summing
Iout = V
sL in devices are needed.
LADDER FILTERS 193

comparing the loop gains between the circuit representations tween the transfer functions of the continuous-time LC ladder
in Figs. 7(a) and 7(b). Note that other types of integrator im- filter and its discrete-time SC counterpart. An example of SC
plementations may be chosen, depending on the frequency resistor circuits is given in Fig. 8(a), which leads to the de-
range within which the circuit is intended to operate. sired frequency-domain relationship given by the bilinear
The SFG simulation method is very straightforward and transformation.
easy to use, especially when designing band-pass filters. How- In many SC filters, the ideal circuit capacitances are not
ever, it is noted that, when drawing the SFGs for LC ladder significantly larger than the parasitic capacitances. In fact,
network that contain a 앟 circuit of capacitors or a T circuit of the latter is around 20% of the former. Therefore, it is ex-
inductors, difficulties arise because two adjacent SFG blocks tremely critical to only use those SC circuits that are not sen-
have ports with the same orientation facing or leaving each sitive to parasitic capacitances. An example of a so-called
other. This problem can be solved perfectly by using network stray-insensitive SC resistor circuits is given in Fig. 8(b). This
transformations involving Brune sections in the same way as circuit yields a different frequency transformation than the
it has been done for LDI/LDD digital filters (28). By now, it bilinear transformation. In order to achieve the desired bilin-
is evident that the Brune section is a very useful building ear transformation using simple SC ladder circuits, a so-
block in RC-active and digital filter implementations, al- called predistortion technique may be used that adds a posi-
though it is, strictly speaking, not a ladder component. tive capacitance to one circuit component and subtracts an
equal-valued negative capacitance from another circuit com-
ponent, along with an impedance-scaling technique (30). It is
DISCRETE-TIME SC AND DIGITAL IMPLEMENTATIONS
noted that a similar technique is also used for LDI/LDD digi-
OF LC LADDER FILTERS
tal filters.
Another alternative approach for designing SC filters is to
Both the SC filter and digital filter are sampled data (dis-
directly simulate each inductor and each terminating resistor
crete-time) systems. The frequency response of a discrete-
in the LC ladder filter. In this method, the interconnections
time system is adequately described in the z domain with
of capacitors and simulated inductors/resistors are achieved
z ⫽ esT, where s is the complex frequency and T is the sam-
by the so-called voltage inverter switchs (VIS), which contain
pling period. The frequency-domain design methods for SC
active op-amps. This component simulation method guaran-
filters and for digital filters have many similarities and often
tees the bilinear transformation between transfer functions of
have the same z-domain transfer functions, in spite of the fact
the continuous-time LC ladder filter and its discrete-time SC
that SC filters are implemented as analog circuits whereas
counterpart, which can be designed insensitive to parasitic
digital filters employ the digital arithmetic operations of addi-
capacitances. Nevertheless, considerable design effort and/or
tion, multiplication, and delay and are implemented as com-
complicated switching signals may be required to achieve the
puter programs or by dedicated hardware.
low-sensitivity property.
The frequency-domain design of discrete-time SC and digi-
tal filters can be performed directly in the z domain. However, Digital Ladder Filters
high-performance discrete-time filters may be designed by
simulating continuous-time LC ladder filters as discrete-time In a way that is similar to the SC simulation of LC ladder
filters. This is achieved in a way such that all of the above- filters, there are two alternative approaches for the digital
mentioned favorable stability and sensitivity properties of simulation of LC ladder filters, namely the simulation of each
LC filters are preserved. The transfer functions of the contin- LCR component and the simulation of the SFG represen-
uous-time LC ladder filter and its discrete-time counterparts tation.
are related by the bilinear transformation The component simulation method is achieved using wave
digital filters (WDF) (11), where the circuit components of the
s = (z − 1)/(z + 1) LC ladder filter, such as inductors, capacitors and resistors,
are directly simulated by corresponding digital domain com-
In the following, we briefly discuss methods for converting ponents, such as delay registers and inverters. The parallel
continuous-time LC ladder filters into their discrete-time and serial interconnections of these digital components are
counterparts. The design details for SC and digital filter cir- facilitated by so-called parallel and serial adapters that con-
cuit components and the treatment of parasitic and other tain adders and multipliers. A distinguishable advantage of
nonideal effects are not considered here. Reference material
on these topics can be found in the related articles in this
encyclopedia and in Refs. 11 and 28–32. ph0 ph1 ph0 ph1 (ph0)

Switched Capacitor Filters C C


ph1 ph0 (ph1)
SC filters that are based on LC ladder filters can be derived ph0 ph1
from RC-active filters that are themselves derived from LC
ladder filters, preferably using the SFG simulation technique.
In fact, the resistors in RC-active ladder filters can be simu-
lated by switched capacitors, leading directly to the SC filter. (a) (b)
There is a variety of different SC circuits for simulating the Figure 8. (a) Bilinear SC resistor circuit with two switching phases.
resistors in RC-active ladder filters. Different SC resistor cir- (b) Stray-insensitive SC resistor circuit which can be used to form
cuits, which are used to replace resistors in RC-active filters, inverting or noninverting (switching scheme in parentheses) inte-
may result in different frequency-domain relationships be- grators.
194 LADDER FILTERS

WDFs is that internal passivity is maintained under both in- 16. W. K. Chen, Passive and Active Filters: Theory and Implementa-
finite and finite wordlength conditions. This leads to the sup- tions, New York: Wiley, 1986.
pression of parasitic oscillations that are caused by overflow 17. G. C. Temes and J. W. LaPatra, Circuit Synthesis and Design,
and underflow operations. New York: McGraw-Hill, 1977.
The SFG simulation of LC ladder filters employs lossless 18. V. Belevitch, Classical Network Theory, San Francisco: Holden-
digital integrators and/or lossless digital differentiators Day, 1968.
(LDIs/LDDs) to replace the corresponding integration/differ- 19. R. W. Daniels, Approximation Methods for Electronic Filter De-
entiation operations in the continuous-time ladder SFG sign, New York: McGraw-Hill, 1974.
(28,31,32), where the continuous-time SFG may first be pre- 20. G. C. Temes and S. K. Mitra (eds.), Modern Filter Theory and
distorted and impedance scaled in such a way that delay-free Design, New York: Wiley, 1973.
loops are avoided and all inductors and capacitors are individ- 21. G. S. Moschytz, Inductorless filters: A survey. I. Electromechani-
ually and directly realized as discrete-time LDI/LDD ele- cal filters. II. Linear active and digital filters, IEEE Spectrum, 7
ments. Each LDI/LDD element contains a delay register, a (8): 30–36, 1970; 7 (9): 63–75, 1970.
multiplier, and an adder. This SFG simulation approach does 22. S. K. Mitra (ed.), Active Inductorless Filters, New York: IEEE
not require any special interconnection components and re- Press, 1971.
tains the one-to-one correspondence between the LC ladder 23. L. P. Huelsman (ed.), RC-Active Filters: Theory and Application,
filter parameters and its LDI/LDD digital counterparts. A Stroudsburg: Dowden, Hutchinson and Ross, 1976.
distinguishing advantage of LDI/LDD ladder filters is that 24. W. E. Heinlein and W. H. Holmes, Active Filters for Integrated
all of the state variables of inductor-simulating or capacitor- Circuits, London: Prentice-Hall, 1974.
simulating LDI/LDD elements are independent of each other, 25. B. A. Shenoi, Practical realization of a gyrator circuit and RC-
thereby allowing concurrent implementation in parallel arith- gyrator filters, IEEE Trans. Circuit Theory, CT-12: 374–380,
metic schemes and flexible scheduling in bit-serial arithmetic 1965.
schemes. It is noted that the very useful Brune sections can 26. W. H. Holmes, S. Gruetzmann, and W. E. Heinlein, Direct-cou-
be perfectly implemented using the LDI/LDD method. pled gyrators with floating ports, Electron. Lett., 3 (2): 46–47,
1967.
27. D. G. Lampard and G. A. Rigby, Application of a positive immi-
BIBLIOGRAPHY
tance inverter in the design of integrated selective filters, Proc.
IEEE, 55: 1101–1102, 1967.
1. V. Belevitch, Summary of the history of circuit theory, Proc. IRE,
50 (5): 848–855, 1962. 28. X. Liu and L. T. Bruton, Improved LDI digital filters derived from
analog LC ladder filters, Signal Processing, 46: 147–158, 1995.
2. S. Darlington, A history of network synthesis and filter theory
for circuits composed of resistors, inductors, and capacitors, IEEE 29. G. S. Moschytz, MOS Switched-Capacitor Filters: Analysis and
Trans. Circuits Syst., CAS-31: 3–13, 1984. Design, New York: IEEE Press, 1984.
3. A. Fettweis, Filters met willekeurig gekozen dempingspolen en 30. M. S. Lee, G. C. Temes, C. Chang, and M. G. Ghaderi, Bilinear
Tschebyschewkarakteristiek in het doorlaatgebied, Tijdschrift switched-capacitor ladder filters, IEEE Trans. Circuits Syst.,
van het Nederlands Radiogenootschap, 25, sec. 8, no. 5–6, pp. CAS-28: 811–822, 1981.
337–382, 1960. 31. L. T. Bruton, Low-sensitivity digital ladder filters, IEEE Trans.
4. H. J. Orchard, Inductorless filters, Electron. Lett., 2, 224–225, Circuits Syst., CAS-22: 168–176, 1975.
1966. 32. D. A. Vaughan-Pope and L. T. Bruton, Transfer function synthe-
5. E. Christian, LC Filters: Design, Testing, and Manufacturing, New sis using generalized doubly terminated two-pair network, IEEE
York: Wiley, 1983. Trans. Circuit Syst., CAS-24: 79–88, 1977.
6. R. H. S. Riordan, Simulated inductors using differential amplifi-
ers, Electron. Lett., 3 (2): 50–51, 1967. XIAOJIAN LIU
7. M. S. Ghausi, Analog active filters, IEEE Trans. Circuits Syst., Gennum Corp.
CAS-31: 13–31, 1984. LEONARD T. BRUTON
8. A. Fettweis, Switched-capacitor filters: From early ideas to pres- University of Calgary
ent possibilities, Proc. IEEE Int. Symp. Circuits Syst., Chicago,
414–417, April 1981.
9. G. C. Temes, MOS switched-capacitor filters—History and the
state of the art, Proc. Eur. Conf. Circuits Theory Design, Den LADDER NETWORKS. See LATTICE FILTERS.
Haag, pp. 176–185, Aug. 1981. LADDER STRUCTURES. See LADDER FILTERS.
10. W. K. Jenkins, Observations on the evolution of switched capaci- LAMPS, FILAMENT. See PHOTOMETRIC LIGHT SOURCES.
tor circuits, IEEE Circuits Syst. Magazine, Centennial Issue, 22– LAMPS, INCANDESCENT AND HALOGEN. See FIL-
33, 1983.
AMENT LAMPS.
11. A. Fettweis, Wave digital filters: Theory and practice, Proc. IEEE,
LANGUAGE, CONTEXT-SENSITIVE. See CONTEXT-
74: 270–327, 1986.
SENSITIVE LANGUAGES.
12. A. Fettweis, Digital circuits and systems, IEEE Trans. Circuits
Syst., CAS-31, pp. 31–48, 1984. LANGUAGE IDENTIFICATION. See AUTOMATIC LAN-
GUAGE IDENTIFICATION.
13. R. Saal and W. Entenmann, Handbook of Filter Design, Berlin:
AEG Telefunken, 1979. LANGUAGE ISSUES IN INTERNATIONAL COMMU-
14. A. Zverev, Handbook of Filter Synthesis, New York: Wiley, 1967. NICATION. See INTERNATIONAL COMMUNICATION.
15. A. S. Sedra and P. O. Brackett, Filter Theory and Design: Active LANGUAGES. See CONTEXT-SENSITIVE LANGUAGES.
and Passive, Champaign, IL: Matrix Publishers Inc., 1978. LANGUAGES, AI. See AI LANGUAGES AND PROCESSING.
LAPLACE TRANSFORMS 195

LANGUAGES, FUNCTIONAL PROGRAMMING.


See FUNCTIONAL PROGRAMMING.
LANS. See ETHERNET; LOCAL AREA NETWORKS.
LAPLACE TRANSFORM. See FOURIER TRANSFORM.
LATTICE FILTERS 257

1 2

(a)

i1 i2
ya
+ +

v1 v2

yc yb
– –
yd

(b)

u1 1 ta 1 y2

tc tb

y1 td u2
1 1
(c)
LATTICE FILTERS Figure 1. (a) Generic lattice structure. (b) Analog lattice two-port
with v1 and i1 being the input port variables and v2 and i2 the output
This article discusses filters of a special topology called lattice port variables. Here ya, yb, yc, and yd represent the one-port admit-
filters which can be very useful for system phase correction. tances of the four branches of the lattice. (c) Digital lattice signal
Here the focus is on the analog lattice described in terms of flow graph. Here the branches are transmittances and the terminal
admittance, scattering, and transfer scattering matrices. A variables are signal inputs (u1 and u2) and outputs (y1 and y2).
synthesis technique based on the constant-resistance method
that yields a cascade realization in terms of degree-one or de-
gree-two real lattices is included. Also included is an example
to illustrate the technique. as we now show through the use of symmetrical constant R
lattices (4, Chap. 12; 5, p. 223; 6, Chap. 5).
We assume that the lattice branches are described by the
DEFINITION respective admittances, ya, yb, yc, yd in which case the two-port
admittance matrix Y has symmetry around the main and the
A lattice structure is one of the form of Fig. 1(a). In the case skew diagonals
of analog circuits, it is taken to be the two-port of Fig. 1(b)
 
with the port variables being voltages and currents, in which y y12
case the branches are typically represented by their one-port Y = 11 (1)
y12 y11
impedances or admittances. When the lattice is a digital lat-
tice, the structure represents a signal flow graph where the (ya + yb )(yc + yd )
branches are transmittances and the terminal variables are y11 = (2)
ya + yb + yc + yd
signal inputs and outputs, as shown in Fig. 1(c). Here we
treat the analog lattice only; a treatment of the digital lattice yb yc − ya yd
y12 = (3)
can be found in Refs. 1–3. ya + yb + yc + yd

In the case where the lattice is symmetrical as well about an


ANALOG LATTICE
horizontal line drawn through its middle, called a symmetri-
cal lattice,
The analog lattices are most useful for the design of filters
based upon the principle of constant R structures. These are
especially useful for phase correction via all-pass structures yd = ya and yc = yb (4)

J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
258 LATTICE FILTERS

we see by inspection R
...
  + +
1 ya + yb yb − ya +
Y = (5) Vin V1 N(1) N(2) N(n) V R
2 yb − ya ya + yb –
2

– ... –
From Eq. (5) we note that the mutual (off-diagonal) terms can
have zeros in the right half s-plane even when ya and yb may R R R
not. Consequently, the lattice can give nonminimum phase
responses in which case it can be very useful for realizing a Figure 3. Cascade of n constant-R two-port lattices of the type
desired phase shift, possibly for phase correction. shown in Fig. (1b) terminated on an R-ohm resistance at the output
port.

SYNTHESIS BY THE CONSTANT-R LATTICE METHOD

Admittance Matrix From Eq. (6) we can obtain the lattice arm impedances ya and
yb ⫽ G2 /ya since Eq. (9) gives
The constant-R lattice is defined by using dual arms. Specifi-
cally, writing G ⫽ 1/R, we obtain V2
1+
1 V1
Ryb = ⇒ ya yb = G2 (6) ya = G (11)
V2
Rya 1−
V1
which results in
  In order for a passive synthesis to proceed, ya must be positive
1 G2 + y2a G2 − y2a real, the requirement for which is that ya(s) be analytic in the
YR = (7) right half s-plane, Re(s) ⬎ 0, and
2ya G2 − y2a G2 + y2a

Re{ya (s)} ≥ 0 in Re(s) > 0 (12)


The name of this structure results from its beautiful property
that if it is terminated at port 2 on an R-ohm resistor, the
input impedance is an R-ohm resistor, as calculated from the Translated into the voltage transfer function, after some alge-
input admittance bra on Eq. (11), this is seen to be equivalent to
 ya yb   
G ya + yb + 2  V2 
det Y + Gy11  ≤1 in Re(s) > 0 (13)
Yin = = G =G (8) V 
G + y22 ya + yb + 2G 1

and as illustrated in Fig. 2. The transfer voltage ratio is given In other words, if the voltage transfer function is rational in
by s and bounded in magnitude by 1 in the right-half plane, it is
guaranteed to be synthesized by a passive symmetrical con-
V2 −y21 yb − ya ya − G stant-R lattice with an R-ohm termination.
= = = (9) However, this synthesis in one whole piece of V2 /V1 may
V1 G + y22 yb + ya + 2G ya + G
require rather complex lattice arms, in which case we can
Also we have take advantage of the constant-R property to obtain a cascade
of lattices. Toward this consider Fig. 3, which shows a cascade
Vin of constant-R two-ports loaded in R. As is clear from Fig. 3
V1 = (10) we obtain a factorization of the voltage transfer function into
2
the product of n voltage transfer functions, one for each sec-
tion:
R      
ya V2 1 V2 V2 V
+ +
= ... 2 (14)
Vin 2 V1 N (1) V1 N (2) V1 N (n)

Vin + V1 V2
In order to synthesize a given realizable voltage transfer func-
R
– tion, we can perform a factorization of V2 /V1 into desirably
simple factors and realize each factor by a corresponding con-
yb yb stant-R lattice. The factorization can be done by factoring the
– – given transfer function into its poles and zeros and associat-
ya
ing appropriate pole–zero pairs with the V2 /V1 terms of Eq.
Zin = R
(14). Usually the most desirable factors are obtained by asso-
Figure 2. Symmetric analog lattice terminated on an R-ohm resis- ciating the poles and zeros into degree-one or degree-two
tance at the output port. real factors.
LATTICE FILTERS 259

Lossless Synthesis By placing the zeros of N(s) one can usually obtain a desirable
phase shift. In particular, maximally flat delay can be ob-
A particularly interesting case is when the lattice is lossless,
tained by choosing N(s) to be a Bessel polynomial (7, p. 151).
which is expressed by

Example. For R ⫽ 5, design a cascade of two lattices and


ya (−s) = −ya (s) for a lossless lattice (15)
compare with an equivalent single lattice for the all-pass
function
from which we see by Eq. (9) that
Vout (s) 1 s2 − 3s + 2
V2 (s) V2 (−s) =
=1 for a lossless lattice (16) Vin (s) 2 s2 + 3s + 2
V1 (s) V1 (−s) (18)
1 (s − 2)(s − 1)
=
2 (s + 2)(s + 1)
In this lossless case we see that for s ⫽ j웆 the magnitude of
the voltage transfer function, from port 1 to 2, is unity; the
For the first lattice of a cascade of two, using Eqs. (11) and
circuit is all-pass and serves to only introduce phase shift for
(6) with V2 /V1 ⫽ (s ⫺ 2)/(s ⫹ 2), this gives
phase correction and for the design of constant time-delay
networks (7, pp. 144–152). If V2 /V1 is written as the ratio of sG s 2G 1
a numerator polynomial, N(s), over a denominator polyno- ya = = and yb = = (19)
2 10 s 2.5s
mial, D(s), then in the all-pass case we have N(s) ⫽ ⫾D(⫺s),
in which case the phase shift becomes twice that of the nu-
and for the second lattice, with V2 /V1 ⫽ (s ⫺ 1)/(s ⫹ 1), we
merator, which is then
obtain
 V ( jω)  
Im(N( jω))

\ 2
V1 ( jω)
= 2 arctan
Re(N( jω))
(17) ya = Gs =
s
and yb =
G
=
1
(20)
5 s 5s

1 1
R = 5Ω 10 F 5 F

+
Vin R = 5Ω
– 2.5H 2.5H 5H 5H

1 1
10 F 5 F

(a)

15
2 H
1
R = 5Ω 5F

+ R = 5Ω
Vin 3 3
– H H
5 5
3 3
10 F 10 F

1
5 F
Figure 4. Lossless lattice synthesis of an all-
15 pass transfer function of degree two. (a) Syn-
H thesis using a cascade of two lattices of degree
2
1 Arms. (b) Equivalent realization using a sin-
(b) gle lattice of degree 2 Arms.
260 LATTICE FILTERS

In the case of a single lattice, for V2 /V1 twice the first expres- The entries s12 and s21 are calculated in terms of ya and G
sion of Eq. (18), we have using Eq. (11):
  
V2

Vout V ya − G
G(s2 + 2) s 1 3Gs G s12 = s21 = 2 =2 = 2 = (25)
ya = =G + 3 and yb = 2 = e1 Vin V1 ya + G
3s 3 s s +2 s 1 e 2 =0
2 + 3
3 2s

 
(21) The above results give the following scattering matrix:

The final cascade of lattices and equivalent lattice are given


ya − G
0
in Fig. 4(a) and Fig. 4(b), respectively. S= ya + G (26)
ya − G
0
ya + G
Scattering Matrix
It is also of interest to look at the scattering matrix referenced The zeros on the diagonal of S indicate that the constant-R
to R, S, for the constant-R lattice which can be found from lattice is matched to its terminations. Since cascade synthesis
the augmented admittance matrix, Yaug, of the lattice filter as can proceed via factorization of the transfer scattering matrix
illustrated in Fig. 5(a): (3), it is of interest to note that the transfer scattering ma-
trix, T(s), is given by
S = I2 − 2RYaug (22) y 
  a +G
0
1 1 −s22 ya − G
where I2 is the 2 ⫻ 2 identity matrix. By symmetry, we have T (s) = = Ya − G (27)
s12 s11 det S 0
from Fig. 5(b) ya + G

1 When working with the digital lattices of Fig. 1(c), the trans-
yaug11 = yaug22 = yin = (23)
2R fer scattering matrix is particularly convenient since its fac-
torization is readily carried out using Richard’s functions ex-
and thus tractions of degree-one and degree-two sections [see (3) for
details].
1
s11 = s22 = 1 − 2R =0 (24)
2R TRADE-OFFS AND SENSITIVITY

Despite its versatility, the lattice structure presents several


disadvantages of a practical nature. As seen in Fig. 4, there
i1 R R i2
is no possibility of a common ground between the input and
+ + the output terminals of a lattice circuit. Although generally it
is difficult to obtain a transformation of the lattice to a circuit
+ + with common input–output ground, a Darlington synthesis
e1 V1 V2 e2
– – can be undertaken with the desired result (8, Chap. 6). The
lattice also uses at least twice the minimum number of com-
– – ponents required since the upper arms repeat the lower arms.
Furthermore, since the transmission zeros are a function of
Yaug the difference of component values as seen by Eq. (5), small
(a) changes in these may distort the frequency response, the
phase in particular, considerably (6, p. 148). However, if cor-
R responding arm components simultaneously change in a loss-
+ + less lattice, so that the constant-R property is preserved, then
the sensitivity of 兩V2( j웆)/V1( j웆)兩 is zero since it is identically 1.

Vin R Vout
BIBLIOGRAPHY

– – 1. W. Chen (ed.), The Circuits and Filters Handbook, Boca Raton, FL:
CRC Press, 1995, pp. 2657–2661.
1 R 2. C. F. N. Cowan and P. M. Grant, Adaptive Filters, Englewood
yin =
2R Cliffs, NJ: Prentice-Hall, 1985, chap. 5.
(b)
3. L. Sellami and R. W. Newcomb, Synthesis of ARMA filters by real
Figure 5. (a) Network pertinent to the interpretation of the scatter- lossless digital lattices, IEEE Trans. Circuits Syst. II, 43: 379–
ing parameters. (b) The R-terminated two-port used to evaluate the 386, 1996.
input admittance yin. This two-port configuration is obtained from Fig. 4. E. S. Kuh and D. O. Pederson, Principles of Circuit Synthesis, New
(5a) by setting e2 ⫽ 0 and applying an input voltage Vin. York: McGraw-Hill, 1959.
LAW ADMINISTRATION 261

5. G. C. Temes and J. W. LaPatra, Introduction to Circuit Synthesis


and Design, New York: McGraw-Hill, 1977.
6. D. S. Humphreys, The Analysis, Design, and Synthesis of Electrical
Filters, Englewood Cliffs, NJ: Prentice-Hall, 1970.
7. D. E. Johnson, Introduction to Filter Theory, Englewood Cliffs, NJ:
Prentice-Hall, 1976.
8. H. Baher, Synthesis of Electrical Networks, Chichester: Wiley,
1984.

ROBERT W. NEWCOMB
University of Maryland at College
Park
LOUIZA SELLAMI
University of Maryland at College
Park
US Naval Academy

LAW. See CONTRACTS; LAW ADMINISTRATION; SOFTWARE MAN-


AGEMENT VIA LAW-GOVERNED REGULARITIES.
LOGIC DESIGN 557

LOGIC DESIGN

The purpose of a design process is to develop a hardware sys-


tem that realizes certain user-defined functionalities. A hard-
ware system is one constructed from electronic components.
Signals enter and leave the system. They are either analog or
digital. Information carried by an analog signal is continuous,
whereas information carried by a digital (binary) signal is dis-
crete, represented as 1 and 0. Input signals are processed by
the hardware system which produces the output signals. Sig-
nals are also generated internally, and can be either digital
or analog. Digital subsystems can be combinational or se-
quential. There are two types of digital sequential systems;
synchronous systems and asynchronous systems. A synchro-
nous system is one whose elements change their values only
at certain specified times determined clock changes. Inputs,
states, and outputs of an asynchronous system can change at
any time.
A design process develops a hardware system capable of
performing some predefined functionality. The functionality
of a digital hardware system can be realized by two processes:
using logic circuits that are implemented with logic gates
and/or using software to drive the system. The former process
is referred to as hardware implementation, and the latter as
software implementation. The use of the software is related
to the use of microprocessors. If microprocessors are used in
a design, the design is referred to as a microprocessor-based
design.
In a microprocessor-based design, the functionalities are
implemented partially by hardware and partially by software.

J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
558 LOGIC DESIGN

The designer needs to divide the tasks between hardware and scription and improve optimization of such circuits as se-
software, which is sometimes referred to as software-hard- quence generators or language acceptors. They use some fi-
ware co-design. The hardware portion includes the micropro- nite alphabet of symbols (letters) and the set of operations.
cessor, memory, peripheral integrated circuits (IC), and glue The operations are concatenation, union, and iteration. Con-
logic (glue logic are circuits that ‘‘glue’’ digital components to- catenation E1 ⭈ E2 means subsequent occurrence of events E1
gether). The software is a set of computer programs stored in and E2. Union E1 傼 E2 means logical-OR of the two events.
the memory. Iteration E* of event E means repetition of the event E an
Logic circuits for digital hardware systems may be combi- arbitrary finite number of times or no occurrence of this
national or sequential. A combinational circuit is one whose event. The simplest event is an occurrence of a single symbol.
output values depend only on its present input values. A se- Extended regular expressions generalize Regular Expressions
quential circuit is one whose outputs depend not only on its by adding the remaining Boolean operations. All the Boolean
present inputs but also on its current (internal) state. In other operators can be used in an extended regular expression. For
words, the present outputs are functions of present and previ- instance, negation or Boolean product.
ous input values. The input history is remembered by mem- Petri nets are concurrent descriptions of sequential pro-
ory elements (e.g., registers). A register is a set of flip-flops. cesses. They are usually converted to finite state machines or
The control unit of a digital system is normally imple- directly converted to sequential netlists. Because they are
mented by a sequential circuit. The data path can be imple- also used in concurrent system specification, verification, and
mented by either a sequential circuit or a combinational cir- software design, Petri nets are increasingly used in software-
cuit (and usually, also some registers). The data path may hardware codesign and to specify hardware (25).
consist of logic, arithmetic, and other combinational operators
and registers, as well as counters, memories, small state ma- Finite State Machines
chines, interface machines, and other sequential blocks. Logic
design research develops procedures for efficient design of Finite state machines (FSMs) are usually of Mealy or Moore
digital circuits. Various technologies and related design meth- types. Both Moore and Mealy machines have the following:
odologies as well as computer tools are used to transform high the set of input symbols, the set of internal states (symbols),
level system characterizations to working devices. and the set of output symbols. They also have two functions:
Complex modern systems include subsystems that require the transition function 웃 and the output function ␭. The tran-
both digital hardware design and microprocessor-based de- sition function 웃 specifies the next internal state as a function
sign. To design such systems, the designers need to be famil- of the present internal state and the present input state. The
iar with both the co-design methodologies and co-design tools. output function ␭ describes the present output state. Moore
machines have output states which are functions of only the
present internal states. Mealy machines have output states
MATHEMATICAL CHARACTERIZATION which are functions of both present internal states and pres-
ent input states. Thus state machines can be described and
The mathematical characterization is concerned with mathe- realized as composition of purely combinational blocks 웃 and
matical specification of the problem as some kind of transfor- ␭ with registers that hold their states.
mation, equation solving, and so on. In the case of digital Parallel state machines are less commonly used compared
circuit/system applications, the mathematical characteriza- to Moore machines and Mealy machines. In a parallel state
tions include, for example, the following models: regular ex- machine several states can be successors of the same internal
pressions, extended regular expressions, data flow graphs, Pe- state and input state. In other words, the parallel state ma-
tri nets, finite state machines, Boolean functions, timed chine is concurrently in several of its internal states. This is
Boolean functions, and physical design models. The physical similar in principle to concurrently having many tokens in
design models can only be realized in hardware. All of the places of the Petri net graph description.
other models mentioned above can be realized either in hard- Nondeterministic state machines are another model. In a
ware or in software or in both. nondeterministic state machine, there are several transitions
The goal of mathematical characterizations is to provide to next internal states from the same present input state and
the ability to investigate formally the problems of equiva- the same present internal state. From this aspect, nondeter-
lence, optimization, correctness, and formal design correct ministic state machines are syntactically similar to parallel
from specification, by transformational methods. state machines. However, the interpretation between these
Nowadays, most of the design is done automatically by two machines is different. In a nondeterministic state ma-
electronic design automation (EDA) tools. The logic and sys- chine, the several transitions to a next internal state is inter-
tem designers not only use the EDA tools, but also often de- preted that any of these transitions is possible, but only one
sign their own tools or adapt and personalize the existing
is actually selected for next stages of design. The selection
tools. That is why the problems of logic representation and
may occur at the state minimization, the state assignment,
mathematical characterization are unseparable from the logic
the state machine decomposition, or the circuit realization of
design, and will be devoted here due attention.
the excitation and output logic. The transition is selected in
order to simplify the circuit at the next design stage, or to
High-Level Behavioral Specifications
improve certain property of the circuit. The above selection is
Regular expressions are an example of high-level behavioral done either automatically by the EDA tools, or manually by a
specification of a sequential circuit. They describe the input human. Nondeterminism expands the design space, and thus
sequences accepted by a machine, output sequences gener- gives the designer more freedom to improve the design. How-
ated by a machine, or input-output sequences of a machine. ever, this can also lead to a more complex or a longer design
Regular expressions are used in digital design to simplify de- process.
LOGIC DESIGN 559

There are several other generalizations of FSMs, such as Cube Representation. An array of cubes is a list of cubes,
Buechi or Glushkov machines, which in general assume more which is usually interpreted as a sum of products of literals,
relaxed definitions of machine compatibility. For instance, where a cube corresponds to a product of literals. A (binary) lit-
machines can be defined as compatible even if their output eral is a variable or a negated variable. In binary logic, symbol
sequences are different for the same starting internal states 0 corresponds to a negated variable, symbol 1 to a positive (af-
and the same input sequences given to them, but the global firmative, nonnegated) variable, symbol X to the absence of a
input–output relations of their behaviors are equivalent in variable in the product, and symbol ⑀ to a contradiction. A cube
some sense. All these machines can be described in tabular, is a sequence of symbols 0, 1, X, and ⑀, corresponding to their
graphical, functional, HDL language, or netlist forms, and re- respective ordered variables. For instance, assuming the order
alized in many listed below technologies. of variables: x1, x2, x3, x4, the cube 01X1 corresponds to the prod-
uct of literals x1x2x4, and the cube 0⑀X0 is an intermediate data
Boolean Functions Characterizations generated to show contradiction or a nonexisting result cube of
some cube operation. A minterm (a cell of a Karnaugh map and
Boolean functions are characterized usually as truth tables, a row of a truth table) is thus a sequence of symbols 1 and 0.
arrays of cubes, and decision diagrams. Representations can Arrays of cubes can also correspond to exclusive sums of prod-
be canonical or noncanonical. Canonical means that the rep- ucts, products of sums, or others. For instance, the array of
resentation of a function is unique. If the order of the input cubes {01X1, 11XX} describes the sum-of-products expression
variables is specified, then both truth tables and binary deci- x1x2x4 ⫹ x1x2 called also the cover of the function with product
sion diagrams are canonical representations. Cube represen- implicants (usually, with prime implicants). Depending on the
tations are not canonical, but can be made canonical under context, the same array of cubes can also describe the exclu-
certain assumptions (for instance, all prime implicants of a sive-sum-of-products expression x1x2x4 丣 x1x2, or a product-of-
completely specified function). In a canonical representation sums expression (x1 ⫹ x2 ⫹ x4) ⭈ (x1 ⫹ x2). The correct meaning of
the comparison of two functions is simple. This is one of the the array is taken care of by applying respective cube calculus
advantages of canonical representations. This advantage has operators to it.
found applications in the verification and synthesis algo- An algebra of cube calculus has been created with cubes
rithms. and arrays of cubes and operations on them. The most impor-
Good understanding of cube calculus and decision dia- tant operators (operations) are negation of a single cube or
grams is necessary to create and program efficient algorithms nondisjoint sharp, disjoint sharp, consensus, crosslink, inter-
for logic design, test generation and formal verification. section, and supercube of two cubes. The cube operators most
often used in EDA programs are presented briefly below. The
Truth Tables and Karnaugh Maps nondisjoint sharp, A#B, creates a set of the largest cubes in
function A ⭈ B. Disjoint sharp, A#dB, creates a set of disjoint
A truth table for a logic function is a list of input combina- cubes covering function A ⭈ B. Sharp operations perform
tions and their corresponding output values. Truth tables are graphical subtraction and can be used in algorithms to re-
suitable to present functions with small numbers of inputs move part of the function that has been already taken care
(for instance, single cells of iterative circuits). Truth tables of. Consensus of cubes A and B is the largest cube that in-
can be easily specified in hardware description languages cludes part of cube A and part of cube B. Supercube of cubes
such as VHSIC hardware description language (VHDL). A and B is the smallest cube that includes entirely both cubes
Table 1 shows the truth table of a full adder. A full adder A and B. Consensus and supercube are used to create new
is a logic circuit with two data inputs A and B, a carry-in product groups. Intersection of cubes A and B is the largest
input Cin, and two outputs Sum and carry-out Cout. common subcube of cubes A and B. It is perhaps the most
Karnaugh maps are two-dimensional visual representa- commonly used cube calculus operation, used in all practically
tions of truth tables. In a Karnaugh map, input variables are known algorithms. These operations are used mostly in the
partitioned into vertical and horizontal variables, and all inclusive (AND–OR) logic. Crosslink is the chain of cubes be-
value combinations of input variables are expressed in Gray tween two cubes. The chain of cubes covers the same min-
codes. The Gray code expressions allow the geometrically ad- terms as the two cubes, and does not cover the minterms not
jacent cells to become combinable using the law AB ⫹ AB ⫽ covered by the two cubes. Since A 丣 A ⫽ 0, an even number
A. For instance, cells abcd and abcd are combined as a prod- of coverings is treated as no covering, and an odd number of
uct acd. For functions with large numbers of inputs, the corre- coverings is treated as a single covering. It is used mostly in
sponding truth tables or Karnaugh maps are too large. the exclusive (AND–EXOR) logic, for instance, in exclusive-
sum-of-products minimization (21). Positive cofactor f a is
function f with variable a substituted to 1. Negative cofactor
Table 1. Truth Table of Full Adder f a is function f with variable a substituted to 0.
Cube calculus is used mostly for optimization of designs
A B Cin Sum Cout with two or three levels of logic gates. It is also used in test
0 0 0 0 0 generation and functional verifications. Multivalued cube cal-
0 0 1 1 0 culus extends these representations and operations to multi-
0 1 0 1 0 valued variables. In multivalued logic, each variable can have
0 1 1 0 1 several values from a set of values. For a n-valued variable,
1 0 0 1 0 all its literals are represented by n-element binary vectors
1 0 1 0 1
where value 0 in the position corresponds to the lack of this
1 1 0 0 1
value in the literal, and value 1 to the presence of this value.
1 1 1 1 1
For instance, in 4-valued logic, the literal X0,1,2 is represented
560 LOGIC DESIGN

as a binary string 1110, which means the following assign- sions of Boolean functions are thus included in KDD. Other
ment of values: X 0 ⫽ 1, X 1 ⫽ 1, X 2 ⫽ 1, X 3 ⫽ 0. It means, the known decision diagrams include zero-suppressed binary de-
literal X 兵0,1,2其 is a 4-valued-input binary-output function de- cision diagrams (ZSBDDs) and moment diagrams. They are
fined as follows: X 兵0,1,2其 ⫽ 1 when X ⫽ 1, or X ⫽ 2, or X ⫽ 3, used primarily in verification or technology mapping. Multi-
X 兵0,1,2其 ⫽ 0 when X ⫽ 4. Such literals are realized in binary valued decision diagrams have more than two terminal nodes
circuits by input decoders, literal generators circuits, or small and multivalued branchings with more than two successors
PLAs. Thus, multivalued logic is used in logic design as an of a node. These diagrams allow one to describe and verify
intermediate notation to design multilevel binary networks. some circuits (such as large multipliers) that are too large to
For instance, in 4-valued model used in programmable logic be described by standard BDDs. Some diagrams may also be
array (PLA) minimization, a 4-valued set variable corre- better for logic synthesis to certain technologies.
sponds to a pair of binary variables. PLA with decoders allow There are two types of decision diagrams: canonical dia-
to decrease the total circuit area in comparison with standard grams and noncanonical diagrams. Canonical diagrams are
PLAs. This is also the reason of using multivalued logic in used for function representation and tautology checking.
other types of circuits. Well known tools like MIS and SIS ZSBDDs and KDDs are examples of canonical representa-
from the University of California at Berkeley (UC Berkeley) tions. An example of noncanonical decision diagrams is a free
(23) use cube calculus format of input/output data. pseudo-Kronecker decision diagram. In this type of diagram,
A variant of cube calculus representation are the factored any types of Shannon and Davio expansions can be mixed in
forms (for instance, used in MIS), which are multilevel compo- levels and all orders of variables are allowed in branches.
sitions of cube arrays (each array specifies a two level logic Free pseudo-Kronecker decision diagrams are used in synthe-
block). Factored form is thus represented as a multi-DAG (di- sis and technology mapping (21,22). Decision diagrams can be
rected acyclic graph with multiedges). It has blocks as its also adapted to represent state machines. By describing a
nodes and logic signals between them as multiedges. Each state machine as a relation, the (logic) characteristic function
component block specifies its cube array and additionally its of the machine can be described by a decision diagram.
input and output signals. Input signals of the block are pri-
mary inputs of the multilevel circuit, or are the outputs from Level of Design Abstraction
other blocks of this circuit. Output signals of the block are
A design can be described in different levels of abstraction, as
primary outputs of the multi-level circuit, or are the inputs
shown in Fig. 1.
to other blocks of this circuit. Initial two-level cube calculus
description is factorized to such multi-level circuit described
as a factored form. Also, a multilevel circuit can be flattened • Architecture level (also called behavioral level). At this
back to a two level cube representation. level, the designer has the freedom to choose different
algorithms to implement a design (for instance, different
Binary Decision Diagrams. Decision diagrams represent a digital filtering or edge detection algorithms). The em-
function by a directed acyclic graph (DAG). In the case of the phasis is on input–output relations. Different implemen-
most often used binary decision diagrams (BDDs), the nodes tations for the same function can be considered. For in-
of the graph correspond to Shannon expansions (realized by stance, for a given function, one can chose between two
multiplexer gates), controlled by the variable a associated logic implementations: sequential and parallel combina-
with this node: F ⫽ a ⭈ Fa ⫹ a ⭈ Fa. Shared BDDs are those in tional (arithmetic adder, comparator or multiplier being
which equivalent nodes of several output functions are good examples).
shared. Equivalent nodes g and h are those whose cofactor • Register transfer level (RTL). At this stage, the design is
functions are mutually equal: ga ⫽ ha and ga ⫽ ha. Ordered specified at the level of transfers among registers. Thus,
BDDs are those in which the order of nodes in every branch the variables correspond to generalized registers, such as
from the root is the same. A diagram can be obtained from
arbitrary function specifications, such as arrays of cubes, fac-
tored forms, expressions, or netlists. The diagram is obtained
by recursive application of Shannon expansion to the func- Architectural level
tion, next its two cofactors, four cofactors of its two cofactors,
and so on, and by combination of any isomorphic (logically
equivalent) nodes. The function corresponds to the root of the
diagram. There are two terminal nodes of a binary decision
Register transfer
diagram, 0 and 1, corresponding to Boolean false and true. If level
two successor nodes of a node Si point to the same node, then
node Si can be removed from the DAG. There are other simi-
lar reduction transformations in those diagrams which are
more general than BDDs. Decision diagrams with such reduc-
Logic gate level
tions are called reduced ordered decision diagrams.
In addition, negated (inverted) edges are introduced in
BDDs. Such edges describe negation of its argument function.
In Kronecker decision diagrams (KDDs) three types of expan-
sion nodes exist: Shannon nodes (realizing function f ⫽
Circuit level
a ⭈ f a ⫹ a ⭈ f a), positive Davio nodes [realizing function f ⫽
a ⭈ ( fa 丣 f a) 丣 f a], and negative Davio nodes [realizing function
f ⫽ a ⭈ ( fa 丣 f a) 丣 f a]. All of the three possible canonical expan- Figure 1. The abstraction levels of a logic design.
LOGIC DESIGN 561

shifters, counters, registers, memories, and flip-flops. arithmetic datapath operations, EXOR based logic can de-
The operations correspond to transfers between registers crease area, improve speed and power consumption, and im-
and logical, arithmetical and other combinational opera- prove significantly the testability. Such circuits are thus used
tions on single or several registers. Examples of opera- in design for test. Other gate models include designing with
tions on a single register are shift left, shift right, shift EPLDs, which realize AND–OR and OR–AND architectures,
cyclically, add one, subtract one, clear, set, negate. An corresponding to sum-of-products and product-of-sums ex-
example of more general register-transfer operations is pressions, respectively. In standard cell technologies more
A 씯 B ⫹ C, which adds the contents of registers B and powerful libraries of cells are used, such as AND–OR–
C and transfers the result to register A. A register-trans- INVERT, or OR–AND–INVERT gates. In FPGAs different
fer description specifies the structure and timing of oper- combinations of multiplexers, cells that use positive Davio
ations in more detail but still allows for transformations (AND–EXOR) and negative Davio (NOT–AND–EXOR)
of data path, control unit, or both. The transformations expansion gates, or similar cells with a small number of in-
will allow improved timing, lower design cost, lower puts and outputs are used. The lookup-table model assumes
power consumption, or easier circuit test. that the arbitrary function of some small number of variables
• Logic level (gate level). At this level every individual flip- (3, 4, or 5) and small number of outputs, usually 1 or 2, can be
flop and logic bit is specified. The timing is partially fixed realized in a programmable cell. Several design optimization
to the accuracy of clock pulses. The (multioutput) Bool- methodologies have been developed for each of these models.
ean functions with certain number of inputs, outputs,
and certain fixed functionality are specified by the user Boolean Expressions. Boolean expressions use logic functors
or obtained by automatic transformations from a regis- (operators) such as AND, OR, NOR, NOT, NAND, EXOR, and
ter-transfer level description. These functions are speci- MAJORITY, as well as literals, to specify the (multioutput)
fied as logic equations, decision diagrams, arrays of function. In order to specify netlists that correspond to DAGs,
cubes, netlists, or some hardware description language intermediate variables need to be introduced to the expres-
(HDL) descriptions. They can be optimized for area, sions. Every netlist or decision diagram can be specified by a
speed, testability, number of components, cost of compo- set of Boolean expressions with intermediate variables. Bool-
nents, or power consumption, but the general mac- ean expressions can use infix (or standard), prefix (or Polish),
ropulses of the algorithm’s execution cannot be changed. or postfix (or reverse Polish) notations. Most modern specifi-
• Physical level. At this level a generic, technology-inde- cation languages use infix notation for operators such as AND
pendent logic function is mapped to a specific technol- or OR. Operator AND can sometimes be omitted, as in stan-
ogy—such as electronically programmable logic devices dard algebraic notations. In conjunction with operators such
(EPLD), complex programmable logic devices (CPLD), as NAND, both infix and prefix notations are used. For in-
field programmable gate arrays (FPGA), standard cells, stance, (NAND a b c) in prefix and (a NAND b NAND c) in
custom designs, application specific integrated circuits infix. Care is recommended when reading and writing such
(ASIC), read only memory (ROM), random access mem- expressions in hardware description languages and input for-
ory (RAM), microprocessor, microcontroller, standard mats to tools. It is always good to use parentheses in case of
small scale integration (SSI)/medium scale integration doubt about operators’ precedence. In some languages, arbi-
(MSI)/large scale integration (LSI) components, or any trary operators can be defined by users and then can be used
combinations of these. Specific logic gates, logic blocks, in expressions on equal terms with well-known operators. Ex-
or larger design entities have been thus defined and are pressions can be created for SOP (sum-of-products), POS
next placed in a two-dimensional area (on a chip or (product-of-sums), factorized SOPs and POSs, and other rep-
board) and routed (interconnected). resentations as a result of logic synthesis and optimization
algorithms. Some of these algorithms will be described in the
section on combinational logic design.
Logic Design Representations
A logic function can be represented in different ways. Both Behavioral Descriptions. A logic system can be described by
behavioral (also called functional) representations and struc- hardware description languages (HDL). The most popular
tural representations are used in logic designs. The represen- ones are Verilog and VHDL. Both Verilog and VHDL can de-
tations can be used at all different levels of abstraction: archi- scribe a logic design at different levels of abstraction, from
tecture level, register-transfer level, and logic level. gate-level to architectural-level representations. Both are now
industrial standards, but VHDL seems to gain its popularity
faster, especially outside the United States. In recent years
Waveforms. Waveforms are normally used for viewing sim-
ulation results and specifying stimulus (input) to the simula-
tor. Recently they are also being used increasingly as one pos-
sible input data design specification, especially for designing A
asynchronous circuits and circuits that cooperate with buses.
B
Figure 2 shows the waveforms of a full adder.
Cin
Logic Gate Networks. Standard design uses basic logic Sum
gates: AND, OR, NOT, NAND, and NOR. Recently EXOR and Cout
XNOR gates were incorporated into tools and designs. Several
Time
algorithms for logic design that take into account EXOR and
XNOR gates have been created. For certain designs, such as Figure 2. Waveforms for the full adder.
562 LOGIC DESIGN

several languages at higher level than VHDL have been pro- another level, or when the design functionality has changed
posed, as well as preprocessors to VHDL language from these at the same level. Equivalence checking can verify if the origi-
new representations, but so far none of them enjoyed wide nal design and the modified design are functionally equiva-
acceptance (e.g., State Charts, SpecCharts, SDL, and VAL). lent. For instance, two Boolean functions F1 and F2 are equiv-
State Charts and SpecCharts are graphical formalisms that alent when they constitute a tautology F1 ⇔ F2, ⫽ 1, which
introduce hierarchy to state machines. SDL stands for the means the function G ⫽ F1 ⇔ F2 is equal to 1 (or function
Specification and Description Language. It is used mainly in F1 丣 F2 is equal to zero) for any combination of its input vari-
telecommunication. VHDL Annotation Language (VAL) is a able values. A more restricted version of tautology may in-
set of extensions to VHDL to increase its capabilities for ab- volve equality only on combinations of input values that actu-
stract specification, timing specification, hierarchical design, ally may happen in actual operation of the circuit (thus ‘‘don’t
and design validation. Other known notations and corre- care’’ combinations are not verified). Verification of state ma-
sponding data languages include regular expressions, Petri chines in the most narrow sense assumes that the two ma-
nets, and path expressions. chines generate exactly the same output signals in every
pulse and for every possible internal state. This is equivalent
Design Implementation to creating, for machines M1 and M2 with outputs z1 and z2,
A design can be targeted to different technologies: full custom respectively, a new combined machine with output zcom ⫽
circuit design, semicustom circuit design (standard cell and z1 丣 z2 and shared inputs, and proving that output zcom ⫽ 0
gate array), FPGAs, EPLDs, CPLDs, and standard compo- for all combinations of state and input symbols (9). A more
nents. restricted equivalence may require the identity of output sig-
In the full custom circuit designs, the design effort and cost nals for only some transitions. Finally, for more advanced
are high. This design style is normally used when high-qual- state machine models, only input–output relations may be re-
ity circuits are required. Semicustom designs use a limited quired to be equivalent in some sense. Methods based on au-
number of circuit primitives, and therefore have lower design tomatic theorem proving in predicate calculus and higher or-
complexity and may be less efficient when compared to the der logic have been also developed for verification and formal
full custom designs. design correct from specification, but are not yet much used
in commercial EDA tools. Computer tools for formal verifica-
Design Verification tion are available from EDA companies and from universities
(e.g., VIS from UC Berkeley (5), and HOL (10) available from
A design can be tested by logic simulation, functional testing,
the University of Utah).
timing simulation, logic emulation, and formal verification.
All these methods are called validation methods.
Design Transformation
Logic Simulation. Logic simulation is a fast method of ana-
High-level design descriptions make it convenient for design-
lyzing a logic design. Logic simulation models a logic design
ers to specify what they want to achieve. Low-level design
as interconnected logic gates but can also use any of the
descriptions are necessary for design implementation. Design
mathematical characterizations specified previously (for in-
transformations are therefore required to convert a design
stance, binary decision diagrams). The simulator applies test
from a higher level of abstraction to lower levels of abstrac-
vectors to the logic model and calculates logic values at the
tion. Examples of design transformations include removal of
output of the logic gates. The result of the logic simulation
dead code from the microcode, removal of dead register vari-
can be either logic waveforms or truth tables.
ables, minimization of the number of generalized registers,
Timing Simulation. Timing simulation is similar to logic cost minimization of combined operations units (SUM/SUB-
simulation, but it also considers delays of electronic compo- TRACT, MULTIPLY, etc.), Mealy-to-Moore and Moore-to-
nents. Its goal is to analyze the timing behavior of the circuit. Mealy transformations of state machines (which can change
The results from the timing simulation can be used to achieve the system’s timing by one pulse), transformation of a nonde-
target circuit timing characteristics (e.g., operational fre- terministic state machine to an equivalent deterministic ma-
quency). chine, transformation of a parallel state machine to an equiv-
alent sequential machine, and mapping of a BDD to a netlist
Formal Verification. While simulation can demonstrate of multiplexers.
that a circuit is defective, it is never able to formally prove
that a large circuit is totally correct, because of the excessive Logic Design Process
number of input and state combinations. Formal verification
uses mathematical methods to verify exhaustively the func- A logic design is a complex process. It starts from the design
tionality of a digital system. Formal verification can reduce specification, where the functionality of the system is speci-
the search space by using symbolic representation methods fied. Design is an iterative process involving design descrip-
and by considering many input combinations at once. Cur- tion, design transformation, and design verification. Through
rently, there are two methods that are widely used: model each iteration, the design is transformed from a higher level
checking and equivalence checking. Model checking is used at of abstraction to a lower level. To ensure the correctness of
the architectural level or register-transfer level to check if the the design, verification is needed when the design is trans-
design holds certain properties. Equivalence checking com- formed from one level to another level. Each level may involve
pares two designs at the gate level or register-transfer level. some kind of optimization (for instance, the reduction of the
It is useful when the design is transformed from one level to description size). The logic design process is shown in Fig. 3.
LOGIC DESIGN 563

These implicants are then the largest products-of-literals


Design specification groups of true minterms in a Karnaugh map that imply the
function. Next, an exact program creates a covering table and
find its best covering with prime implicants. Such a table has
true minterms as columns and prime implicants (or their sub-
set) as rows (or vice versa). If an implicant covers (includes)
Description a minterm, it is denoted by an entry 1 at the intersection of
the row corresponding to the implicant and the column corre-
sponding to the minterm. The exact solution (minimum, prod-
uct-wise) is the subset of rows that cover (have minterms in)
all columns and that has the minimum number of rows. The
Transformation
minimum solution (literal-wise) is the subset of rows that
cover (have minterms in) all columns and has the minimum
total number of literals in product terms (or that minimizes
another similar cost function). Some algorithms use the con-
Verification
cept of essential prime implicants. An essential prime is a
prime that includes a certain minterm that is covered only by
this prime. A redundant prime is an implicant that covers
only minterms covered by essential primes. Redundant
primes can thus be disregarded. A secondary essential prime
Implementation is a prime that becomes essential only after previous removal
of some redundant primes.
The essential primes found are taken to be the solution
Figure 3. The logic design process.
and the minterms covered by them are removed from the
function (using for instance sharp operator of cube calculus).
COMBINATIONAL LOGIC DESIGN This causes some primes to become redundant and results in
origination of the secondary essential primes. This process of
A combinational logic design involves a design of a combina- finding essential and secondary essential primes is iterated
tional circuit. For instance, the design may assume two levels until no further minterms remain in the function—thus the
of logic. A two-level combinational logic circuit consists of two exact solution is found without creating and solving the cov-
levels of logic gates. In the sum-of-products two-level form, ering table. Functions with such a property are called noncy-
the first (from the inputs) level of gates are AND gates and clic functions. Most of real-life functions are either noncyclic
the second level of gates are OR gates. In the product-of-sums or have large noncyclic cores, which is the reason for the rela-
two-level form, the first level of gates are OR gates and the tive efficiency of such algorithms.
second level of gates are AND gates. Approximate algorithms try to generate primes and find
The reason of logic minimization is to improve the perfor- primes cover at the same time; thus they reshape the current
mance and decrease the cost by decreasing the area of the cover by replacing some groups of primes with other primes,
silicon, decreasing the number of components, increasing the applying cube operations such as consensus.
speed of the circuit, making the circuit more testable, making Program Espresso from UC Berkeley (4) is a standard for
it use less power, or achieving any combination of the above two-level logic functions. The original program was next ex-
design criteria. The optimization problem can be also speci- tended to handle multivalued logic to allow for PLAs with
fied to minimize certain weighted cost functions under certain decoders, function generators, and preprocessing PLAs.
constraints (for instance, to decrease the delay under the con- Espresso–MV is useful for sequential machine design, espe-
straint of not exceeding certain prespecified silicon area). cially state assignment and input/output encodings. Its ideas
There are usually two logic minimization processes; the help also to develop new programs for these applications. Al-
first one is generic and technology-independent minimization, though heuristic version of Espresso does not guarantee the
the next one is technology-dependent minimization, called exact solution, it is close to minimum on several families of
also technology mapping. This second stage may also take practical functions. Its variant, Espresso–Exact, finds the
into account some topological or geometrical constraints of minimum solution, and program Espresso-Signature can find
the device. exact solutions even for functions with extremely large num-
ber of prime implicants. This is due to a smart algorithm that
Two-Level Combinational Logic can find exact solutions without enumerating all the prime
There are two types of programs for two-level logic minimiza- implicants. There are some families of practical functions for
tion. Exact programs minimize the number of product impli- which Espresso does not give good results and which are too
cants, the number of literals, or some weighted functions of large for Espresso–Exact or Espresso-Signature. Programs
the two. Heuristic or approximate programs attempt to mini- such as McBoole or other internally designed programs are
mize these cost functions but do not give assurance of their combined with Espresso as user-called options in some com-
minimum values. Usually, the exact programs generate all mercial tools. Two-level minimization is used in many pro-
prime implicants or a subset of them, which can be proven to grams for multilevel synthesis, EPLD-based synthesis, and
include at least one minimal solution. The prime implicant is PLD/CPLD device fitting. These algorithms constitute the
a product of literals from which no literal can be removed. most advanced part of today’s EDA theory and practice.
564 LOGIC DESIGN

Topics close to sum-of-products minimization are product- sume any particular type of gates: rather they just decompose
of-sums design, three-level design (AND–OR–AND or OR– a larger function to several smaller functions. Both functions
AND–OR), four-level design (AND–OR–AND–OR), and other can be specified as tables, arrays of cubes, BDDs, netlists, or
designs with a few levels of AND–OR logic. Algorithms for any other aforementioned logic representations, both binary
their solution usually generate some kind of more complex and multivalued. Functional decompositions can be separated
implicants and solve the set-covering or graph-coloring prob- into parallel and serial decompositions. Parallel decomposi-
lems, either exactly or approximately. Such approaches are tion decomposes multioutput function [F1(a, b, c, . . ., z),
used for PLD and CPLD minimization. F2(a, b, c, . . ., z), . . ., Fn⫺1(a, b, c, . . ., z), Fn(a, b, c, . . .,
Another two-level minimization problem is to find, for a z)] to several, usually two, multioutput functions, called
given function, the exclusive-sum-of-products expression with blocks. For instance, [F1(a, b, c, . . ., z), F2(a, b, c, . . ., z),
the minimum gate or literal cost. Several algorithms have . . ., Fn⫺1(a, b, c, . . ., z), Fn(a, b, c, . . ., z)] is decomposed
been created for this task (21,22). Tools for circuits that have into [Fi1(a, . . ., x), . . ., Fir(c, . . ., z)] and [Fir⫹1(a, b, . . ., y),
few, usually three, levels and have levels of gates AND, . . ., Fin(c, . . ., x)], such that each component function de-
EXOR and OR, in various orders, have been also recently de- pends now on fewer variables (thus the support set of each is
signed (21,22). decreased, often dramatically). This problem is similar to the
Many concepts and techniques used in two-level minimiza- partitioning of a PLA into smaller PLAs.
tion (for instance, essential implicants or covering tables) are Serial decomposition is described by a general formula:
also used in multilevel synthesis. Similar techniques are used
in sequential synthesis (for example, a binate covering prob- F (A, B, C) = H(A ∪ C, G(B ∪ C)) (1)
lem is used in both three-level design and state minimization,
and clique covering is used in creating primes and in several where the set of variables A 傼 C is called the set of free vari-
problems of sequential design). ables (free set), the set of variables B 傼 C is called the set of
An important stage of the logic design involves finding the bound variables, and the set of variables C is called the
minimum support of a function, which means the minimum shared set of variables. If C ⫽ 0 兾, the decomposition is called
set of input variables on which the given function depends. disjoint, otherwise it is called nondisjoint. Function G is
This can be used for logic realization with EPLDs (because of multioutput (or multivalued) in Curtis decomposition, and
the limited number of inputs) or in ROM-based function real- single-output in classical Ashenhurst decomposition. Func-
ization. tion G can be also multivalued. Every function is nondisjoint
Many efficient generic combinatorial algorithms have been decomposable, and many practical functions are also disjoint
created for logic synthesis programs. They include: unate cov- decomposable. The more a function is unspecified (the more
ering (used in SOP minimization, decomposition and mini- ‘‘don’t cares’’ it has), the better are the decompositions and
mum support problems), binate covering (used in state ma- higher the chances of finding disjoint decompositions with
chine minimization and three-level design), satisfiability (is small bound sets.
F ⫽ 0? if yes, when?), tautology (is F ⫽ G?), and graph color- It was shown that practical benchmark functions are well
ing (used in SOP minimization and functional decomposition). decomposable with small or empty shared sets, in contrast to
All these algorithms can be used for new applications by EDA randomly generated completely specified functions, for which
tool designers. such decompositions do not exist. Most of the decomposition
methods decompose recursively every block G and H, until
Multilevel Combinational Logic they become non-decomposable. What is defined as non-
decomposable depends on any particular realization tech-
Factorization. A multilevel combinational logic circuit con-
nology (for instance, any function with not more than four
sists of more than two levels of logic gates. There are several
variables is treated as non-decomposable, assuming the
design styles that are used to obtain such circuits. The first
lookup-table model with four input variables in a block). In a
method is called factorization. It recursively applies factoring
different technology, the decomposition is conducted until ev-
operations such as ab ⫹ ac ⫽ a(b ⫹ c) and (a ⫹ b) ⭈ (a ⫹ c) ⫽
ery block becomes a simple gate realized in this technology
a ⫹ (bc). Some factoring algorithms also use other transfor-
(for instance, a two-input AND gate, a MUX, or a three-input
mations as well, such as ab ⫹ ac ⫽ abc, and abcd ⫽ ababcd
majority gate). Important problems in decomposition are
⫽ abacd. Efficient factoring algorithms based on kernels and
finding good bound sets and shared sets and the optimal en-
rectangle covering have been created (11). They are used in
coding of multivalued functions G to binary vectors, in order
many commercial tools, and are still being refined and im-
to simplify concurrently both functions G and H.
proved to add special functionalities (for instance, improved
Designing combinational logic using ROMs or RAMs is an-
testability). They are also being adapted for state assignment
other important area. Because of the limited width of indus-
or reduced power consumption of the circuit. Another advan-
trial chips, one has to create additional combinational address
tage of factorization is that it allows representation of large
generator circuits, or other circuits that collaborate with the
circuits. A high-quality multi-level program, SIS, based
memory chip. Some of these techniques are quite similar to
mostly on factorization, can be obtained from UC Berkeley
decomposition.
(23).
Decomposition methods are not yet used in many indus-
trial tools, but their importance is increasing. A universal
Functional Decomposition
functional decomposer program can be obtained from Port-
The second group of multilevel synthesis methods are those land State University (19).
based on functional decomposition. Such methods have origi-
nated from early research of Ashenhurst (2), Curtis (7), and Decision Diagrams. Finally, the last group of multilevel
Roth/Karp (20). Functional decomposition methods do not as- synthesis methods is based on various kinds of decision dia-
LOGIC DESIGN 565

grams. In the first phase the decision diagram such as a BDD, type flip-flop triggers its state from 0 to 1 and from 1 to 0
KFDD, or ZSBDD, is created, and its cost (for instance, the whenever its input T is 1 during the change of clock. It re-
number of nodes), is minimized. An important design problem mains in its current state if the input T is 0 during the
is to find a good order of input variables (i.e., one that mini- change. A JK flip-flop has two inputs; J is the setting input,
mizes the number of nodes). For synthesis applications these K is the resetting input. Thus, with J ⫽ 1 and K ⫽ 0 the flip-
diagrams are not necessarily ordered and canonical, because flop changes to state 1 (with the clock’s change). If both inputs
the more general diagrams (with less constraints imposed on are equal to 1, the flip-flop toggles, thus working as a T flip-
them) can correspond to smaller or faster circuits. A universal flop. If they are both 0, it remains in its present state. Various
Decision Diagram package PUMA that includes BDDs, procedures have been created to design special machines
KFDDs, and ZSBDDs, is available from Freiburg University (such as counters or registers), general Finite State Machines,
(8). Free BDDs, with various orders of variables in branches, or other sequential mathematical models, with these flip-
or noncanonical Pseudo–Kronecker decision diagrams with flops.
mixed types of expansions in levels, are used in technology
mapping (22). In the next phase, certain rules are used to
simplify the nodes. Among these rules, the propagation of Standard FSM Design Methodology
constants is commonly used. For instance, a Shannon node (a Sequential logic design typically includes three phases. In the
MUX) realizing a function a ⭈ 0 ⫹ a ⭈ b is simplified to AND first phase a high-level description is converted into a state
gate a ⭈ b. MUX realizing ab 丣 a is simplified to OR gate a ⫹ machine or equivalent abstract description. For instance, a
b. All rules are based on simple Boolean tautologies. For in- regular expression is converted into a regular nondeterminis-
stance, a positive Davio node realizing a function a ⭈ b 丣 a is tic graph. The graph is converted into an equivalent deter-
simplified to an AND gate a ⭈ b. The heuristic algorithms that ministic graph, and finally into a Moore or Mealy machine
apply these transformations are iterative, recursive, or rule- state table. In some systems this table is then minimized.
based. They are usually very fast. State minimization always attempts to reduce the number of
For some technologies, the stage of generic multilevel opti- internal states, and sometimes, also the number of input sym-
mization is followed by the technology-related phase (called bols. In some algorithms the numbers of output bits are also
technology mapping), in which techniques such as DAG cov- minimized. After minimization, the machine has a smaller ta-
ering, or tree covering by dynamic programming are applied ble size but is completely equivalent, with accuracy of the
(11). At this stage, the particular technological constraints of clock pulses, to the initial table. The next design stage is the
a given target technology are taken into account by rede- state assignment, in which every present and next state sym-
signing for particular cell libraries, fitting to certain fan-in or
bol in the table is replaced with its binary codes. In this way
fan-out constraints, minimizing the number of cells to fit the
the encoded transition table is created, which functionally
IC device, or decreasing the number of connections to fit the
links the encoded present internal states, present input
routing channel width.
states, present output states, and next internal states. Now
combinational functions 웃 and ␭ have been uniquely deter-
mined. They are usually incompletely specified. In some sys-
SEQUENTIAL LOGIC DESIGN
tems, the encoding (state assignment) is also done for input
and/or output symbols. Assignment of states and symbols is
Sequential logic design involves designing sequential circuits.
done either automatically or manually. Good assignment
A sequential circuit contains flip-flops or registers. A good un-
leads to a better logic realization in terms of the number of
derstanding of flip-flop’s behavior is necessary to design se-
quential circuits. A flip-flop is an elementary register with a product terms, literals, speed, etc. Several good assignment
single bit. Flip-flops are synchronous and asynchronous. An programs, KISS, MUSTANG, and NOVA, are available in the
example of an asynchronous flip-flop is a simple latch that SIS system from UC Berkeley. DIET encoding program is
changes its state instantly with the change of one of its in- available from University of Massachusetts, Amherst (6).
puts; it is set to state ON with logic value 1 on input S (set) State minimizer and encoder STAMINA is available from the
and reset to state OFF with logic value 1 on input R (reset). University of Colorado. In general, much information about
The disadvantage of such a latch is a nonspecified behavior public domain or inexpensive programs for state machine de-
when both set and reset inputs are active at the same time. sign is available on the World Wide Web (WWW).
Therefore, synchronization signals are added to latches and Because the minimized table is not necessarily the best
more powerful edge-triggered or master-slave circuits are candidate for state assignment, in some systems the phases
built, called the synchronized flip-flops. The most popular flip- of state minimization and state assignment are combined into
flop is a D-type flip-flop. It has a clock input C, a data input a single phase and only partial state minimization results as
D, and two outputs, Q and Q. Output Q is always a negation a byproduct of state assignment of a nonminimized table (15).
of Q. The present state of input D (i.e., the excitation function This means that some compatible states may be assigned the
D of the flip-flip) becomes the next state of output Q upon same code. This is done to minimize some complex cost func-
a change of the flip-flop’s clock. Therefore, we can write an tions, which may take into account all kinds of optimizations
equation, Q⬘ ⫽ D, where Q⬘ is the new state of the flip-flop. of logic realizing this machine: area, speed, power consump-
The state changes may occur at the raising slope or the falling tion, testability, and so on. State assignment is a very impor-
slope of the clock. The moment of change is irrelevant from tant design phase that links the stages of abstract and struc-
the point of view of design methodologies, and modern meth- tural synthesis of state machines. It can influence greatly the
ods assume that all change moments are of the same type. It cost of the solution [for instance, in FPGA or programmable
is not recommended to design a sequential circuit that array logic (PAL) technologies]. It can improve dramatically
changes its states with both leading and falling slopes. A T- the testability of designs, and n out of k codes are used for
566 LOGIC DESIGN

this task. For FPGAs good results are usually achieved by tion under cycle-time constraints (18). It has also been used
encoding machines in 1 out of k (or, one-hot) codes. for low power design and as a general optimization technique
in architectural and logic synthesis.
State Machine Decomposition Finally, approaches have been created that combine these
different synthesis and decomposition methods, with the tech-
Another methodology of designing sequential circuits is de- nology for which they are applied, such as EPLD, FPGA, PLA,
composition. There are basically two methods of decomposi- ROM, or custom design. Design methods have also been cre-
tion. Formal decomposition of state machines is a generaliza- ated to realize finite state machines with RAMs, ROMs, and
tion of functional decomposition of Boolean and multivalued content addressable memories (CAM).
functions. Most of the decomposition methods are based on
the partition theory (12), a mathematical theory also used in
state assignment. This theory operates on groups of states MICROPROCESSOR-BASED DESIGN
that have some similar properties and groups of states to
which these states transit under a given input. Other de- A microprocessor-based design involves a design of a digital
composition methods operate on state graphs of machines, as system which contains one or more microprocessors.
on labeled graphs in the sense of graph theory. They use
graph-theoretical methods to partition graphs into smaller What Is a Microprocessor?
subgraphs that are relatively disconnected. Yet another de- A microprocessor is a general-purpose digital circuit. A typical
composition method decomposes the given state table into two microprocessor consists of a data path and a control unit, as
tables: one describes a state machine, such as a counter or shown in Fig. 4. The data coming from the system’s input is
shift register, and the other describes a remainder machine. manipulated in the data path and goes to the system’s output.
For instance, methods have been developed to decompose a The data path contains registers to hold data and functional
state machine to an arbitrary counter and the remainder units to perform data-processing operations. These operations
machine. Another method decomposes a FSM into a special include arithmetic operations, logic operations, and data
linear counter (that uses only D flip-flops and EXOR gates) shifting. The control unit contains a program counter, an in-
and a remainder machine. Yet another decomposition type struction register, and the control logic. The control unit con-
uses two counters, shift registers, fixed preprocessor or post- trols the data path with regard to how to manipulate the data.
processor machines, and many other variants as one of the The microprocessor is operated under the control of soft-
blocks of the decomposition. Each of these methods assumes ware. The software is stored in standard memory devices. The
that there is some kind of elementary component machine software enables the same microprocessor to perform differ-
that can be realized more inexpensively, can be realized in a ent functions.
regular structure, or has a small delay. Finally, methods have A computer system is a combination of hardware and soft-
been developed to decompose a machine into several small ware designed for general-purpose applications. The micro-
machines, each of them realizable in some technology (for in- processor is the major component of a computer. Besides the
stance, as a logic block of a CPLD or a FPGA, or in a single microprocessor, a computer system hardware includes mem-
PAL integrated circuit). ory (RAM and ROM) and input/output devices. Memory can
In addition to formal decomposition methods that operate be a main memory and a microcode memory. Additional cir-
on state tables, there are many informal and specialized de- cuits, contained in FPGAs or special ASICs, and designed us-
composition methods that are either done by hand by the de- ing the previously outlined techniques, can be also incorpo-
signer or are built into VHDL compilers or other high-level rated.
synthesis tools. For instance, many tools can recognize regis-
ters, counters, adders, or shifters in a high-level specification What Is a Microprocessor-Based System?
and synthesize them separately using special methods. Most
of the existing approaches for sequential logic design assume The fundamental microprocessor-based system structure con-
the use of specific types of flip-flops, typically D flip-flops. tains microprocessor, memory, and input/output devices with
There are, however, methods and algorithms, especially used address, data, and control buses (24). A microprocessor-based
in EPLD environments, that take into account other types of system is shown in Fig. 5.
flip-flops, such as JK, RS, and T. The functionalities performed by a microprocessor are de-
To directly convert and decompose high-level descriptions termined by the programs. These programs, commonly called
such as Petri nets, SpecCharts, or regular expressions to net- software, are stored in the memory or off-line storage devices
lists of flip-flops and logic gates, or registers/shifters and logic (e.g., hard drives). There are different technologies used in
equations, special methods have been also created, but are memory: static random-access memory (SRAM), dynamic ran-
not yet very popular in commercial systems. dom-access memory (DRAM), read-only memory (ROM), elec-
If a sequential circuit does not meet the requirements,
there are postprocessing steps such as retiming, re-encoding,
re-synthesis, speed-up, etc. (16,17), which can improve the de-
Microprocessor
sign to achieve a higher performance. For instance, retiming
allows shifting of registers through logic without changing its Control
functionality but affecting the timing. This method is applied Datapath unit
at many description levels of synchronous circuits: behavioral,
register-transfer, architectural, logic, etc. It is most often used
as a structural transformation on the gate-level, where it can Figure 4. A simple microprocessor consisting of a datapath and a
be used for cycle-time minimization or for register minimiza- control unit.
LOGIC DESIGN 567

performance is usually higher, and the cost for software de-


Microprocessor Memory velopment is reduced. Software-intensive approaches, on the
other hand, require more software development and are
slower. In return, the flexibility may be enhanced and the pro-
duction cost is reduced.

Microprocessor Selection
Input/output
There are many different microprocessor product families on
Figure 5. A microprocessor-based system. the market. The number of bits processed in parallel inside
the microprocessor is a primary criterion with which to evalu-
ate the performance of a microprocessor. The low-end prod-
trically programmable read-only memory (EPROM), electri- ucts are 4-bit or 8-bit ones. Typical microprocessors are 16 or
cally erasable programmable read-only memory (EEPROM), 32 bits wide. The 64-bit products are currently entering the
and flash memory. A program stored in a read-only memory market. There are two factors that should be considered in
is called a firmware. microprocessor selection: architecture and development tools.
The basic operation of all microprocessor-based systems is There are two types of microprocessor architectures: com-
the same. The program in the memory is a list of instructions. plex instruction set computer (CISC) and reduced instruction
The microprocessor reads an instruction from the memory, set computer (RISC). A CISC architecture has a larger in-
executes that instruction, and then reads the next in- struction set than a RISC architecture. Besides the instruc-
struction. tion sets, other considerations regarding architecture include
on-chip and off-chip peripherals, operating frequency, and
Logic Design Using a Microcontroller prices.
Development tools for microprocessor-based systems in-
A microcontroller is a complete computer system on a chip. clude in-circuit emulators, logic analyzers, and on-chip debug-
Like the microprocessor, a microcontroller is designed to fetch gers. In-circuit emulators are specially designed hardware
and manipulate the incoming data, and generate control sig- that emulate the microprocessor operations in the target sys-
nals. A microcontroller contains a microprocessor, input/out- tem. An in-circuit emulator has its own microprocessor and
put (I/O) ports, timer/counter, and interrupt-handling circuit. its own memory. During debugging, the tasks are run on an
A typical microcontroller (e.g., 8051) contains both serial and emulator’s microprocessor and memory. The breakpoint can
parallel I/O ports (3). Microcontrollers are widely used in ap- be set by the user through software to trace the system’s oper-
plications like motor control, remote access, telephones and ations. The emulator is connected to a workstation or a PC.
so on. The same microcontroller (e.g., 8051), running different Thus the user can monitor the system’s performance in real
software, can be used for different applications. Conse- time. Logic analyzers are devices that can monitor the logic
quently, the overall product cost of a microcontroller-based values of a target system. They can be used to monitor any
design is much lower than an ASIC-based design. bus, control line, or node in the system, and they monitor the
While microcontrollers are commonly used in control appli- microprocessor passively. On-chip debuggers are software
cations, microprocessors are often used for applications re- programs that can monitor a microprocessor’s on-chip debug-
quiring large amounts of I/O, memory, or high-speed pro- ging registers.
cessing. Such applications include data processing and
complex control applications. For instance, personal comput- Design Process
ers mainly perform data processing.
A microprocessor-based system can be as simple as a liquid
crystal device (LCD) controller and can be as complex as a
Hardware Software Tradeoffs
modern network management system. In spite of the diver-
A logic function can be realized in hardware, as discussed in sity in the system complexity, the design of a microprocessor-
the previous sections, or in software. In most cases, however, based system always starts with a system-level specification.
the required functionalities are realized partially by specially After the system-level functions are clearly defined in the sys-
designed hardware and partially by software. tem specification, the overall function is divided into different
If the hardware is used more than the software, or vice functional blocks. The hardware/software implementation
versa, the designs are referred to as a hardware-intensive de- and the selection of the components for each functional block
sign or a software-intensive design, respectively. A designer will be determined at this stage. At this point, the tradeoff
can make a tradeoff between the hardware- and software- between hardware and software implementation and the
intensive realizations. Performance is usually better with advantage/disadvantage of each component need to be eval-
hardware implementations than with software implementa- uated.
tions for a variety of reasons. The microprocessor is a general- The system design activity is typically divided into hard-
purpose device, and some speed is sacrificed for generality. ware design and software design. Depending on the complex-
Microprocessors perform tasks in sequential fashion. Logic ity of interaction between hardware and software, the two de-
circuits can be designed to perform operations in parallel. signs may need to be tested together in an integrated
Most logic functions occur in tens of nanoseconds. A micropro- environment. The most commonly used tool for the integrated
cessor instruction execution time ranges from several hun- debugging is the in-circuit emulator, often referred to as ICE.
dred nanoseconds to tens of microseconds. An in-circuit emulator can run the software in the target mi-
A hardware-intensive design requires more hardware in croprocessor and provide the capability of monitoring the in-
the system and therefore increases the production cost. The ternal registers. As a result, an in-circuit emulator is an effi-
568 LOGIC DESIGN

requirements are evolving constantly, the flexibility is an im-


Design specification
portant design consideration.
On the other hand, while hardware designs are less flexi-
ble, they usually have better performance. Furthermore, mi-
System design croprocessor-based designs normally require additional de-
vices, including program memory, data memory, and glue
logic. Consequently, the requirements for board space and
Hardware design Software design power consumption may be increased.

BIBLIOGRAPHY
Hardware Software coding
implemation
1. P. Ashar, S. Devadas, and A. R. Newton, Sequential Logic Synthe-
sis, Boston: Kluwer, 1992.
Hardware testing Software testing 2. R. L. Ashenhurst, The Decomposition of Switching Functions,
Proc. of the Symposium on the Theory of Switching, April 2–5,
1957, Ann. Computation Lab., Harvard University, 29, pp. 74–
116, 1959.
System integration
3. K. J. Ayala, The 8051 Microcontroller, Architecture, Program-
ming, and Applications, West Publishing Company, 1991.
4. R. K. Brayton et al., Logic Minimization Algorithms for VLSI Syn-
System testing thesis, Boston: Kluwer, 1984.
5. R. K. Brayton et al., VIS: A System for Verification and Synthe-
Figure 6. The process of a microprocessor-based design. sis, in Computer-Aided Verification, July 1996.
6. M. Ciesielski and J. Shen, A Unified Approach to Input-Output
Encoding for FSM State Assignment, Proc. Design Automation
Conf., 176–181, 1991.
cient tool for debugging the software in real time. It can also
7. H. A. Curtis, A New Approach to the Design of Switching Circuits,
interface with the hardware to provide the real application
Princeton, 1962.
environment. This hardware-software co-verification is in-
8. R. Drechsler et al., Efficient Representation and Manipulation
creasingly important in complex system design. A logic ana-
of Switching Functions Based on Ordered Kronecker Functional
lyzer is also used to trace the signals in a real-time operation. Decision Diagrams, Proc. of the Design Automation Conference,
This signal tracing involves the data storage and manipula- San Diego, CA, June 1994, 415–419.
tion of the signal waveforms. Many in-circuit emulators have 9. A. Ghosh, S. Devadas, and A. R. Newton, Sequential Logic Testing
this capability built into the system. and Verification, Boston: Kluwer, 1992.
A real-time design involves processing of the events at the 10. M. J. C. Gordon and T. F. Melham (eds.), Introduction to HOL: A
speed at which the events occur. A popular example is the theorem proving environment for higher order logic, Cambridge,
display of image data coming from the network. The image UK: Cambridge University Press, 1993.
processing includes image data decompression and displaying 11. G. D. Hachtel and F. Somenzi, Logic Synthesis and Verification
onto the monitor window with a specified location and dimen- Algorithms, Boston: Kluwer, 1996.
sion. A real-time design is often performance demanding and 12. J. Hartmanis and R. E. Stearns, Algebraic Structure Theory of Se-
needs to coordinate different event flows. Interrupt handling quential Machines, Upper Saddle River, NJ: Prentice-Hall, 1996.
can also be complicated. In most cases, the design contains 13. R. H. Katz, Contemporary Logic Design, Menlo Park, CA:
hardware circuit design and one or more processors. The Benjamin/Cummings Publishing Company, 1994.
hardware-software co-design and/or co-verification become 14. Z. Kohavi, Switching and Finite Automata Theory, New York:
imperative in a complex real-time design. McGraw-Hill, 1970.
In summary, a microprocessor-based system design in- 15. E. B. Lee and M. Perkowski, Concurrent Minimization and State
cludes the following design activities: design specification, Assignment of Finite State Machines, Proc. IEEE Conference on
system design, hardware/software tradeoffs, microprocessor Systems, Man and Cybernetics, Halifax, Canada, Oct. 1984, pp.
selection, other IC selection, software design and implementa- 248–260.
tion, hardware design and implementation, hardware testing, 16. C. Leiserson, F. Rose, and J. Saxe, Optimizing Synchronous Cir-
hardware/software integration, hardware and software co- cuitry by Retiming, Third Caltech Conference on VLSI, 1983, pp.
verification, and system testing. Figure 6 shows the stages of 87–116.
the microprocessor-based system design process. 17. G. De Micheli, Synchronous Logic Synthesis: Algorithms for Cycle-
Time Optimization, IEEE Trans. on CAD, 10: (1), Jan. 1991, pp.
Comparing Microprocessor-Based Design and Hardware Design 63–73.
18. G. De Micheli, Synthesis and Optimization of Digital Circuits, New
Microprocessor-based designs have several benefits. Software York: McGraw-Hill, 1994.
control allows easier modification and allows complex control 19. M. Perkowski et al., Decomposition of Multiple-Valued Relations,
functions to be implemented far more simply than with other Proc. ISMVL ’97, Halifax, Nova Scotia, Canada, May 1997, pp.
implementations. A hardware design implementation is for- 13–18.
warded to the manufacturer and needs to be fully tested. A 20. J. P. Roth and R. M. Karp, Minimization over Boolean Graphs,
software implementation is more flexible than a hardware im- IBM Journal Res. and Develop., No. 4, pp. 227–238, April 1962.
plementation. It has the ability to revise the design quickly 21. T. Sasao (ed.), Logic Synthesis and Optimization, Boston: Kluwer,
and easily. Since the standards, specifications, and customer 1993.
LOGIC PROGRAMMING 569

22. T. Sasao and M. Fujita, Representations of Discrete Functions, Bos-


ton: Kluwer, 1993.
23. E. M. Sentovich et al., SIS: A System for Sequential Circuit Syn-
thesis, Tech. Rep. UCB/ERL M92/41, Electronics Research Lab.,
Univ. of California, Berkeley, CA 94720, May 1992.
24. M. Slater, Microprocessor-Based Design, A Comprehensive Guide
to Effective Hardware Design, Englewood Cliffs, NJ: Prentice-
Hall, 1989.
25. M. C. Zhou, Petri Nets in Flexible and Agile Automation, Boston:
Kluwer, 1995.

NING SONG
Lattice Semiconductor Corp.
MAREK A. PERKOWSKI
Portland State University
STANLEY CHEN
Lattice Semiconductor Corp.

LOGIC DESIGN. See also NAND CIRCUITS; NOR CIR-


CUITS.
LOGIC DEVICES, PROGRAMMABLE. See PROGRAM-
MABLE LOGIC DEVICES.
LOGIC, DIODE-TRANSISTOR. See DIODE-TRANSISTOR
LOGIC.
LOGIC, EMITTER-COUPLED. See EMITTER-COUPLED
LOGIC.
LOGIC EMULATORS. See EMULATORS.
LOGIC, FORMAL. See FORMAL LOGIC.
LOGIC, FUZZY. See FUZZY LOGIC.
LOGIC GATES. See INTEGRATED INJECTION LOGIC.
LOGIC, HORN CLAUSE. See HORN CLAUSES.
LOGIC, MAGNETIC. See MAGNETIC LOGIC.
LOGIC, MAJORITY. See MAJORITY LOGIC.
LOGIC NETWORKS. See COMBINATIONAL CIRCUITS.
LOGIC OPTIMIZATION. See LOGIC SYNTHESIS.
LOGIC PROBABILISTIC. See PROBABILISTIC LOGIC.
LOW-PASS FILTERS 619

LOW-PASS FILTERS

A low-pass filter suppresses the high-frequency components


of a signal, leaving intact the low-frequency ones. A low-pass

J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
620 LOW-PASS FILTERS

Attenuation (dB) jω

As

σ
1
–––
RC

Ap

Frequency (Hz)
f1 f2 Figure 3. Pole-zero diagram of the first-order low-pass filter.

Figure 1. Low-pass filter requirements.

Next, compute the quantity

filter specification can be expressed as shown in Fig. 1. In the  100.1 As − 1 


stopband (above f 2 Hz), the attenuation is at least As dB. In log10
kf 
2
the passband (below f 1 Hz), the attenuation is at most Ap dB.
The band from f 1 to f 2 is called the transition band. 2
2 log10
A first-order low-pass filter is shown in Fig. 2. The transfer f1
function is
Choose the order, n, of the filter to be the smallest integer not
1 smaller than the above quantity. Solve for all the left-half-
Vout (s) RC plane roots, Zis, of the equation
=
Vin (s) 1
s+ (−1)n S2n + 1 = 0
RC

The pole-zero diagram is shown in Fig. 3. The Butterworth low-pass transfer function is formed as fol-
An active second-order low-pass filter is shown in Fig. 4. lows:
The circuit is known as the Sallen and Key low-pass circuit. 
1 
The transfer function is TLP (s) = n 

i=1 (S − Zi ) s=k 1/n s/2π f
α 1

Vout (s)
=  1 R1 R2C1C2
1−α
 (1) Example. Find the Butterworth transfer function for a low-
Vin (s) 1 1 pass filter with As ⫽ 15 dB, Ap ⫽ 0.5 dB, f 1 ⫽ 1 kHz, and f 2 ⫽
s2 + + + s+
R1C1 R2C1 R2C2 R1 R2C1C2 5 kHz.

where 움 ⫽ 1 ⫹ r2 /r1. The pole-zero diagram is shown in Fig. 5.


p
k= 100.1(0.5) − 1 = 0.35
An approach to design a circuit (a low-pass filter) whose  100.1(15) − 1 
frequency response satisfies the low-pass requirements shown log10
0.352
in Fig. 1 consists of two steps: approximation of the require-  5k  = 1.72
ments by a transfer function and synthesis of the transfer 2 log10
function. 1k
There are several approximation methods, for instance,
Butterworth, Chebyshev, inverse Chebyshev, and Cauer ap- Choose n ⫽ 2. The left-half-plane roots of the equation
proximations. A transfer function obtained by one method is
different from those obtained by the others, and has different (−1)2 s2(2) + 1 = 0
properties. However, the frequency response of each of the
transfer functions satisfies the low-pass requirements. The
Butterworth approximation method is described below.
Compute the scaling factor k given by the equation below:
 R1 R2
C1
k= 10 0.1 A p
−1
Vin –
+ Vout

C2
R r2
r1
+ +
Vin C Vout
– –

Figure 2. First-order low-pass filter. Figure 4. Sallen and Key low-pass circuit.
LOW-POWER BROADCASTING 621

jω R. W. Daniels, Approximation methods for electronic filter design, New


York: McGraw-Hill, 1974.
G. Morchytz and P. Horn, Active filter design handbook, New York:
Wiley, 1981.
σ
CHIU H. CHOI
University of North Florida

Figure 5. Pole-zero diagram of the Sallen and Key low-pass filter.

are 1/ 兹2(⫺1 ⫾ j). Therefore, the Butterworth transfer func-


tion is
 
 1 

TLP (s) =  √ 

s2 + 2s + 1 s= √0.35s/2π (1000)

which simplifies to

1.128 × 108
TLP (s) =
s2 + 1.502 × 104 s + 1.128 × 108

The above calculations have all been performed before, and


the results are available in tabular and computer program
forms.

The Sallen and Key low-pass circuit can be used to realized


a second-order low-pass transfer function of the form

K
T (s) =
s2 + as + b

Compare T(s) with Eq. (1)

α 1 1 1−α 1
K= a= + + b=
R1 R2C1C2 R1C1 R2C1 R2C2 R1 R2C1C2

Since there are more unknowns than equations, one can as-
sign values to certain unknowns and then solve for the re-
maining unknowns. As an example, choose C1 ⫽ 1, C2 ⫽ 1,
움 ⫽ 2. Then

1 a
K = 2b R1 = R2 =
a b

The impedance-scaling method can be used to scale the values


of R’s and C’s into the practical ranges.
In general, a higher-order low-pass transfer function ob-
tained by Butterworth and Chebyshev approximations can be
factorized into a product of biquadratic functions and possibly
one first-order expression. Each of the biquadratic functions
can be synthesized by using the Sallen and Key or other low-
pass circuits. The first-order transfer function can be realized
by an active or passive circuit. By cascading all the biquad
and first-order circuits together, the low-pass filter is realized.

Reading List
G. Daryanani, Principles of Active Network Synthesis and Design, New
York: Wiley, 1976.
M. E. Van Valkenburg, Analog Filter Design, New York: Holt, Rine-
hart, and Winston, 1982.
MAJORITY LOGIC Of course, three is the minimum number of copies of the
same circuit (or, more generally, module) that we must have
Majority Logic is used to implement a majority decision to allow the MLB’s selection of the majority data value.
mechanism. In particular, an Majority Logic Block (MLB) The use of MLBs and redundant copies of the same mod-
can be regarded as a black box that receives possibly dif- ule characterize the fault-tolerant N-Modular Redundancy
ferent data values at its inputs, and gives, at its output, (NMR) systems where, as previously introduced, N must be
the data value present on the majority of its inputs. For in- ≥ 3. The idea to use N-Modular Redundancy and majority
stance, if a MLB receives the three input data “1”, “1” and logic blocks (also called majority “voting blocks”, or “vot-
“0”, it gives the output data “1”. ers”) to achieve fault-tolerance was first introduced in (2)
MLBs are generally used as component blocks of dig- and has been adopted for several critical applications, such
ital electronic systems devoted to critical operations, like as space exploration missions and nuclear reactor protec-
control systems of nuclear plants, flight control systems of tion (3, 4).
aircrafts, speed control systems of trains, onboard control In the particular case where N = 3, these systems are
systems of satellites, etc. The correct uninterrupted opera- called Triple Modular Redundancy (TMR) systems. Hence
tion of these systems is mandatory because their malfunc- a TMR system consists of: (1) three copies of the original,
tion could cause catastrophic consequences, such as loss of non fault-tolerant module, that concurrently process the
human life or huge economical loss. To avoid such losses, same information (where a module is simply a circuit, a
the system must be designed to guarantee its correct be- gate, or even a microprocessor, depending on system’s re-
havior (that is, the behavior expected in the fault-free case) lated choices), (2) n MLBs (where n is the number of out-
despite the occurrence of internal faults. puts of the replicated module), each comparing bit-by-bit
In fact, electronic systems are prone to faults. Faults oc- the corresponding outputs of the three replicated modules,
cur during the system’s manufacturing process and during and producing at its output the majority data value among
its operation. For instance, in the presence of a high elec- those at its inputs (Figure 1). Moreover, suitable techniques
trical field, high current density within a circuit metal line are generally adopted at the system level to avoid exhaust-
might cause the line to break. This might make the faulty ing all of the system’s redundancy as modules get succes-
circuit provide an output datum different from the correct sively faulty.
one, for example, a “0” rather than a “1”. If the faulty signal, Obviously, MLBs play a critical role in the fault-tolerant
for instance, is a signal that activates the alarm device of systems described. In fact, the correct operation of the
a train’s speed control system when equal to “1”, it is obvi- whole system is compromised if an MLB becomes faulty.
ous that a faulty “0” may cause the whole system to become For instance, it is obvious that, if the output of an MLB is
ineffective with possible catastrophic consequences. affected by a stuck-at “1” fault (for instance, because of a
Unfortunately, faults of this kind (and faults of a dif- short between an output line and the circuit power supply),
ferent kind) might occur within a digital electronic sys- the faulty MLB always gives an output “1”, regardless of
tem. The likelihood of their occurrence is reduced by using the data values at its inputs (that is, also when the data
proper electronic components, but ensuring that they never value on the majority of its inputs is a “0”). Similar prob-
occur is impractical. lems may occur because of different kinds of faults possibly
Hence, if the correct operation of a system is crucially affecting the input (5), internal and output lines/nodes of
important, some precautions must be taken to avoid the a MLB.
catastrophic consequences that faults might produce. The In the remainder of this article we consider the problem
techniques used to reach this goal are generally called of designing MLBs for TMR and NMR systems and the case
fault-tolerant techniques. of faulty MLBs.
In particular, to guarantee that a system tolerates its
possible internal faults (that is, to ensure that no system
malfunction occurs because of such faults), redundancy is MAJORITY LOGIC FOR TRIPLE MODULAR
used. As an example, to guarantee that a train’s speed con- REDUNDANCY SYSTEMS
trol system tolerates faults in the circuit activating the
alarm device, redundant copies of such a circuit are used. As previously introduced, an MLB can be regarded as a
If these redundant copies are properly isolated from one black box that must produce at its output the datum value
another, a single fault during the system operation affects present on the majority of its inputs. To distinguish such
only one copy, hence only this copy provides incorrect (or a majority datum value, an MLB must have at least three
faulty) output data, whereas the other copy (or copies) gives inputs. When this is the case, the MLB must satisfy the
correct output data. truth table shown in Table 1, where Z denotes the output
However, it should be obvious that redundancy alone is of the MLB, and X = (X1 , X2 , X3 ) is the MLB input vector.
not sufficient to ensure that a system tolerates its possi- Hence, the MLB can be implemented (at the logic level)
ble internal faults. To reach this goal, we need some deci- by a two-level NAND-NAND (Figure 2) or NOR-NOR (Fig-
sion criterion that allows us to determine which data value, ure 3) circuit. Equivalently, a two level AND-OR, or OR-
among those present at the output of the redundant copies, AND implementation can be considered (6).
can be regarded as correct, and which one(s) as incorrect. Of course, the electrical level implementations of these
This decision criterion normally is the majority criterion, MLBs depend on the technology adopted. As a significant
implemented by an MLB. example, if the Complementary MOS (CMOS) technology
is used, the NAND-NAND majority logic block can be im-

J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright © 2007 John Wiley & Sons, Inc.
2 Majority Logic

Figure 1. Representation of a Triple


Modular Redundancy (TMR) system.

Figure 2. NAND-NAND logical implementation of a majority logic


block for a TMR system.

Figure 3. NOR–NOR logical implementation of a majority logic


block for a TMR system.

plemented by means of the circuit shown in Figure 4. Al- alizations considered up to now, these MLBs produce an
ternatively, the circuit shown in Figure 5, for instance, can output error message in case of internal faults that make
be used (6). Other possible electrical implementations of them give an incorrect majority output datum value. The
MLBs for TMR systems (Figure 6 and Figure 7) were pro- behavior of these circuits is described later while dealing
posed in (7, 8). Different from the conventional MLB re- with the problems due to faults affecting MLBs.
Majority Logic 3

Figure 4. Example 1 of a possible CMOS implemen-


tation of the NAND–NAND majority logic block in Fig-
ure 2.

Table 1. Truth Table of a Three-Input Majority Logic Block MAJORITY LOGIC FOR N MODULAR REDUNDANCY
X1 X2 X3 Z SYSTEMS
000 0
001 0 Tto tolerate E errors in the vector X = (X1 , X2 ,. . . , XN ) given
010 0 to the input of an MLB of an NMR system, N and E must
011 1 satisfy the following equation (9):
100 0
101 1 N ≥ 2 · E + 1.
110 1
111 1 In fact, when this is the case, the number of erroneous bits
E is smaller than the number of correct bits (N − E).
Barbour and Wojcik (6) added an upper bound to the
value of N of an NMR system tolerating E errors. In par-
As regards the number of errors in X tolerated by a gen- ticular, they transformed Eq. (1) into:
eral TMR system, it is evident that, if only one of the MLB
input data is incorrect (that is either X1 , X2 , or X3 ), the MLB 2 · E + 1 ≤ N ≤ (E + 1)2 .
produces the correct output datum value. Instead, if two Moreover, they proposed possible two-level implementa-
input data are incorrect, the MLB produces the incorrect tions of MLBs for NMR systems, whose values of N and E
output datum value. Hence, a TMR system tolerates only a satisfy Eq. (2). These are the implementations most widely
single error on the corresponding outputs of the replicated used for MLBs of general NMR systems.
modules. If a higher number of errors must be tolerated (be- In particular, the first level of the proposed MLBs con-
cause of system level requirements) an NMR system with N
n MLBs (where n is the number of outputs of the replicated sists of ( ) AND (or OR, or NAND, or NOR) gates, where
K
module), each with N inputs (N > 3), must be used. K is a parameter [called a “grouping parameter” (6)] that
4 Majority Logic

Figure 5. Example 2 of a possible CMOS implementation of the NAND-NAND majority logic block in Figure 2.

Figure 6. Electrical implementation of the majority logic block


giving an output error message in case of internal faults affecting
its correct operation proposed in (7).

must satisfy the following condition: gates are used at the first level.
As an example, the general NAND-NAND implementa-
E + 1 ≤ K ≤ N − E. tion of such an MLB, with generic “grouping parameter” K,
is shown in Figure 8. We can easily verify that, if N = 3 and
N K = 2, this implementation equals that reported in Figure
The inputs to these first level gates are the ( ) combina-
K 2.
tions of K elements out of the N elements of the MLB input
vector X. The derived electrical level implementations of these
The second level of the proposed MLBs consists of a MLBs are straightforward.
simple OR (or AND, or NAND, or NOR) gate, depending An alternative implementation of MLBs for NMR sys-
on whether AND (or OR, or NAND, or NOR, respectively) tems was proposed in (10). These MLBs (Figure 9) are suit-
Majority Logic 5

Figure 7. Electrical implementation of


the majority logic block giving an output
error message in case of internal faults af-
fecting its correct operation proposed in (8).

Figure 8. NAND-NAND logical implementation of a ma-


jority logic block for an NMR system with “grouping pa-
rameter” = K.

able for NMR dynamic systems, that is, in systems where, a TMR system. Note that in this and in the following fig-
differing from the conventional cases considered until now, ures, we use a common symbol to represent n MLBs, and
the number of processed replicated modules (hence the the n outputs of the replicated modules, respectively. It is
number of inputs of the MLBs) can be dynamically changed obvious that, if this scheme is adopted, faults affecting one
during the system’s operation. of the replicated MLBs that make it produce incorrect out-
put data can be tolerated. However, similar to the case of
replicated modules only, in this case the problem of dis-
PROBLEMS IN CASE OF FAULTY MAJORITY LOGIC tinguishing the datum value provided by the majority of
the replicated MLBs also arises. Of course, if other MLBs
As previously mentioned, if the MLB of a TMR (NMR) sys- (receiving the outputs of the replicated MLBs) are used to
tem becomes faulty, it might produce at its output an in- fulfill this purpose, the problem discussed here is simply
correct majority datum value, hence making the adoption translated to these final MLBs.
of the TMR (NMR) fault-tolerant technique useless. An alternative strategy for dealing with this problem is
To deal with this problem, MLBs can be themselves to renounce the fault-tolerance requirement of the MLBs
replicated (11), as schematically shown in Figure 10 for
6 Majority Logic

To reduce these costs in TMR systems, the MLB intro-


duced in (7) or (8) can be adopted.
The electrical structure of the MLB in (7) is shown in
Figure 6, where CKS and CKS denote a periodic signal
whose period is T and an opposite waveform, respectively.
This MLB provides an output error message when in-
ternal faults occur that make it give an output incorrect
majority datum value. This behavior is guaranteed for all
MLB node stuck-at, transistor stuck-on, transistor stuck-
open and resistive bridging faults (whose values of the con-
necting resistance are in the range 0  to 6 k).
In the fault-free case, this MLB gives as its output: (1)
a signal equal to CKS if the majority of its input signals is
equal to “0”; (2) a signal equal to CKS if the majority of its
input signals is equal to “1” (Table 2). Hence, in the fault-
free case, this MLB gives at its output a signal assuming
both high and low logic values within the same period T. In
particular, the logic value produced when CKS = 1 is equal
to the majority datum value (MV in Table 2) among those
given to its input during such a period T.
Figure 9. Majority logic block suitable to NMR dynamic systems
Table 2. Truth Table of the Majority Logic Block in (6), and Corresponding
introduced in (11).
Majority Datum Value

X1 X2 X3 Z MV
and to require only that the MLBs give an output error 000 CKS 0
message in case of internal faults making them produce 001 CKS 0
incorrect output data. Such an error message can be ex- 010 CKS 0
ploited at the system level to avoid the dangerous conse- 011 CKS 1
quences possibly resulting from incorrect MLB operation. 100 CKS 0
For instance, if an error message is received by one of the 101 CKS 1
MLBs of a train’s speed control system, a system level re- 110 CKS 1
111 CKS 1
covery procedure can be automatically started, eventually
making the train stop.
MLBs of this kind can be found in (1–8).
In particular, in (1), the MLBs are duplicated (Figure Instead, in case of an internal fault of the kind previ-
11), and their outputs are given to the inputs of a compara- ously reported, either the MLB is not affected by the fault
tor that verifies whether or not such outputs are equal to (that is it continues providing the correct majority output
one another. In the case of a disagreement, the compara- data), or it produces an output error message (in partic-
tor gives an output error message. It is obvious that this ular a signal that does not change logic value within T).
strategy guarantees that, in case of faults affecting an MLB If a fault does not affect the MLB and following internal
that make it produce erroneous majority output data, the faults occur, either the MLB is not affected by these in-
comparator gives an output error message. ternal faults or it provides an output error message. This
However, compared with the case where single MLBs condition holds for all possible sequences of internal faults
are used, this solution implies an inevitable increase of the under the general assumptions that internal faults occur
area overhead and power consumption costs of the fault- one at a time during the operation of an integrated circuit
tolerant system. and that the time interval elapsing between two succeed-

Figure 10. Triplicated MLB scheme proposed in


(12). In priciple, triplication of the MLB allows faults
that could possibly affect one of the replicated MLBs
to be tolerated. In practice, problems arise in correctly
discriminating the value given by the majority of the
replicated MLBs.
Majority Logic 7

Figure 11. Duplicated MLB scheme presented in (1). Faults affecting one of the duplicated MLBs
can not be tolerated, but can be detected by the output comparator.

Figure 12. Detecting scheme possibly adopted to avoid the exhaustion of a TMR system redun-
dancy. The detectors (DTRi , i = 1, 2, 3) reveal the disagreement between the outputs of the replicated
modules and the majority output data given by the MLBs.

ing faults is long enough to allow the fault-free modules to data value. This behavior is guaranteed for all MLB’s node
produce both high and low output data values. stuck-at, transistor stuck-on, transistor stuck-open and re-
The presence of an error message at the output of this sistive bridging faults (with values of the connecting re-
MLB can be simply revealed. For instance a flip-flop sam- sistance in the range 0  to 6 k), but for the bridging
pling the MLB output on both the CKS rising and falling fault between its two outputs, which should consequently
edges (12) can be used. be avoided, for instance by proper design of the circuit lay-
This MLB implementation can also be extended to NMR out.
systems (13). In this case, compared with the electrical In the fault-free case, this MLB gives as its output: (1)
structure shown in Figure 6: (1) the number of parallel pull- (Z1i , Z2i ) = (1, 1), if the majority of its input signals is equal
3 N to “0”; (2) (Z1i , Z2i ) = (0, 0), if the majority of its input signals
up/pull-down branches changes from ( ) to ( ), (2)
2 (N + 1)/2 is equal to “1”.
each branch consists of (N + 1)/2 series transistors, rather In case of internal faults of the kind previously reported,
than of 2. Obviously these conditions may limit the maxi- this MLB behaves as the MLB in (7), with the difference
mal value of N for which this implementation can be con- that the provided error message is an output word with
veniently used. Z1i = Z2i , rather than a a signal that does not change logic
The electrical structure of the MLB in (8) is shown in value within T (as for the MLB in (7)).
Figure 11, where CKS and CKS denote a periodic signal Compared to the MLB in (7), the MLB in (8) features
with period T, and an opposite waveform, respectively. the advantage of being faster (offering a reduction of the
Similarly to the MLB in (7), also this MLB provides input/output propagation delay of approximately the 80%),
an output error message in case of the occurrence of in- thus being more suitable to high speed, high reliability sys-
ternal faults making it give an output incorrect majority tems, while requiring comparable power consumption. This
8 Majority Logic

is achieved at the cost of a small increase in area overhead Arrays, IEEE Trans. Circuits Syst. I, Fundam. Theory Appl.,
(14). Additionally, as proven in (14), the MLB in (8) contin- vol.43,pp. 143–152,February 1996.
ues to work properly (i.e., either it continues to provide the 11. P. K. Lala, Fault Tolerant and Fault Testable Hardware Design,
correct majority vote, or it produces an output error mes- Englewood Cliffs, NJ: Prentice-Hall International, 1985.
sage) even when affected by high leakage currents, which 12. M. Afghahi and J. Yuan, Double edge triggered D flip-flop for
are expected to become a major concern for dynamic CMOS high speed CMOS circuits, IEEE J. of Solid State Circuit,
circuits. vol.SC-26,pp. 1168–1170,August 1991.
As previously introduced, suitable techniques must be 13. C. Metra, M. Favalli, and B. Riccò, On-Line Self-Testing Voting
also adopted to avoid exhausting the TMR (NMR) system’s and Detecting Schemes for TMR Systems, J. of Microelectronic
redundancy because modules become successively faulty. Systems Integration, vol.5, no. 4,pp. 261–273, 1997.
To fulfill this purpose, detectors that reveal the disagree- 14. J.-M. Cazeaux, D. Rossi, C. Metra, Self-Checking Voter for
ment between the outputs of the MLBs and of the repli- High Speed TMR Systems, J. of Electronic Testing: Theory and
cated modules can be used (15), as shown schematically in Applications, pp. 377–389, 2005.
Figure 11. A possible electrical implementation of detec- 15. N. Gaitanis, The Design of Totally Self-Checking TMR
tors of this kind, suitable for use together with the MLBs Fault-Tolerant Systems, IEEE Trans. Comput., vol.37,pp.
1450–1454,November 1988.
described, can be found in (13).
Voters operating on a word basis, rather than the tradi- 16. S. Mitra, E. J. McCluskey, Word-Voter: A New Voter Design for
Triple Modular Redundant Systems, IEEE Proc. of the 18th
tional bit-by-bit basis, have also been proposed (16), which
IEEE VLSI Test Symposium,pp. 465–470, 2000.
are able to provide an output error message, should the
17. M. Nicolaidis, Fail-Safe Interfaces for VLSI: Theoretical Foun-
words produced by the three replicated modules of a TMR
dations and Implementation, IEEE Trans. Comput., vol.47,pp.
system differ from each other. 62–77,January 1988.
Finally, several critical applications require that the CECILIA METRA
MLBs of the used TMR (NMR) systems provide “fail-safe” D.E.I.S. University of Bologna,
outputs; that is, signals whose value is either correct or Viale Risorgimento 2,
safe (where, as an example, the red color is the “safe” value Bologna, Italy
for traffic control lights). Possible implementations of this
kind of MLBs can be found in (17).

BIBLIOGRAPHY

1. K. G. Shin and H. Kim, A Time Redundancy Approach to TMR


Failures Using Fault-State Likelihoods, IEEE Trans. Comput.,
vol.43,pp. 1151–1162,October 1994.
2. J. V. Neumann, Probabilistic logics and the synthesis of reli-
able organisms from unreliable components, Automata Stud-
ies, Ann. of Math. Studies, no. 34,pp. 43–98, 1956.
3. C. E. Stroud and A. E. Barbour, Testability and Test Gener-
ation for Majority Voting Fault-Tolerant Circuits, J. of Elec-
tronic Testing: Theory and Applications, Vol.4,pp. 201–214,
1993.
4. D. Audet, N. Gagnon, and Y. Savaria, Quantitative Compar-
isons of TMR Implementations in a Multiprocessor System,
in Proc. of 2nd IEEE Int. On-Line Testing Work.,pp. 196–199,
1996.
5. M. Favalli, and C. Metra, TMR Voting in the Presence of
Crosstalk Faults at the Voter Inputs, IEEE Trans. on Relia-
bility, pp. 342–348,September 2004.
6. A. E. Barbour and A. S. Wojcik, A General, Constructive Ap-
proach to Fault-Tolerant Design Using Redundancy, IEEE
Trans. Comput., pp. 15–29,January 1989.
7. C. Metra, M. Favalli, and B. Riccò, Compact and Low Power
Self-Checking Voting Scheme, in Proc. of IEEE Int. Symp.
on Defect and Fault Tolerance in VLSI Systems,pp. 137–145,
1997.
8. J.-M. Cazeaux, D. Rossi, C. Metra, New High Speed CMOS
Self-Checking Voter, IEEE Proc. of the 10th IEEE Interna-
tional On-Line Testing Symposium,pp. 58–63, 2004.
9. W. H. Pierce, Failure-Tolerant Computer Design, New York:
Academic, 1965.
10. N.-E. Belabbes, A. J. Guterman, and Y. Savaria, Ratioed Voter
Circuit for Testing and Fault-Tolerance in VLSI Processing
410 MATCHED FILTERS

MATCHED FILTERS rameter t. The corresponding output signal and noise


are so(t) and no(t), respectively.
The history of the matched filter can be traced back to more 2. The system is linear and time invariant.
than half a century ago. In 1940s, due to World War II, radar 3. The criterion of optimization is to maximize the output
became a very important detecting device. To enhance the signal-to-noise power ratio. Since noise no(t) is random,
performance of radar, D. O. North proposed an optimum filter its mean squared value E兵no2(t)其 is used as the output
for picking up signal in the case of white-noise interference noise power.
(1). A little bit later, this technique was called matched filter
by Van Vleck and Middleton (2). Dwork (3) and George (4)
Mathematically, this criterion can be written as
also pursued similar work. The filter has a frequency re-
sponse function given by the conjugate of the Fourier trans- s2o (t)
form of a received pulse divided by the spectral density of SNRo = = maximum (1)
E{n2o (t)}
noise. However, the Dwork–George filter is only optimum for
the case of unlimited observation time. It is not optimum if
observations are restricted to a finite time interval. In 1952, at a given time t. The form of the matched filter can be de-
Zadeh and Ragazzini published the work‘‘Optimum filters for rived by finding the linear time-invariant system impulse re-
the detection of signals in noise’’ (5), where they described a sponse function h(t) that achieves the maximization of Eq. (1).
causal filter for maximizing the signal-to-noise ratio (SNR) The mathematical derivation process can be described as
with respect to noise with an arbitrary spectrum for the case follows.
of unlimited observation time, and second for the case of a Since the system is assumed to be linear and time invari-
finite observation interval. Since then, extensive works on ant, the relationship between input signal si(t) and output sig-
matched filters were done in 1950s. A thorough tutorial re- nal so(t) could be written as
view paper called ‘‘An introduction to matched filters’’ (6) was  t
given by Turin. so (t) = si (τ )h(t − τ ) dτ (2)
In the 1960s, due to rapid developments of digital electron- −∞
ics and digital computers, the digital matched filter has ap-
peared (7–9). Turin gave another very useful tutorial paper Similarly, the relationship between input noise ni(t) and out-
in 1976, entitled ‘‘An introduction to digital matched filters’’ put noise no(t) could also be expressed as
(10), in which the class of noncoherent digital matched filters  t
that were matched to AM signals was analyzed. no (t) = ni (τ )h(t − τ ) dτ (3)
At this time, matched filters have become a standard tech- −∞
nique for optimal detection of signals embedded in steady-
state random Gaussian noise. The theory of matched filter Substituting Eqs. (2) and (3) into Eq. (1), the output power
can be found in many textbooks (11–13). SNR can be shown to be
In this article, we will briefly discuss the theory and appli-  2
cation of matched filters. We will start with a continuous in-  t 
 si (τ )h(t − τ ) dτ 
put signal case. Then, we will look at the discrete input signal 
−∞
case. Finally, we will provide some major applications of SNRo =  t  t (4)
matched filters. Rn (τ , σ )h(t − τ )h(t − σ ) dτ dσ
−∞ −∞

THE MATCHED FILTER FOR CONTINUOUS-TIME where Rn(␶, ␴) is the autocorrelation function of the input
INPUT SIGNALS noise ni(t) and is given by

As mentioned previously, the matched filter is a linear filter Rn (τ , σ ) = E{ni (τ )no (σ )} (5)
that minimizes the effect of noise while maximizing the sig-
nal. Thus, a maximal SNR can be achieved in the output. A Now the unknown function h(t) can be found by maximizing
general block diagram of matched-filter system is described Eq. (4). To achieve this goal from Eqs. (4) and (5), one can see
in Fig. 1. To obtain the matched filter, the following condi- that the optimum h(t) (i.e., the matched-filter case) will de-
tions and restrictions are required in the system: pend on the noise covariance Rn(␶, ␴). Since h(t) is required to
be time invariance [i.e., h(t ⫺ ␶) instead of h(t, ␶)], the noise
at least has to be wide-sense stationary [i.e., Rn(t, ␶) ⫽ Rn(t ⫺
1. The input signal consists of a known signal si(t) and an
␶)]. To obtain the optimum filter, based on the linear system
additive random noise process ni(t) with continuous pa-
theory (13), Eq. (4) can be rewritten as
 ∞ 2
 
 H( f )S( f )e df 
iωt 0
Input Output 
si(t) + ni(t) so(t) + no(t) SNRo = −∞∞ (6)
Matched filter
h(t) |H( f )|2 Pn ( f ) df
−∞

Figure 1. The block diagram of the matched filter in continuous where H( f) ⫽ F [h(t)] is the Fourier transform of the impulse
time. response function h(t) (i.e., the transfer function of the sys-

J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
MATCHED FILTERS 411

tem), S( f) ⫽ F [s(t)] is the Fourier transform of the known where C is an arbitrary real positive constant, t0 is the time
input signal s(t), 웆 ⫽ 2앟f is angular frequency, to is the sam- of the peak signal output. This last result is one of the reasons
pling time when SNRo is evaluated, and Pn( f) is the noise why h(t) is called a matched filter since the impulse response
power spectrum density function. To find the particular H( f) is ‘‘matched’’ to the input signal in the white-noise case.
that maximizes SNRo, we can use the well-known Schwarz Based on the preceding discussion, the matched filter theo-
inequality, which is rem can be summarized as follows: The matched filter is the
 2  linear filter that maximizes the output signal-to-noise power

 ∞  ∞ ∞
ratio and has a transfer function given by Eq. (12).
 A( f )B( f ) d f  ≤ |A( f )|2 df |B( f )|2 df (7)
 In the previous discussion, the problem of the physical re-
−∞ −∞ −∞
alizability is ignored. To make this issue easier, we will start
where A( f) and B( f) may be complex functions of the real vari- with the white-noise case. In this case, the matched filter is
able f. Furthermore, equality is obtained only when physically realizable if its impulse response vanishes for neg-
ative time. In terms of Eq. (15), this condition becomes
A( f ) = kB∗ ( f ) (8) 
0, t<0
h(t) = (16)
where k is any arbitrary constant and B*( f) is the complex si (t0 − t), t ≥ 0
conjugate of B( f). By using the Schwarz inequality to replace
the numerator on the right-hand side of Eq. (6) and letting where t0 indicates the filter delay, or the time between when
A( f) ⫽ H( f)兹Pn( f) and B( f) ⫽ S( f)ei웆t0 / 兹Pn( f), Eq. (6) becomes the filter begins receiving the input signal and when the max-
 ∞  ∞ imum response occurs. Equation 16 also implies that s(t) ⫽ 0,
|S( f )|2
|H( f )|2 Pn ( f ) df df t ⬎ t0, i.e., the filter delay must be greater than the duration
−∞ Pn ( f )
SNRo ≤ −∞  ∞ (9) of the input signal. As an example, let us consider the follow-
|H( f )|2 Pn ( f ) df ing signal corrupted by additive white noise. The known input
−∞ signal has the form

In addition, because Pn( f) is a non-negative real function, Eq. Bebt , t < 0, B, b > 0
(9) can be further simplified into si (t) = (17)
0, t≥0
 ∞
|S( f )|2
SNRo ≤ df (10) Substituting Eq. (17) into Eq. (16), the impulse response of
−∞ Pn ( f )
matched filter h(t) is
The maximum SNRo is achieved when H( f) is chosen such  b(t −t )
that equality is attained. This occurs when A( f) ⫽ kB*( f), that Be 0 , t ≥ t0
h(t) = (18)
is, 0, t < t0

√ ∗
kS ( f )e−iωt 0 The physical realizability requirement can be simply satisfied
H( f ) Pn ( f ) = √ (11)
Pn ( f ) by letting t0 ⱖ 0. The simplest choice is t0 ⫽ 0 so that h(t) has
a very simple form
Based on Eq. (11), the transfer function of the matched filter  −bt
H( f) can be derived as Be , t ≥ 0
h(t) = (19)
0, t<0
S∗ ( f ) −iωt
H( f ) = k e 0 (12)
Pn ( f )
The output signal so(t) of the system can be obtained by sub-
stituting Eqs. (17) and (19) into Eq. (2). The calculated result
The corresponding impulse response function h(t) can be eas-
of so(t) is
ily obtained by taking the inverse Fourier transform of Eq.
(12), that is, 

 B2 bt
 ∞  ∞ 
 2b e , t < 0
S∗ ( f ) iw(t−t ) so (t) = (20)
h(t) = H( f )eiωt df = k e 0 df (13) 
−∞ −∞ Pn ( f ) 
 B2 −bt
 e , t>0
2b
In the matched-filter case, the output SNRo is simply ex-
pressed as To give an intuitive feeling about the results above, Figs.
 2(a)–2(c) illustrate the input signal si(t), matched filter h(t),

|S( f )|2 and output signal so(t). From Fig. 2(c), indeed, one can get the
max{SNRo } = df (14)
−∞ Pn ( f ) maximum signal at time t ⫽ t0 ⫽ 0. Note that, in Fig. 2, we
have assumed the following parameters: B ⫽ b ⫽ 1. The phys-
For the case of white noise, the Pn( f) ⫽ N0 /2 becomes a con- ical implementation of this simple matched filter can be
stant. Substituting this constant into Eq. (13), the impulse achieved by using a simple RC circuit as illustrated in Fig. 3,
response of the matched filter has a very simple form in which the time constant of the RC circuit is RC ⫽ 1.
In many real cases, the input noise may not be white noise
h(t) = Csi (t0 − t), (15) and the designed matched filter may be physically unrealiza-
412 MATCHED FILTERS

ble. Now, let us look at another example with color noise (11). Input R Output
We assume that the input signal si(t) has a form of
 si(t) + ni(t) C so(t) + no(t)
e−t/2 − e−3t/2 , t > 0
si (t) = (21)
0, t<0 Figure 3. Implementation of the discussed example in text using a
RC circuit. This figure shows that the continuous time matched filter
and the input noise is wide-sense stationary with power spec- can be physically implemented by using a simple RC circuit.
tral density

4
Pn ( f ) = (22) input signal can be shown to be
1 + 4(2π f )2
4
To obtain the matched filter, first, we take the Fourier trans- Si (2πf ) = (23)
(1 + i4πf )(3 + i4πf )
form of input signal si(t). Based on Eq. (21), the spectrum of
Substituting Eqs. (22) and (23) into Eq. (12), the transfer
function of matched filter H( f) can be derived as
Input signal
S∗ ( f ) −iωt
H( f ) = k e 0
1 Pn ( f )
(24)
0.8 1 + i4πf −iωt
=k e 0
3 − i4πf
Si (t)

0.6

0.4 To simplify the expression, we let the arbitrary constant


k ⫽ 1 for the later derivations. By taking the inverse Fourier
0.2
transform of Eq. (24), the impulse response of the matched
filter is
–4 –2 0 2 4
t
h(t) = −δ(t − t0 ) + 2e(t−t 0 )3/2 u(t0 − t) (25)
(a)

where u(t) is the unit step function. Note that this filter is not
Matched filter
physically realizable because it has a nonzero value for t ⬍
0. To solve this problem, one method is to take a realizable
1
approximation by letting h(t) ⫽ 0 for t ⬍ 0. In this case, the
0.8 approximated matched filter ha(t) can be expressed as
h (t)

0.6 ha (t) = h(t)u(t)


(26)
0.4 = −δ(t − t0 ) + 2e(t−t 0 )3/2 u(t0 − t)u(t)
0.2
Then, the output spectrum So( f) of the output signal so(t) due
–4 –2 0 2 4 to this approximated matched filter is
t

(b) So ( f ) = Si ( f )Ha ( f ) (27)

Output signal
where Ha( f) is the Fourier transform of ha(t). Again, by taking
the inverse Fourier transform of Eq. (27), the output signal
so(t) can be derived as
1

0.8 so (t) = − e−3t 0 /2 e−t/2 u(t) + 23 e−3(t 0 +t )/2 u(t)


(28)
So (t)

0.6 − 13 e−3(t 0 −t )/2 u(−t) + 13 e−3(t 0 −t )/2


0.4
Again, to have an intuitive feeling about this example, Fig. 4
0.2 illustrates the input signal si(t), the ideal physically unrealiz-
able matched filter h(t), approximated realizable matched fil-
–4 –2 0 2 4 ter ha(t), and the output signal so(t) obtained with the approxi-
t
mated filter.
(c) For the purpose of convenience, we assume that t0 ⫽ 1 for
Figure 2. A simple matched-filter example for white noise and con- these plots. From Fig. 4(d), one can see that, indeed, the out-
tinuous time. (a) Input signal. (b) Matched filter. (c) Output signal. put signal has a maximum value at t ⫽ t0 ⫽ 1. However, there
This figure provides an intuitive feeling about using matched filter is no guarantee that this approximated filter is the optimum
for continuous time signal processing. filter. In fact, it is shown that, a better output SNR can be
MATCHED FILTERS 413

Input signal achieved for this problem if the prewhitening technique is em-
ployed for the signal detection (11).
1 Before the end of this section, we want to point out that,
0.8 in practical terms, it is impossible to design an optimal
matched filter for any signal which has an infinite time dura-
Si(t)

0.6 tion because it requires infinite delay time. However, the


0.4 above examples are very fast exponential decaying signal, for
0.2 which one can make the delay time long enough so that opti-
mality can be approached to any desired degree. In other
–4 –2 0 2 4 words, the practically ‘‘realizable’’ matched filter only exists
t
for a time limited function. From this point of view, mathe-
(a)
matically speaking, the above two examples both have infinite
time duration. Thus, even for the second example, it becomes
Ideal matched filter unrealizable. However, since there are extremely fast expo-
3
2
nentially decaying signals, optimality can be achieved to any
1 desired degree. In this sense, example 2 can be treated as
0 ‘‘realizable’’ matched filter. Finally, since t0 represents the de-
h(t)

–1 lay time of the filter in the above examples, in practice, it


–2 must be selected longer than the time duration of the target
–3 signal. For the sole purpose of simplicity, in the above exam-
–4 ples, the simple values (that are not strict in the mathemati-
–4 –2 0 2 4 cal sense) of t0 are selected.
t
(b)
THE MATCHED FILTER FOR DISCRETE-TIME INPUT SIGNALS

Approximated matched filter In recent years, with rapid developments of the digital com-
3 puters, digital signal processing becomes more and more pow-
2 erful. Some major advantages of using digital signals as com-
1 pared to their analog forms are the high accuracy, high
0 flexibility, and high robustness. Right now, the matched filter
ha(t)

–1 can be easily implemented with the digital computer in real


–2 time. To implement the filter with digital computer, one has
–3
to deal with the discrete signal instead of continuous signal.
–4
In this case, for the same linear time invariant system as de-
–4 –2 0 2 4 scribed in Fig. 1, the relationship between the output signal
t so(t) and input signal si(t) has changed from the continuous-
(c) time form Eq. (2) to the following discrete time form (11):


j
Output signal by ha(t) filter so j = h j−k sik (29)
1
k=−∞
0.8
where sik represents the input signal at time k (k ⫽ 0, ⫾1, ⫾2,
0.6 . . .), hk is the discrete impulse response function of the lin-
So(t)

0.4 ear, time-invariant matched filter, and soj is the corresponding


discrete output signal at time j. In other words, the integra-
0.2 tion in Eq. (2) has been replaced by the summation in Eq.
(29). Similarly, in the discrete-time case, the Eq. (3) is rewrit-
–4 –2 0 2 4 ten as
t
(d) 
j
no j = h j−k nik (30)
Figure 4. Example of a matched filter with color noise for a continu- k=−∞
ous-time signal. (a) Input signal. (b) Ideal matched filter. (c) Approxi-
mated matched filter. (d) Output signal with approximated matched Again, our objective is to find the optimum form of matched
filter. This figure illustrates how to deal with color noise with filter so that the output signal-to-noise power ratio will be
matched filter.
maximum at some time q. Mathematically, it can be written
as

s2oq
SNRo = = maximum (31)
E{n2oq }
414 MATCHED FILTERS

To find hk, we let maximim SNR, symbolized as SNRomax, equal The input noise is additive white noise with autocorrelation
a constant 1/움. Since SNRomax represents the maximum power function
ratio, it has to be larger than 0, i.e., 움 ⬎ 0. Substituting this 
assumption into Eq. (31), one can obtain  No
= 1, k = 0
Rn (k) = 2 (41)
s2oq 1 0, k=
0
SNRo = ≤ SNRomax = (32)
E{n2oq } α
Substituting Eqs. (40) and (41) into Eq. (39), we have
Equation (32) can be rewritten as

eq−k , k≥q
E{n2oq } − αs2oq =C≥0 (33) hk = si(q−k) = (42)
0, k<q
where C is a positive real constant and the equality holds
only for the optimum matched filter. To find this matched fil- Substituting Eqs. (40) and (42) into Eq. (29), the output sig-
ter, one can substitute Eqs. (29) and (30) into Eq. (33). Then, nal soj can be derived as
one can get
 2 1
   so j = e−| j| , j = 0, ±1, ±2, . . . (43)

q 
q

q
 1 − e2
Rn (k − j)hq−k hq− j −α sik hq−k  = C ≥ 0
k=−∞ j=−∞
k=−∞ 
Again, to have an intuitive feeling about this result, Figs.
(34)
5(a), 5(b), and 5(c) illustrate the discrete input signal sik, the
where Rn(k ⫺ j) is the autocorrelation function of the input discrete matched filter hk, and the discrete output signal soj.
noise ni and C’ is another positive constant. Note that, in the To get the simplest form in Fig. 5, we assumed q ⫽ 0.
process of deriving Eq. (34), we already assume that the input Equation (36) only deals with the physically realizable
noise is at least wide-sense stationary. Under this assump- case. In general, Eq. (36) will be written as (11)
tion, the following condition holds:


Rn (k − j) = Rn ( j − k) = E{nk n j } (35) Rn (k − j)hq− j = sik (44)


j=−∞

Since the equality holds in Eq. (34) when hk is an optimum


In Eq. (44), we have replaced the limit q by 앝. To get the
matched filter regardless of the detail forms of input signal
general form of a discrete matched filter for nonwhite noise,
and noise, it can be shown that the following equation can be
we take the z transform on both size of Eq. (44) and use the
derived under this condition (11):
convolution theorem for z transforms. Then, Eq. (44) can be

q shown to be (14)
Rn (k − j)hq− j = sik (36)
j=−∞
z−q Pn (z)H(1/z) = Si (z) (45)
To make our discussion easy to be understood, we start with
the simple white-noise case. In this case, the autocorrelation where
function can be simply written as


 Pn (z) = Rn (k)z−k
No /2, k = 0
Rn (k) = (37) k=−∞
0, k
= 0 ∞
H(z) = hk z−k (46)
k=−∞
Substituting Eq. (37) into Eq. (36), we obtain
∞
N0 Si (z) = sik z−k
h = sik (38) k=−∞
2 q−k

To get a simpler expression of hk, we let l ⫽ q ⫺ k. Then, Eq. represent the power density spectrum, z transform of a dis-
(38) can be rewritten as crete matched filter, and z transform of discrete input signal.
To obtain the z transform of a discrete matched filter H(z),
2 Eq. (45) is rewritten as
h1 = s (39)
No i(q−l)
Si (1/z) −q
Comparing Eq. (39) with Eq. (15), one can see that Eq. (39) is H(z) = z (47)
Pn (z)
exactly the discrete form of Eq. (19).
As an example, let us consider a discrete input signal sik to
In deriving Eq. (47), we have used a property of power density
be given by
spectrum, that is, Pn(z) ⫽ Pn(1/z). Theoretically speaking, the

ek , k ≤ 0 discrete matched filter in the time domain (that is, the im-
sik = (40) pulse response function of discrete matched filter) can be ob-
0, k > 0 tained by taking the inverse z transform of Eq. (47) (14), that
MATCHED FILTERS 415

Discrete input filter is


 
1 1 Si (1/z) −q k−1
hk = H(z)zk−1 dz = z z dz (48)
1 2πi  2πi  Pn (z)

0.8 where ⌫ represents a counterclockwise contour in the region


of convergence of H(z) enclosing the origin. Note that, similar
Sik

0.6 to the continuous-time case, the discrete matched filter de-


fined by Eqs. (47) and (48) may not be realizable for arbitrary
0.4
input signal and noise because hk will not vanish for negative
values of the index k.
0.2
To implement the color noise effectively, the prewhitening
technique is used (11). In this approach, the input power den-
–4 –2 0 2 4 sity spectrum Pn(z) is written as the multiplication of two
k facts Pn⫹(z) and Pn⫺(z), that is,
(a)
Pn (z) = Pn+ (z)Pn− (z) (49)

Discrete matched filter


where Pn⫹(z) has all of the poles and zeros of Pn(z) that are
inside the unit circle and Pn⫺(z) has all the poles and zeroes of
1 Pn(z) that are outside the unit circle. By this definition, it is
easy to show that
0.8
Pn+ (z) = Pn− (1/z) (50)
hk

0.6
Note that, in the time domain, Pn⫹(z) corresponds to a discrete-
0.4 time input signal that vanishes for all times t ⬍ 0. Similarly,
Pn⫺(z) corresponds to a discrete-time input signal that van-
0.2 ishes for all time t ⬎ 0. This property can be easily proven in
the following way. Assume that nk is a discrete-time function
that vanishes on the negative half-line; that is,
–4 –2 0 2 4
k nk = 0, k < 0 (51)
(b)
If nk is absolutely summable, that is, if
Discrete output signal

∞ 

| fk | = | fk | < ∞ (52)
k=−∞ k=0
1
then, the z transform of this discrete function nk becomes
Normalized Soj

0.8

∞ 

0.6 N(z) = nk z−k = nk z−k (53)
k=−∞ k=0
0.4
From Eq. (53), one can see that the function N(z) exists every-
0.2 where when 兩z兩 ⱖ 1. Hence, the poles of N(z) will all be inside
the unit circle. Thus, Pn⫹(z) corresponds to a discrete-time in-
put signal that vanishes for all time t ⬍ 0. Similarly, it can
–4 –2 0 2 4 be shown that Pn⫺(z) corresponds to a discrete-time input sig-
j nal that vanishes for all time t ⬎ 0. Assume Hpw(z) is the
(c) prewhitening filter. Based on the definition of prewhitening
filter, Hpw(z) for the noise power spectrum Pn(z) must satisfy
Figure 5. An example of matched filter for the white noise in dis- (11)
crete time. (a) Discrete input signal. (b) Discrete matched filter. (c)
Discrete output signal. This figure gives an intuitive feeling about  +  
Pn (z)Hpw (z) Pn− (z)Hpw (1/z) = 1 (54)
using matched filter for discrete time signal processing.

From Eq. (54), one can conclude that the prewhitening filter
is

1
Hpw (z) = (55)
Pn+ (z)
416 MATCHED FILTERS

iy ing about the time signal detection by a matched filter, let


Unit us consider the following simple example. For the purpose of
circle convenience, the ideal input signal is assumed to be a normal-
1 ized sinc function, that is, sinc(t) ⫽ sin(앟t)/앟t, as shown in
x x x
α α
Fig. 7(a). This ideal signal is embedded into an additive
e– e broadband white noise. The corrupted signal is shown in Fig.
7(b). Figure 7(c) shows the system output when this corrupted
Figure 6. Pole locations of the power spectrum density.

Ideal input sinc signal


Because Pn⫹(z) corresponds to a discrete-time input signal that 3
vanishes for all time t ⬍ 0, the impulse response hpwk of this
prewhitening filter will vanish for k ⬍ 0. Hence, the prewhit- 2.5
ening filter Hpw(z) is physically realizable. For example, let us 2
consider a color noise with power density spectrum
1.5

Si (t)
N e2α 1
Pn (z) = 0 α , α>0 (56)
2 (e − z−1 )(eα − z) 0.5

Equation (56) shows that Pn(z) contains poles both inside and 0
outside the unit circle. As discussed in the early part of this –0.5
section, this Pn(z) can be written as the multiplication of
Pn⫹(z) and Pn⫺(z). For the purpose of convenience and symme- –4 –2 0 2 4
try, we let t

 (a)
No eα
Pn+ (z) =
2 eα − z−1 Input signal with noise
 (57) 3
No eα
Pn− (z) =
2 eα − z 2.5
2
Based on Eq. (57), it is easy to show that Pn⫹(z) has a pole at
Si (t) + ni (t)

z ⫽ e⫺움 (11). Since 움 ⬎ 0, z ⫽ e⫺움 ⬍ 1. In other words, this 1.5


pole is inside the unit circle. Similarly, Pn⫺(z) has a pole at 1
z ⫽ e움 that is a real number greater than unity. Figure 6
0.5
illustrates these pole locations of above power spectral den-
sity Pn(z) in the complex plane. In the figure, we assume that 0
z ⫽ x ⫹ iy. For this particular example, the poles are on the –0.5
real axis.
When applying this prewhitening technique to the discrete –4 –2 0 2 4
matched filter, Eq. (47) will be rewritten as t
  (b)
1 Si (1/z) −q
H(z) = + z (58)
Pn (z) Pn− (z)
Matched filter output
3
Equation (58) is the multiplication of two terms. The first
2.5
term is the prewhitening filter and the second term is the
remainder of the unrealizable matched filter. Note that this 2
So (t) + no (t)

multiplication is equivalent to put two linear systems in tan- 1.5


dem. Similar to the continuous-time case, this remaining un-
realizable filter can be made realizable by throwing away the 1
part that does not vanish for negative time. 0.5
0
APPLICATIONS OF A MATCHED FILTER
–0.5

As mentioned in the first part of this article, the major appli-


–4 –2 0 2 4
cation of the matched filter is to pick up the signal in a noisy t
background. As long as the noise is additive, wide-sense sta-
(c)
tionary, and the system is linear and time invariant, the
matched filter can provide a maximum output signal-to-noise Figure 7. Results of the matched filter acting on an input signal
power ratio. The signal can be a time signal (e.g., radar sig- with sinc function embedded in white noise. (a) Ideal input signal. (b)
nal) or spatial signals (e.g., images). To have an intuitive feel- Signal with noise. (c) Matched-filter output.
MATCHED FILTERS 417

signal passes through the matched filter. From Fig. 7(c), one put in the spectrum domain, that is, (p, q) domain, becomes
can see that the much better signal-to-noise power ratio can
be achieved by applying matched filter for the signal detection T (p, q)H(p, q) = K  T (p, q)S∗ (p, q) (63)
as long as the noise is additive at least wide-sense station-
ary noise. Assume that the final system output is g(x⬘, y⬘), where (x⬘,
Besides applying matched filters for the time-signal detec- y⬘) are the spatial coordinates in the output spatial domain.
tion (such as the radar signal previously mentioned), they can Based on the discussion in the section titled ‘‘The Matched
also be used for spatial signal detection (15–17). In other Filter for Continuous-Time Input Signals,’’ g(x⬘, y⬘) can be ob-
words, we can use a matched filter to identify specific targets tained by taking the inverse Fourier transform of Eq. (63),
under the noisy background. Thousands of papers have been that is,
published in this field. To save space, here, we just want to
provide some basic principles and simple examples of it. Since  +∞  ∞
 
spatial targets, in general, are two-dimensional signals, the g(x , y ) = T (p, q)S∗ (p, q)ei( px +qy ) dp dq (64)
−∞ −∞
equations developed for the one-dimensional time signal
needs to be extended into the two-dimensional spatial signal.
Note that when matched filter is applied to the 2-D spatial In Eq. (64), if the input unknown function t(x, y) is the same
(or image) identification, this filtering process can be de- as the prestored function s(x, y), Eq. (64) becomes
scribed simply as a cross-correlation of a larger target image  
+∞ ∞
(including the noisy background) with a smaller filter kernel.  
g(x , y ) = S(p, q)S∗ (p, q)ei( px +qy ) dp dq
To keep the consistency of the mathematical description, a −∞ −∞
similar derivation process (used for the 1-D time-signal case)  +∞  ∞
(65)
 +qy  )
is employed for the 2-D spatial signal. Assume that the target = |S(p, q)|2 ei( px dp dq
−∞ −∞
image is a two-dimensional function s(x, y) and this target
image is embedded into a noisy background with noise distri-
In this case, the system output g(x⬘, y⬘) is the Fourier
bution n(x, y). Thus, the total detected signal f(x, y) is
transform of the power spectrum 兩S(p, q)兩2, which is an en-
tirely positive real number so that it will generate a big out-
f (x, y) = s(x, y) + n(x, y) (59)
put at the original point (0, 0). Notice that, in recent years,
due to the rapid development of digital computers, most 2-D
Similar to the one-dimensional time signal case, if f(x, y) is a filtering can be carried out digitally at relatively fast speed.
Fourier-transformable function of space coordinates (x, y) and However, to have an intuitive feeling about 2-D filtering, an
n(x, y) is an additive wide-sense stationary noise, the matched optical description about this filtering process that was widely
filter exists. It can be shown that H(p, q) has a form of (15) used in the earlier stage of image identification (15) is pro-
vided. Optically speaking, the result described by Eq. (65) can
S∗ (p, q) be explained in the following way. When the input target t(x,
H(p, q) = k (60)
N(p, q) y) is same as the stored target s(x, y), all the curvatures of
the incident target wave are exactly canceled by the matched
where S*(p, q) is the complex conjugate of the signal spec- filter. Thus, the transmitted field, that is, T(p, q)S*(p, q), in
trum, N(p, q) is the spectral density of the background noise, the frequency domain, is a plane wave (generally of nonuni-
k is a complex constant, and (p, q) are corresponding spatial form intensity). In the final output spatial domain, this plane
angular frequencies. Mathematically, S(p, q) and N(p, q) are wave is brought to a bright focus spot g(0, 0) by the inverse
expressed as Fourier transform as described in Eq. (65). However, when
the input signal t(x, y) is not s(x, y), the wavefront curvature
 +∞  ∞
will in general not be canceled by the matched filter H(p, q)
S(p, q) = s(x, y)e−i( px+qy) dx dy
−∞ −∞
in the frequency domain. Thus, the transmitted light will not
 +∞  ∞ be brought to a bright focus spot in the final output spatial
N(p, q) = n(x, y)e−i( px+qy) dx dy domain. Thus, the presence of the signal s(x, y) can conceivably
−∞ −∞ (61)
 +∞  ∞ be detected by measuring the intensity of the light at the focal
  point of the output plane. If the input target s(x, y) is not lo-
F (p, q) = s(x, y) + n(x, y) e−i( px+qy) dx dy
−∞ −∞ cated at the center, the output bright spot simply shifts by a
= S(p, q) + N(p, q) distance equal to the distance shifted by s(x, y). Note that this
is the shift-invariant property of the matched filter. The pre-
For the purpose of simplicity, we assume that the input ceding description can also mathematically be shown by
noise n(x, y) is white noise. In this case, Eq. (60) is reduced to Schwarz’s inequality. Based on the cross-correlation theorem
the simpler form of Fourier transform (17), Eq. (64) can also be written in the
spatial domain as
H(p, q) = k S∗ (p, q) (62)  
+∞ ∞
g(x , y ) = t(x, y)s∗ (x − x , y − y ) dx dy (66)
where k⬘ is another constant. Now, assume that there is an −∞ −∞
input unknown target t(x, y). Then, the corresponding spec-
trum is T(p, q). When this input target passes through the which is recognized to be the cross-correlation between the
matched filter H(p, q) described by Eq. (62), the system out- stored target s(x, y) and the unknown input target t(x, y). By
418 MATCHED FILTERS

intensity function is defined as


 +∞  ∞ 2
 
 t(x, y)s ∗
(x − x 
, y − y 
) dx dy
 
−∞ −∞
 +∞  ∞  +∞  ∞ (70)
|t(x, y)|2 dx dy |s(x, y)|2 dx dy
−∞ −∞ −∞ −∞

Based on Eq. (69), we obtain


 +∞  ∞ 2
 
 t(x, y)s (x − x , y − y ) dx dy
∗  

−∞ −∞
 +∞  ∞  +∞  ∞ ≤1 (71)
|t(x, y)|2 dx dy |s(x, y)|2 dx dy
−∞ −∞ −∞ −∞

with the equality if and only if s(x, y) ⫽ t(x, y). Thus, one can
conclude that the normalized correlation intensity function
has a maximum value 1 when the unknown input target t(x,
y) is same as the stored target s(x, y). In other words, if there
is a 1 detected in the normalized correlation intensity func-
tion, we know that the unknown input target is just our
stored target. Therefore, this unknown target is recognized.
Again, to have an intuitive feeling about the pattern recog-
nition with matched filter, let us look at the following exam-
ple. Figure 8(a) shows a triangle image that is used to con-
Figure 8. Autocorrelation results of the matched filter application to struct the matched filter. Mathematically speaking, this
pattern recognition. (a) Stored training image. (b) Absolute value of image is s(x, y). Then, the matched filter S*(p, q) is synthe-
the matched filter. (c) Unknown input target. (d) Autocorrelation in-
tensity distribution. (e) Three-dimensional surface profile of autocor-
relation intensity distribution. This figure shows that there is a sharp
correlation peak for autocorrelation.

applying Schwarz’s inequality into Eq. (66), we have


  2
 +∞ ∞ 
 t(x, y)s∗ (x − x , y − y ) dx dy

−∞ −∞
 +∞  ∞  +∞  ∞
≤ |t(x, y)|2 dx dy |s(x − x , y − y )|2 dx dy
−∞ −∞ −∞ −∞
(67)

with the equality if and only if t(x, y) ⫽ s(x, y). Because the
integral limit is ⫾앝 in Eq. (67), by letting x ⫽ x ⫺ x⬘, y ⫽
y ⫺ y⬘, we have
 +∞  ∞  +∞  ∞
|s(x − x , y − y )|2 dx dy = |s(x, y)|2 dx dy
−∞ −∞ −∞ −∞
(68)

Substituting Eq. (68) into Eq. (67), we have


  2
 +∞ ∞ 
 t(x, y)s∗ (x − x , y − y ) dx dy

−∞ −∞
 +∞  ∞  +∞  ∞
≤ |t(x, y)|2 dx dy| |s(x, y)|2 dx dy (69)
−∞ −∞ −∞ −∞
Figure 9. Cross-correlation results of the matched filter applied to
pattern recognition. (a) Stored training image. (b) Absolute value of
with the equality if and only if t(x, y) ⫽ s(x, y). To recognize the matched filter. (c) Unknown input target. (d) Cross-correlation
the input target, we can use the normalized correlation inten- intensity distribution. (e) Three-dimensional surface profile of cross-
sity function as the similarity criterion between the unknown correlation intensity distribution. This figure shows that there is no
input target and the stored target. The normalized correlation sharp correlation peak for cross correlation.
MATHEMATICAL PROGRAMMING 419

sized based on this image. Figure 8(b) shows the absolute 11. J. B. Thomas, An Introduction To Communication Theory and
value of this matched filter. When the unknown input target System, New York: Springer-Verlag, 1988, p. 202.
t(x, y) is the same triangle image as shown in Fig. 8(c), Fig. 12. J. H. Karl, An Introduction to Digital Signal Processing, New
8(d) shows the corresponding autocorrelation intensity distri- York: Academic Press, 1989, p. 217.
bution on the output plane. Figure 8(e) depicts the corre- 13. L. W. Couch, Digital and Analog Communication Systems, New
sponding three-dimensional surface profile of the autocorrela- York: Macmillan, 1990, p. 497.
tion intensity distribution. From this figure, one can see that, 14. L. B. Jackson, Digital Filters and Signal Processing, Boston:
indeed, there is a sharp correlation peak in the correlation Kluwer Academic Publishers, 1989, p. 34.
plane. However, if the unknown input target t(x, y) is not the 15. A. Vander Lugt, Signal detection by complex spatial filtering,
same image used for the matched-filter construction, the cor- IEEE Trans. Inf. Theory, IT-10, 139–145, 1964.
relation result is totally different. As an example, Figs. 9(a) 16. SPIE Milestone Series on Coherent Optical Processing, edited by
and 9(b) show the same stored image and matched filter. Fig- F. T. S. Yu and S. Yin (eds.), Bellingham, WA: SPIE Optical Engi-
ure 9(c) shows a circular image used as the unknown input neering Press, 1992.
target. Figures 9(d) and 8(e) illustrate the cross-correlation 17. S. Yin, et al., Design of a bipolar composite filter using simulated
intensity distribution and corresponding three-dimensional annealing algorithm, Opt. Lett. 20: 1409–1411, 1995.
surface profile. In this case, there is no sharp correlation 18. F. T. S. Yu, Optical Information Processing, New York: Wiley-
peak. Therefore, from the correlation peak intensity, one can Interscience, 1983, p. 10.
recognize the input targets. In other words, one can tell 19. X. Yu, I. Reed, and A. Stocker, Comparative performance analysis
whether the unknown input target is the stored image or not. of adaptive multispectral detectors, IEEE Trans. Signal Process.,
Before the end of this section, we would like to point out that, 41, 2639, 1993.
besides the 2-D matched filter, in recent years, 3-D (spatial-
spectral) matched filters were also developed. Due to space SHIZHUO YIN
limitations, we can not provide a detail description about this FRANCIS T. S. YU
University Park, PA
work. Interested readers are directed to papers such as the
one written by Yu et al. (19).

MATCHING. See BROADBAND NETWORKS.


CONCLUSION
MATERIALS. See FUNCTIONAL AND SMART MATERIALS.
In this article, we have briefly introduced some basic concepts
MATERIALS, CONDUCTIVE. See CONDUCTING MATE-
RIALS.
of the matched filter. We started our discussion with the con-
tinuous-time matched filter. Then we extended our discussion MATERIALS EVALUATION. See EDDY CURRENT NONDE-
to the discrete-time input signals. After that, some major ap- STRUCTIVE EVALUATION.
plications of the matched filters such as the signal detection MATERIALS, FUNCTIONAL. See FUNCTIONAL AND
and pattern recognition were addressed. SMART MATERIALS.
MATHEMATICAL LINGUISTICS. See COMPUTATIONAL
LINGUISTICS.
BIBLIOGRAPHY
MATHEMATICAL OPTIMIZATION. See MATHEMATI-
1. D. O. North, Analysis of the factors which determines signal/ CAL PROGRAMMING.
noise discrimination in radar, Technical Report No. PtR-6C,
Princeton, NJ: RCA Laboratories, 1943.
2. J. H. Van Vleck and D. Middleton, A theoretical comparison of
visual, aural and meter reception of pulsed signals in the pres-
ence of noise. J. Appl. Phys., 17: 940–971, 1946.
3. B. M. Dwork, Detection of a pulse superimposed on fluctuation
noise, Proc. IRE, 38: 771–774, 1950.
4. T. S. George, Fluctuations of ground clutter return in air-borne
radar equipment, J. IEE, 99: 92–99, 1952.
5. L. Z. Zadeh and J. R. Ragazzini, Optimum filters for the detection
of signals in noise, Proc. IRE, 40: 1123–1131, 1952.
6. G. L. Turin, An introduction to matched filters, IRE Trans. Inf.
Theory, IT-6: 311–329, 1960.
7. C. R. Cahn, Performance of digital matched filter correlation with
unknown interference, IEEE Trans. Commun. Technol. COM-19:
Part II, 1163–1172, 1971.
8. D. J. Gooding, A digital matched filter for signals of large time-
bandwidth product. Technical Report No. 16, Waltham, MA: Syl-
vania Communications System Laboratory, February 1969.
9. K. Y. Chang and A. D. Moore, Modified digital correlator and its
estimation errors, IEEE Trans. Inf. Theory, IT-16: 699–700, 1970.
10. G. L. Turin, An introduction to digital matched filters, Proc.
IEEE, 64: 1092–1112, 1976.
324 MIXER CIRCUITS

MIXER CIRCUITS

A frequency mixer inputs two frequencies—a radio frequency


(RF) and a local oscillator (LO) frequency—mixes them, and
produces their difference frequency and sum frequency. The
output signal is tuned by a filter, and one of the two output
frequencies is selected: the difference or the sum. When the
output difference frequency is an intermediate frequency (IF),
the mixer is usually called a downconversion frequency mixer,
and when the output sum frequency is a high frequency, it is
usually called an upconversion frequency mixer.
A frequency mixer is fundamentally a multiplier, because
the analog multiplier outputs a signal proportional to the
product of the two input signals. Therefore, a frequency mixer
is represented by the symbol for the multiplier, as shown in
Fig. 1.

RF IF

LO
Figure 1. A symbol for a frequency mixer. The symbol for a multi-
plier is used.

J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
MIXER CIRCUITS 325

The transfer function of a nonlinear element is expressed Multiplier


as
A(t) cos(ω st) Filter A(t) cos[(ω s – ω p)t]

f (u) = a0 + a1 u + a2 u + a3 u + · · · + an u + · · ·
2 3 n
(1)
A(t) cos(ω pt)
The product xy of the two input signals x and y can be derived
A(t) cos(ω st) cos(ω pt) = A(t) cos[(ω s – ω p)t] + cos[(ω s + ω p)t]
from only the second-order term: a2u2, where u ⫽ x ⫹ y, and 2
x and y are the two input signals. The product of the two
Figure 3. A mixer is fundamentally a multiplier. The difference fre-
input signals is produced by a nonlinear element, such as a quency in the IF results from the product of sinusoids.
diode or transistor. For example, single-diode mixers, singly
balanced diode mixers, doubly balanced diode mixers, single-
transistor mixers, singly balanced transistor mixers, and dou- Figure 3 illustrates an ideal analog multiplier with two si-
bly balanced transistor mixers are usually used as frequency nusoids applied to it. The signal applied to the RF port has a
mixers. carrier frequency 웆s and a modulation waveform A(t). The
other, the LO, is a pure, unmodulated sinusoid at frequency
웆p.
APPLICATION TO RECEIVERS Applying some basic trigonometry to the output is found to
consist of modulated components at the sum and difference
Mixers are used to shift the received signal to an intermediate frequencies. The sum frequency is rejected by the IF filter,
frequency, where it can be amplified with good selectivity, leaving only the difference.
high gain, and low noise, and finally demodulated in a re- Fortunately, an ideal multiplier is not the only device that
ceiver. Mixers have important applications in ordinary low- can realize a mixer. Any nonlinear device can perform the
frequency and microwave receivers, where they are used to multiplying function. The use of a nonideal multiplier results
shift signals to frequencies where they can be amplified and in the generation of LO harmonics and in mixing products
demodulated most efficiently. Mixers can also be used as other than the desired one. The desired output frequency
phase detectors and in demodulators, and must perform these component must be filtered from the resulting chaos.
functions while adding minimal noise and distortion. Another way to view the operation of a mixer is as a
Figure 2 shows, for example, the block diagram of a VHF switch. Indeed, in the past, diodes used in mixers have been
or UHF communication receiver. The receiver has a single- idealized as switches operated at the LO frequency. Figure
stage input amplifier; this preamp, which is usually called an 4(a) shows a mixer modeled as a switch; the switch interrupts
RF amplifier, increases the strength of the received signal so the RF voltage waveform periodically at the LO frequency.
that it exceeds the noise level of the following stage; therefore, The IF voltage is the product of the RF voltage and the
this preamp is also called a low-noise amplifier (LNA). The switching waveform.
first IF is relatively high (in a VHF or UHF receiver, the Another switching mixer is shown in Fig. 4(b). Instead of
widely accepted standard has been 10.7 MHz); this high IF simply interrupting the current between the RF and IF ports,
moves the image frequency well away from the RF, thus
allowing the image to be rejected effectively by the input fil-
ter. The second conversion occurs after considerable amplifi-
cation, and is used to select some particular signal within the S(t)
input band and to shift it to the second IF. Because narrow
S(t)
bandwidths are generally easier to achieve at this lower fre-
quency, the selectivity of the filter used before the detector is VRF VIF
much better than that of the first IF. The frequency synthe- t
sizer generates the variable-frequency LO signal for the first
mixer, and the fixed-frequency LO for the second mixer. (a)

S(t)
Input First mixer First IF Second mixer S(t)
Filter Filter
t
Second LO
First VRF VIF
LO

Frequency Second IF
synthesizer
Filter Demod
(b)
Output
Frequency
Figure 4. Two switching mixers: (a) a simple switching mixer: (b)
set commands
a polarity-switching mixer. The IF is the product of the switching
Figure 2. Double superheterodyne VHF or UHF communication re- waveform s(t) and the RF input, making these mixers a type of multi-
ceiver. plier.
326 MIXER CIRCUITS

the switch changes the polarity of the RF voltage periodically. are often used. The LO and RF signals can be applied to sepa-
The advantage of this mixer over the one in Fig. 4(a) is that rate gates of dual-gate FETs, allowing good RF-to-LO isola-
the LO waveform has no dc component, so the product of the tion to be achieved in a single-device mixer. Dual-gate devices
RF voltage and switching waveform does not include any volt- can be used to realize self-oscillating mixers, in which a single
age at the RF frequency. Thus, even though no filters are device provides both the LO and mixer functions.
used, the RF and LO ports of this mixer are inherently iso- Although silicon devices have distinctly lower transconduc-
lated. Doubly balanced mixers are realizations of the polarity- tance than GaAs, they are useful up to at least the lower mi-
switching mixer. crowave frequencies. In spite of the inherent inferiority of sili-
con to GaAs, silicon MOSFETs do have some advantages. The
primary one is low cost, and the performance of silicon MOS-
SEMICONDUCTOR DEVICES FOR MIXERS FET mixers is not significantly worse than GaAs in the VHF
and UHF range. The high drain-to-source resistance of silicon
Only a few devices satisfy the practical requirements of mixer MOSFETs gives them higher voltage gain than GaAs devices;
operation. Any device used as a mixer must have strong in many applications this is a distinct advantage. Addition-
nonlinearity, electrical properties that are uniform between ally, the positive threshold voltage (in an n-channel enhance-
individual devices, low noise, low distortion, and adequate ment MOSFET), in comparison with the negative threshold
frequency response. The primary devices used for mixers are voltage of a GaAs FET, is very helpful in realizing low-voltage
Schottky-barrier diodes and field-effect transistors (FETs). Bi- circuits and circuits requiring only a single dc supply. Mixers
polar junction transistors (BJT) are also used occasionally, using enhancement-mode silicon MOSFETs often do not re-
primarily in Gilbert-cell multiplier circuits [see Fig. 6(d)], but quire gate bias, and dual-gate MOSFETs offer convenient LO-
because of their superior large-signal-handling ability, higher to-RF isolation when the LO and RF are applied to different
frequency range, and low noise, FET devices such as metal– gates.
oxide–semiconductor FETs (MOSFET), gallium arsenide A MESFET is a junction FET having a Schottky-barrier
(GaAs) metal–semiconductor FETs (MESFET), and high- gate. Although silicon MESFETs have been made, they are
electron-mobility transistors (HEMTs) have been usually pre- now obsolete, and all modern MESFETs are fabricated on
ferred. GaAs. GaAs is decidedly superior to silicon for high-frequency
The Schottky-barrier diode is the dominant device used in mixers because of its higher electron mobility and saturation
mixers. Because Schottky-barrier diodes are inherently capa- velocity. The gate length is usually less than 0.5 애m, and may
ble of fast switching, have very small reactive parasitics, and be as short as 0.1 애m; this short gate length, in conjunction
do not need dc bias, they can be used in very broadband mix- with the high electron mobility and saturation velocity of
ers. Schottky-barrier-diode mixers usually do not require GaAs, results in a high-frequency, low-noise device.
matching circuits, so no tuning or adjustment is needed. HEMTs are used for mixers in the same way as conven-
Although mixers using Schottky-barrier diodes always ex- tional GaAs FETs. Because the gate IV characteristic of a
hibit conversion loss, transistor mixers are capable of conver- HEMT is generally more strongly nonlinear than that of a
sion gain. This helps simplify the architecture of a system, MESFET, HEMT mixers usually have greater intermodula-
often allowing the use of fewer amplifier stages than neces- tion (IM) distortion than FETs. However the noise figure (NF)
sary in diode-mixer receivers. of an HEMT mixer usually is not significantly lower than that
Since the 1950s, bipolar transistors have dominated mixer of a GaAs FET. An HEMT is a junction FET that uses a het-
applications as single-transistor mixers in AM radio and com- erojunction (a junction between two dissimilar semiconduc-
munication receivers. In particular, an analog multiplier con- tors), instead of a simple epitaxial layer, for the channel. The
sisting of a doubly balanced differential amplifier, called the discontinuity of the bandgaps of the materials used for the
Gilbert cell, was invented in the 1960s. Since then, the Gil- heterojunction creates a layer of charge at the surface of the
bert-cell mixer has been used as a monolithic integrated cir- junction; the charge density can be controlled by the gate volt-
cuit (IC) for AM radio receivers and communication equip- age. Because the charge in this layer has very high mobility,
ment. Silicon BJTs are used in mixers because of their low high-frequency operation and very low noise are possible. It
cost and ease of implementation with monolithic ICs. These is not unusual for HEMTs to operate successfully as low-noise
bipolar devices are used as mixers when necessary for process amplifiers above 100 GHz. HEMTs require specialized fabri-
compatibility, although FETs generally provide better overall cation techniques, such as molecular beam epitaxy, and thus
performance. Silicon BJTs are usually used in conventional are very expensive to manufacture. HEMT heterojunctions
single-device or singly and doubly balanced mixers. Progress are invariably realized with III–V semiconductors; AlGaAs
in the development of heterojunction bipolar transistors and InGaAs are common.
(HBT), which use a heterojunction for the emitter-to-base
junction, may bring about a resurgence in the use of bipolar Passive Diode Mixers
devices as mixers. HBTs are often used as analog multipliers
Figure 5 shows the most common form of the three diode-
operating at frequencies approaching the microwave range;
mixer types: a single-device diode mixer, a singly balanced
the most common form is a Gilbert cell. Silicon–germanium
diode mixer, and a doubly balanced diode mixer. Conversion
(Si–Ge) HBTs are a new technology that offers high perfor-
loss of 6 to 8 dB is usually accepted in these passive mixers.
mance at costs close to that of silicon BJTs.
A variety of types of FETs are used in mixers. Since the
Active Transistor Mixers
1960s, silicon MOSFETs (often dual-gate devices) have domi-
nated mixer applications in communication receivers up to Active transistor mixers have several advantages, and some
approximately 1 GHz. At higher frequency, GaAs MESFETs disadvantages, in comparison with diode mixers. Most sig-
MIXER CIRCUITS 327

Because transistors cannot be reversed, as can diodes, bal-


anced transistor mixers invariably require an extra hybrid at
the IF. This can be avoided only by using a p-channel device
instead of an n-channel device, or vice versa; however, this is
RF ω RF ω IF IF possible only in silicon circuits, and even then the characteris-
tics of p- and n-channel devices are likely to be significantly
different.

Bipolar Junction Transistor Mixers. Figure 6 shows BJT mix-


ω LO ers: a single-device BJT mixer, a singly balanced BJT mixer,
a differential BJT mixer, and a doubly balanced BJT mixer.
LO In a single-device BJT mixer [Fig. 6(a)], the input signals
(a)
are introduced into the device through the RF LO diplexer,
which consists of an RF bandpass filter, an LO bandpass fil-
ter, and two strips, ␭ /4 long at the center of the RF and LO
frequency ranges; the square-law term of the device’s charac-
teristic provides the multiplication action. A single-device
BJT mixer achieves a conversion gain of typically 20 to 24 dB,
a noise figure of typically 4 to 5 dB (which is about 3 dB more
RF
than that of the device in the amplifier at the RF), and a third
IF intercept point near 0 dBm. The IM product from this type of
single-device BJT mixer usually depends on its collector cur-
rent, but when the supplied collector-to-emitter voltage, VCE,
is not enough (typically, below 1.2 V), the IM product in-
creases as VCE decreases.
LO A singly balanced BJT upconversion mixer [Fig. 6(b)] con-
sists of two BJTs interconnected by a balun or hybrid. The
(b)
two collectors are connected through a strip, ␭ /2 long at the
center of the LO frequency range, for reducing the LO leak-
age. This upconversion mixer exhibits 16 dB conversion gain
and 12 dB LO leakage suppression versus the wanted RF out-
LO D2 D3 put level at 900 MHz.
A singly balanced BJT differential mixer [Fig. 6(c)] con-
D1 D4
sists of an emitter-coupled differential pair. The RF is super-
posed on the tail current by ac coupling through capacitor
C2, and the LO is applied to the upper transistor pair, where
capacitive degeneration and ac coupling substantially reduce
the gain at low frequencies. Note that the circuit following
IF C2 is differential and hence much less susceptible to even-
order distortion.
A multiplier circuit [Fig. 6(d)] conceived in 1967 by Barrie
Gilbert and widely known as the Gilbert cell (though Gilbert
RF himelf was not responsible for his eponymy; indeed, he has
(c) noted that a prior art search at the time found that essen-
tially the same idea—used as a ‘‘synchronous detector’’ and
Figure 5. The three most common diode-mixer types: (a) single-de- not as true mixer—had already been patented by H. Jones)
vice, (b) singly balanced, (c) doubly balanced.
is usually used as an RF mixer and sometimes as a micro-
wave mixer.
Ignoring the basewidth modulation, the relationship be-
nificantly, an active mixer can achieve conversion gain, while tween the collector current IC and the base-to-emitter voltage
VBE for a BJT is
diode and other passive mixers always exhibit loss. This
 
allows a system using an active mixer to have one or two VBE
fewer stages of amplification; the resulting simplification is IC = IS exp (2)
VT
especially valuable in circuits where small size and low cost
are vital. A precise comparison of distortion in diode and ac- where VT ⫽ kT/q is the thermal voltage, k is Boltzmann’s con-
tive transistor mixers is difficult to make because the compar- stant, T is absolute temperature in kelvin, and q is the charge
ison depends on the details of the system. Generally, however, of an electron. IS is the saturation current for a graded-base
it is fair to say that distortion levels of well-designed active transistor.
mixers are usually comparable to those of diode mixers. Assuming matched devices, the differential output voltage
It is usually easy to achieve good conversion efficiency in of the Gilbert cell is
active mixers. Thus, active transistor mixers have gained a    
VRF VLO
reputation for low performance. Nevertheless, achieving good VIF = −RL IEE tanh tanh (3)
overall performance in active transistor mixers is not difficult. 2VT 2VT
328 MIXER CIRCUITS

Matching
RF
network
Matching Q1
LO
network
λ
2

LPF IF
RF BPF Matching IF
network
λ
Q1 Q2
4
LO BPF λ
4

(a) (b)

VCC
VCC

RL RL
Vo
VIF
Q3 Q4

VLO
Q1 Q2 Q3 Q4
VLO

C2
VRF Q1
Q5 Q5
VRF
C1
IO

(c) (d)
Figure 6. BJT mixers: (a) a single-device BJT mixer, (b) a singly balanced BJT upconversion
mixer, (c) a singly balanced BJT differential mixer, (d) a doubly balanced BJT mixer consisting
of a Gilbert cell.

For small inputs, must provide an appropriate impedance to the drain of the
FET at the IF and must short-circuit the drain at the RF and
RL IEE especially at the LO frequency and its harmonics.
VIF ≈ − V V (4)
4VT2 RF LO The configuration of a dual-gate mixer [Fig. 7(b)] provides
the best performance in most receiver applications. In this
The product VRFVLO is obtained by the Gilbert cell at small circuit, the LO is connected to the gate closest to the drain
signals. (gate 2), while the RF is connected to the gate closest to the
source (gate 1). An IF bypass filter is used at gate 2, and an
FET Mixers. Figure 7 shows FET mixers: a single-device LO–RF filter is used at the drain. A dual-gate mixer is usu-
FET mixer, a dual-gate FET mixer, a singly balanced FET ally realized as two single-gate FETs in a cascade connection.
mixer, a differential FET mixer, and a doubly balanced FET A singly balanced FET mixer [Fig. 7(c)] uses a transformer
mixer. hybrid for the LO and RF; any appropriate type of hybrid can
In a single-device FET mixer [Fig. 7(a)], the RF–LO be used. A matching circuit is needed at the gates of both
diplexer must combine the RF and LO and also provide FETs. The IF filters provide the requisite short circuits to the
matching between the FET’s gate and both ports. The IF filter drains at the LO and RF frequencies, and additionally pro-
MIXER CIRCUITS 329

IF bypass
IF
IF
matching

IF LO G2 D
IF LO
G D filter matching
LO RF LO & RF
RF
diplexer RF bypass
S RF G1
matching S
LO
(a) (b)

IF LPF IF

MC
IF
balun

IF
LO
LO
LO
balun

RF
MC
RF
(c) (d)

IF

IF
balun

LO
LO
balun

RF
RF Figure 7. FET mixers: (a) a single-device FET mixer, (b) a dual-gate
balun
FET mixer, (c) a singly balanced FET mixer, (d) a differential mixer,
(e) (e) a doubly balanced mixer.

vide IF load impedance transformations. The singly balanced mixers, this mixer consists of two of the singly balanced mix-
mixer of Fig. 7(c) is effectively two single-device mixers inter- ers shown in Fig. 7(d). Each half of the mixer operates in the
connected by hybrids. same manner as that of Fig. 7(d). The interconnection of the
In a differential FET mixer [Fig. 7(d)], the RF is applied to outputs, however, causes the drains of the upper four FETs
the lower FET, and the LO is applied through a balun or hy- to be virtual grounds for both LO and RF, as well as for even-
brid to the upper FETs. This mixer operates as an alternating order spurious responses and IM products.
switch, connecting the drain of the lower FET alternately to
the inputs of the IF balun. An LO matching circuit may be
needed. Because the RF and LO circuits are separate, the IMAGE-REJECTION MIXERS
gates of the upper FETs can be matched at the LO frequency,
and there is no tradeoff between effective LO and RF match- The image-rejection mixer (Fig. 8) is realized as the intercon-
ing. Similarly, the lower FET can be matched effectively at nection of a pair of balanced mixers. It is especially useful for
the RF. An IF filter is necessary to reject LO current. applications where the image and RF bands overlap, or the
A doubly balanced FET mixer [Fig. 7(e)] is frequently used image is too close to the RF to be rejected by a filter. The LO
as an RF or microwave mixer. Like many doubly balanced ports of the balanced mixers are driven in phase, but the sig-
330 MIXER CIRCUITS

RF IF the types of mixers we shall examine inherently reject im-


90° ages, it is possible to create combinations of mixers and hy-
RF 90° LO 90° LO 90° USB brids that do reject the image response.
hybrid
LO
hybrid hybrid It is important to note that the process of frequency shift-
LSB
0° ing, which is the fundamental purpose of a mixer, is a linear
RF IF phenomenon. Although nonlinear devices are invariably used
for realizing mixers, there is nothing in the process of fre-
quency shifting that requires nonlinearity. Distortion and
Figure 8. Image-rejection mixer. spurious responses other than the sum and difference fre-
quency, though often severe in mixers, are not fundamentally
required by the frequency-shifting operation that a mixer per-
nals applied to the RF ports have 90⬚ phase difference. A 90⬚ forms.
IF hybrid is used to separate the RF and image bands. A full
discussion of the operation of such mixers is a little compli- Conversion Efficiency
cated. Mixers using Schottky-barrier diodes are passive components
The most difficult part of the design of an image-rejection and consequently exhibit conversion loss. This loss has a
mixer is the IF hybrid. If the IF is fairly high, a conventional number of consequences: the greater the loss, the higher the
RF or microwave hybrid can be used. However, if the mixer noise of the system and the more amplification is needed.
requires a baseband IF, the designer is placed in the problem- High loss contributes indirectly to distortion because of high
atical position of trying to create a Hilbert-transforming filter, signal levels that result from the additional preamplifier gain
a theoretical impossibility. Fortunately, it is possible to ap- required to compensate for this loss. It also contributes to the
proximate the operation of such a filter over a limited band- cost of the system, since the necessary low-noise amplifier
width. stages are usually expensive.
Mixers using active devices often (but not always) exhibit
MIXING conversion gain. The conversion gain (CG) is defined as

IF power available at mixer output


A mixer is fundamentally a multiplier. An ideal mixer multi- CG = (8)
plies a signal by a sinusoid, shifting it to both a higher and a RF power available to mixer input
lower frequency, and selects one of the resulting sidebands. A
modulated narrowband signal, usually called the RF signal, High mixer gain is not necessarily desirable, because it re-
represented by duces stability margins and can increase distortion. Usually,
a mixer gain of unity, or at most a few decibels, is best.
SRF (t) = a(t) sin(ωst) + b(t) cos(ωst) (5)
Noise
is multiplied by the LO signal function In a passive mixer whose image response has been eliminated
f LO (t) = cos(ωpt) (6) by filters, the noise figure is usually equal to, or only a few
tenths of a decibel above, the conversion loss. In this sense,
the mixer behaves as if it were an attenuator having a tem-
to obtain the IF signal
perature equal to or slightly above the ambient.
SIF (t) = 12 a(t) sin[(ωs + ωp )t] + sin[(ωs − ωp )t]} In active mixers, the noise figure cannot be related easily
(7) to the conversion efficiency; in general, it cannot even be re-
+ 12 b(t) cos[(ωs + ωp )t] + cos[(ωs − ωp )t] lated qualitatively to the device’s noise figure when used as
an amplifier. The noise figure (NF) is defined by the equation
In the ideal mixer, two sinusoidal IF components, called
mixing products, result from each sinusoid in s(t). In receiv- input signal-to-noise power ratio
NF = (9)
ers, the difference-frequency component is usually desired, output signal-to-noise power ratio
and the sum-frequency component is rejected by filters.
Even if the LO voltage applied to the mixer’s LO port is a The sensitivity of a receiver is usually limited by its inter-
clean sinusoid, the nonlinearities of the mixing device distort nally generated noise. However, other phenomena sometimes
it, causing the LO function to have harmonics. Those nonline- affect the performance of a mixer front end more severely
arities can also distort the RF signal, resulting in RF harmon- than noise. One of these is the AM noise, or amplitude noise,
ics. The IF is, in general, the combination of all possible mix- from the LO source, which is injected into the mixer along
ing products of the RF and LO harmonics. Filters are usually with the LO signal. This noise may be especially severe in a
used to select the appropriate response and eliminate the single-ended mixer (balanced mixers reject AM LO noise to
other (so-called spurious) responses. some degree) or when the LO signal is generated at a low
Every mixer, even an ideal one, has a second RF that can level and amplified.
create a response at the IF. This is a type of spurious re- Phase noise is also a concern in systems using mixers. LO
sponse, and is called the image; it occurs at the frequency sources always have a certain amount of phase jitter, or phase
2f LO ⫺ f RF. For example, if a mixer is designed to convert 10 noise, which is transferred degree for degree via the mixer to
GHz to 1 GHz with a 9 GHz LO, the mixer will also convert the received signal. This noise may be very serious in commu-
8 GHz to 1 GHz at the same LO frequency. Although none of nications systems using either digital or analog phase modu-
MIXER CIRCUITS 331

Mixer S(f )
Image
RF IF IF
RF in LNA rejection
filter filter stage
filter

LO
f2 – f1 f1 f2 2f1 2f2 3f1 3f2 f
Figure 9. RF front end.
2f1 – f2 2f1 – f1 f2 + f1 2f1 + f2 2f2 + f1

Figure 10. IF spectrum of intermodulation products up to third or-


lation. Spurious signals may also be present, along with the der. The frequencies f 1 and f 2 are the excitation.
desired LO signal, especially if a phase-locked-loop frequency
synthesizer is used in the LO source. Spurious signals are
usually phase-modulation sidebands of the LO signal, and,
like phase noise, are transferred to the received signal. Fi- nents, however, mixers often employ strongly nonlinear de-
nally, the mixer may generate a wide variety of intermodula- vices to provide mixing. Because of these strong nonlineari-
tion products, which allow input signals—even if they are not ties, mixers generate high levels of distortion. A mixer is
within the input passband—to generate spurious output at usually the dominant distortion-generating component in a
the IF. These problems must be circumvented if a successful receiver.
receiver design is to be achieved. Distortion in mixers, as with other components, is mani-
An ideal amplifier would amplify the incoming signal and fested as IM distortion (IMD), which involves mixing between
incoming noise equally and would introduce no additional multiple RF tones and harmonics of those tones. If two RF
noise. From Eq. (9) such an amplifier would have a noise fig- excitations f 1 and f 2 are applied to a mixer, the nonlinearities
ure equal to unity (0 dB). in the mixer will generate a number of new frequencies, re-
The noise figure of several cascaded amplifier stages is sulting in the IF spectrum shown in Fig. 10. Figure 10 shows
all intermodulation products up to third order; by nth order,
NG2 − 1 NF3 − 1 NFn − 1 we mean all n-fold combinations of the excitation tones (not
NF = NF1 + + + · · · + n (10)
G1 G1 G2 1 Gn including the LO frequency). In general, an nth-order nonlin-
earity gives rise to distortion products of nth (and lower)
where NF is the total noise figure, NFn is the noise figure of order.
the nth stage, and Gn is the available gain of the nth stage. An important property of IMD is that the level of the nth-
From Eq. (10), the gain and noise figure of the first stage order IM product changes by n decibels for every decibel of
of a cascaded chain will largely determine the total noise fig- change in the levels of the RF excitations. The extrapolated
ure. For example, the system noise figure (on a linear scale) point at which the excitation and IMD levels are equal is
for the downconverter shown in Fig. 9 is called the nth-order IM intercept point, abbreviated IPn. This
  dependence is illustrated in Fig. 11. In most components, the
1 NFLNA − 1 1 1 intercept point is defined as an output power: in mixers it is
NF = + + −1
LRF LRF LRF GLNA LIM traditionally an input power.
 
NFM − 1 1 NFM − LI
+ +··· = NFLNA + +···
LRF GLNA LI LRF GLNA LI
(11)
10
where LRF and LI are the insertion losses of the RF filter and
the image-rejection filter, respectively, NFLNA and NFM are the
Intercept
noise figures of the LNA and the mixer, respectively, and point
0
GLNA is the power gain of the LNA. This equation assumes
that the noise figures of the filters are the same as their inser-
POUT dBm

tion losses.
–10 Linear output
Bandwidth level

The bandwidth of a diode mixer is limited by the external IM output


level
circuit, especially by the hybrids or baluns used to couple the –20
RF and LO signals to the diodes. In active mixers, bandwidth
can be limited either by the device or by hybrids or matching
circuits that constitute the external circuit; much the same
factors are involved in establishing active mixers’ bandwidths –30
as amplifiers’ bandwidths. –20 Pl –10 PI 0 IPn 10 20
Pin, dbm
Distortion
Figure 11. The output level of each nth-order IM product varies n
It is a truism that everything is nonlinear to some degree and decibels for every decibel change in input level. The intercept point is
generates distortion. Unlike amplifiers or passive compo- the extrapolated point at which the curves intersect.
332 MIXER CIRCUITS

Given the intercept point IPn and input power level in deci- V(ω ), I(ω )
bels, the IM input level PI in decibels can be found from
 
1 1
PI = P1 + 1 − IPn (12)
n n
ω0 ω –1 ω p ω 1 ω –2 ω –2 2ωp ω 2 ω
where Pl is the input level of each of the linear RF tones Figure 12. Small-signal mixing frequencies 웆n and LO harmonics
(which are assumed to be equal) in decibels. By convention, n웆p. Voltage and current components exist in the diode at these fre-
Pl and PI are the input powers of a single frequency compo- quencies.
nent where the linear output level and the level of the nth-
order IM product are equal; They are not the total power of
all components. For example, Pl is the threshold level for a
These frequencies are shown in Fig. 12. The frequencies are
receiver. The fluctuation of the IMD level is rather small in
separated from each LO harmonic by 웆0, the difference be-
spite of the fluctuations of Pl and IPn.
tween the LO frequency and the RF.

Spurious Responses
MODULATION AND FREQUENCY TRANSLATION
A mixer converts an RF signal to an IF signal. The most com-
mon transformation is Modulation

f IF = f RF − f LO (13) Modulation is the process by which the information content


of an audio, video, or data signal is transferred to an RF car-
rier before transmission. Commonly, the signal being modu-
although others are frequently used. The discussion of fre-
lated is a sine wave of constant amplitude and is referred to
quency mixing indicated that harmonics of both the RF and
as the carrier. The signal that varies some parameter of the
LO could mix. The resulting set of frequencies is
carrier is known as the modulation signal. The parameters of
a sine wave that may be varied are the amplitude, the fre-
f IF = m f RF − nf LO (14)
quency, and the phase. Other types of modulation may be ap-
plied to special signals, e.g., pulse-width and pulse-position
where m and n are integers. If an RF signal creates an in- modulation of recurrent pulses. The inverse process—
band IF response other than the desired one, it is called a recovering the information from an RF signal—is called de-
spurious response. Usually the RF, IF, and LO frequency modulation or detection. In its simpler forms a modulator
ranges are selected carefully to avoid spurious responses, and may cause some characteristic of an RF signal to vary in di-
filters are used to reject out-of-band RF signals that may rect proportion to the modulating waveform: this is termed
cause in-band IF responses. IF filters are used to select only analog modulation. More complex modulators digitize and en-
the desired response. code the modulating signal before modulation. For many ap-
Many types of balanced mixers reject certain spurious re- plications digital modulation is preferred to analog modu-
sponses where m or n is even. Most singly balanced mixers lation.
reject some, but not all, products where m or n (or both) are A complete communication system (Fig. 13) consists of an
even. information source, an RF source, a modulator, an RF chan-
nel (including both transmitter and receiver RF stages, the
Harmonic Mixer antennas, the transmission path, etc.), a demodulator, and an
information user. The system works if the information user
A mixer is sensitive to many frequencies besides those at receives the source information with acceptable reliability.
which it is designed to operate. The best known of these is The designer’s goal is to create a low-cost working system
the image frequency, which is found at the LO sideband oppo- that complies with the legal restrictions on such things as
site the input, of the RF frequency. The mixer is also sensitive transmitter power, antenna height, and signal bandwidth.
to similar sidebands on either side of each LO harmonic. Since modulation demodulation schemes differ in cost, band-
These responses are usually undesired; the exception is the width, interference rejection, power consumption, and so
harmonic mixer, which is designed to operate at one or more forth, the choice of the modulation type is an important part
of these sidebands. of communication system design.
When a small-signal voltage is applied to the pumped di- Modulation, demodulation (detection), and heterodyne ac-
ode at any one of these frequencies, currents and voltages are tion are very closely related processes. Each process involves
generated in the junction at all other sideband frequencies. generating the sum and/or difference frequencies of two or
These frequencies are called the small-signal mixing frequen- more sinsuoids by causing one signal to vary as a direct func-
cies 웆n and are given by the relation tion (product) of the other signal or signals. The multiplica-
tion of one signal by another can only be accomplished in a
ωn = ω0 + nωp (15) nonlinear device. This is readily seen by considering any net-
work where the output signal is some function of the input
where 웆p is the LO frequency and signal e1, for example,

n = · · · , −3, −2, −1, 0, 1, 2, 3, . . . (16) e0 = f (e1 ) (17)


MIXER CIRCUITS 333

RF source Modulator RF channel Demodulator Information


user

Information Figure 13. Conceptual diagram of a com-


source
munication system.

In any perfectly linear network, this requires that whose amplitude A(t) or angle ⌽(t), or both, are controlled by
vm(t). In amplitude modulation (AM) the carrier envelope
e0 = ke1 (18) A(t) is varied while ⌰(t) remains constant; in angle modula-
tion A(t) is fixed and the modulating signal controls ⌽(t).
and, assuming two different input signals, Angle modulation may be either frequency modulation (FM)
or phase modulation (PM), depending upon the relationship
e0 = k(Ea cos ωat + Eb cos ωbt) (19) between the angle ⌽(t) and the modulation signal.
Although the waveform (21) might be called a modulated
where k is a constant. In this case the output signal contains cosine wave, it is not a single-frequency sinusoid when modu-
only the two input-signal frequencies. However, if the output lation is present. If either A(t) or ⌰(t) varies with time, the
is a nonlinear function of the input, it can, in general, be rep- spectrum of F(t) will occupy a bandwidth determined by both
resented by a series expansion of the input signal. For exam- the modulating signal and the type of modulation used.
ple, let

e0 = k1 e1 + k2 e22 + k3 e33 + · · · + kn enn (20) Amplitude Modulation. Amplitude modulation in the form
of on–off keying of radio-telegraph transmitters is the oldest
When e1 contains two frequencies, e0 will contain the input type of modulation. Today, amplitude modulation is widely
frequencies and their harmonics plus the products of these used for those analog voice applications that require simple
frequencies. These frequency products can be expressed as receivers (e.g., commercial broadcasting) and require narrow
sum and difference frequencies. Thus, all modulators, detec- bandwidths.
tors, and mixers are of necessity nonlinear devices. The prin- In amplitude modulation the instantaneous amplitude of
cipal distinction between these devices is the frequency differ- the carrier is varied in proportion to the modulating signal.
ences between the input signals and the desired output signal The modulating signal may be a single frequency, or, more
or signals. For example, amplitude modulation in general in- often, it may consist of many frequencies of various ampli-
volves the multiplication of a high-frequency carrier by low- tudes and phases, e.g., the signals constituting speech. For a
frequency modulation signals to produce sideband signals carrier modulated by a single-frequency sine wave of constant
near the carrier frequency. In a mixer, two high-frequency amplitude, the instantaneous signal e(t) is given by
signals are multiplied to produce an output signal at a fre-
quency that is the difference between the input-signal fre- e(t) = E(1 + m cos ωm t) cos(ωct + φ) (22)
quencies. In a detector for amplitude modulation, the carrier
is multiplied by the sideband signals to produce their differ-
ent frequencies at the output. where E is the peak amplitude of unmodulated carrier, m is
To understand the modulation process, it is helpful to visu- the modulation factor as defined below, 웆m is the frequency of
alize a modulator as a black box (Fig. 14) with two inputs the modulating voltage (radians per second), 웆c is the carrier
and one output connected to a carrier oscillator producing a frequency (radians per second), and ␾ is the phase angle of
sinusoidal voltage with constant amplitude and frequency the carrier (radians).
f RF. The output is a modulated waveform The instantaneous carrier amplitude is plotted as a func-
tion of time in Fig. 15. The modulation factor m is defined for
F (t) = A(t) cos[ωst + (t)] = A(t) cos (t) (21) asymmetrical modulation in the following manner:

Emax − E
m= (upward or positive modulation) (23)
E
Modulated E − Emin
Oscillator
Modulator F(t) m= (downward or negative modulation)
( fRF) signal E
(24)

The maximum downward modulation factor, 1.0, is reached


vm(t) when the modulation peak reduces the instantaneous carrier
Modulating voltage
envelope to zero. The upward modulation factor is un-
Figure 14. Black-box view of a modulator. limited.
334 MIXER CIRCUITS

e(t) Angle Modulation. Information can be transmitted on a


carrier by varying any of the parameters of the sinusoid in
Emax accordance with the modulating voltage. Thus, a carrier is
described by
E
Emin e(t) = Ec cos θ (26)

where ␪ ⫽ 웆ct ⫹ ␾.
This carrier can be made to convey information by modu-
lating the peak amplitude Ec or by varying the instantaneous
Modulation envelope phase angle ␪ of the carrier. This type of modulation is known
Figure 15. Amplitude-modulated carrier.
as angle modulation. The two types of angle modulation that
have practical application are phase modulation (PM) and fre-
quency modulation (FM).
In phase modulation, the instantaneous phase angle ␪ of
The modulation carrier described by Eq. (22) can be rewrit- the carrier is varied by the amplitude of the modulating sig-
ten as follows: nal. The principal application of phase modulation is in the
utilization of modified phase modulators in systems that
e(t) = E(1 + m cos ωm t) cos(ωct + φ) transmit frequency modulation. The expression for a carrier
mE phase-modulated by a single sinusoid is given by
= E cos(ωct + φ) + cos[(ωc + ωm )t + φ] (25)
2
mE e(t) = Ec cos(ωct + φ + φ cos ωm t) (27)
+ cos[(ωc − ωm )t + φ]
2
where ⌬␾ is the peak value of phase variation introduced by
Thus, the amplitude modulation of a carrier by a cosine wave modulation and is called the phase deviation, and 웆m is the
has the effect of adding two new sinusoidal signals displaced modulation frequency (radians per second).
in frequency from the carrier by the modulating frequency. In frequency modulation, the instantaneous frequency of
The spectrum of the modulated carrier is shown in Fig. 16. the carrier, that is, the time derivative of the phase angle
␪, is made to vary in accordance with the amplitude of the
modulating signal. Thus,

E 1 dθ
f = (28)
2π dt
Em Em When the carrier is frequency-modulated by a single sinusoid,
2 2
f = f RF +  f cos ωmt (29)
ωm ωm
where ⌬f is the peak frequency deviation introduced by modu-
lation. The instantaneous total phase angle ␪ is given by
ωm – ωm ωc ωm + ω m 
Frequency θ = 2π f dt + θ0 (30)
(a) f
θ = 2π f RFt + sin 2π f m t + θ0 (31)
E fm

The complete expression for a carrier that is frequency-modu-


lated by a single sinusoid is
 
f
e(t) = Ec cos ωtc + sin 2π f mt + θ0 (32)
fm

The maximum frequency difference between the modu-


lated carrier and the unmodulated carrier is the frequency
deviation ⌬f. The ratio of ⌬f to the modulation frequency f m
0 ωc Frequency
is known as the modulation index or the deviation ratio. The
degree of modulation in an FM system is usually defined as
Spectrum of Spectrum of modulated carrier the ratio of ⌬f to the maximum frequency deviation of which
modulating signal
the system is capable. Degree of modulation in an FM system
(b) is therefore not a property of the signal itself.
Figure 16. Frequency spectrum of an amplitude-modulated carrier: In digital wireless communication systems, Gaussian-
(a) carrier modulated by a sinusoid of frequency 웆m, (b) carrier modu- filtered minimum-shift keying (GMSK) is the most popular,
lated by a complex signal composed of several sinusoids. and four-level frequency-shift keying (4-FSK) and 앟/4-shifted
MIXER CIRCUITS 335

differential encoded quadriphase (or quadrature) phase-


shift keying (앟/4-DQPSK) are also used. GMSK and 4-FSK
are both frequency modulation, but 앟/4-DQPSK is phase mod-
ulation.

Pulse Modulation. In pulse-modulated systems, one or more


Modulating signal
parameters of the pulse are varied in accordance with a mod-
ulating signal to transmit the desired information. The modu-
lated pulse train may in turn be used to modulate a carrier
in either angle or amplitude. Pulse modulation provides a
method of time duplexing, since the entire modulation infor- Quantized pulse code groups
mation of a signal channel can be contained in a single pulse Figure 18. Example of a quantized pulse-modulation system.
train having a low duty cycle, i.e., ratio of pulse width to in-
terpulse period, and therefore the time interval between suc-
cessive pulses of a particular channel can be used to transmit In quantized pulse modulation systems, the input function
pulse information from other channels. can be approximated with arbitrary accuracy by increase of
Pulse-modulation systems can be divided into two basic the number of discrete values available to describe the input
types: pulse modulation proper, where the pulse parameter function. An example of a quantized pulse modulation system
which is varied in accordance with the modulating signal is a is shown in Fig. 18: the information is transmitted in pulse
continuous function of the modulating signal, and quantized code groups, the sequence of pulses sent each period indicat-
pulse modulation, where the continuous information to be ing a discrete value of the modulating signal at that instant.
transmitted is approximated by a finite number of discrete Typically, the pulse group might employ a binary number
values, one of which is transmitted by each single pulse or code, the presence of each pulse in the group indicating a 1
group of pulses. The two methods are illustrated in Fig. 17. or 0 in the binary representation of the modulating signal.
The principal methods for transmitting information by
means of unquantized pulse modulation are pulse-amplitude
modulation (PAM; see Fig. 19), pulse-width modulation
(PWM), and pulse-position modulation (PPM).
Modulation of
pulse parameter
Frequency Translation
The most common form of radio receiver is the superhetero-
dyne configuration shown in Fig. 20(a). The signal input, with
a frequency 웆s, is usually first amplified in a tunable band-
Instantaneous pass amplifier, called the RF amplifier, and is then fed into a
modulating signal circuit called the mixer along with an oscillator signal, which
is local to the receiver, having a frequency 웆p. The LO is also

Modulating signal
(a)

Modulation of Pulse train


pulse parameter
(a)

Instantaneous
modulating signal

0 1 2 3 4 5 6 7 8 9 10 11
T T T T T T T T T T T
fm
Frequency
(b)
(b)
Figure 17. Input versus output relationships of quantized and un-
quantized pulse-modulation systems: (a) unquantized modulation Figure 19. Pulse-amplitude modulation: (a) amplitude-modulated
system, (b) quantized modulation system. pulse train, (b) frequency spectrum of the modulated pulse train.
336 MIXER CIRCUITS

ωs RF ωs ω s – ωp IF Audio
Mixer Demod.
amp. amp. amp.

ωs
Coupled
tuning
Local
oscill.

(a)

fm fm fm fm

Figure 20. (a) The superheterodyne con- fRF – fm fRF fRF + fm fRF – fLO fRF + fLO
figuration; frequency spectra of (b) the in-
put and (c) the multiplier output. (b) (c)

tunable and is ganged with the input bandpass amplifier so The input can be represented as in Fig. 20(b), with the carrier
that the difference between the input signal frequency and frequency term and an upper and a lower sideband, each side-
that of the LO is constant. band containing the modulation information.
In operation, the mixer must achieve analog multiplica- For a linear multiplier, each of the input components is
tion. With multiplication, sum and difference frequency com- multiplied by the LO input, and the output of the multiplier
ponents at 웆s ⫾ 웆p are produced at the output of the mixer. contains six terms, as shown in Fig. 20(c): the difference-fre-
Usually, the sum frequency is rejected by sharply tuned cir- quency carrier with two sidebands and the sum-frequency
cuits and the difference frequency component is subsequently carrier with two sidebands. The latter combination is usually
amplified in a fixed-tuned bandpass amplifier. The difference rejected by the bandpass of the IF amplifier.
frequency is called the intermediate frequency (IF), and the
fixed-tuned amplifier is called the IF amplifier. The advan-
tage of this superheterodyne configuration is that most ampli- ANALOG MULTIPLICATION
fication and outband rejection occurs with fixed-tuned cir-
cuits, which can be optimized for gain level and rejection. An analog multiplier can be used as a mixer. A multiplier
Another advantage is that the fixed-tuned amplifier can pro- inputs two electrical quantities, usually voltages but some-
vide a voltage-controlled gain to achieve automatic gain con- times currents, and outputs the product of the two inputs,
trol (AGC) with input signal level. In high-performance and/ usually currents but sometimes voltages. The product of two
or small-size receivers, the filtering in the IF amplifier is ob- quantities is derived from only the second-order term of the
tained with electromechanical crystal filters. transfer characteristic of the element, because the product
To formalize the mixer operation, assume that both the xy can be derived from only the second term of (x ⫹ y)2. The
input signal and the local oscillator output are unmodulated, second-order term is, for example, obtained from the inherent
single-tone sinusoids: exponential law for a bipolar transistor or the inherent
square law for a MOS transistor.
There are three methods of realizing analog multipliers:
Vs = Es cos(ωst) (33)
the first is by cross-coupling two variable-gain cells, the sec-
Vp = Ep cos(ωpt) (34) ond is by cross-coupling two squaring circuits, and the third
is by using a multiplier core. Block diagrams of these three
If the multiplier (mixer) has a gain constant K, the output is multiplication methods are shown in Fig. 21(a–c). For exam-
ple, the bipolar doubly balanced differential amplifier, the so-
K called Gilbert cell, is the first case, and utilizes two-quadrant
Vo = Es Ep [cos(ωs − ωp )t + cos (ωs + ωp )t] (35) analog multipliers as variable-gain cells. The second method
2
has been known for a long time and is called the quarter-
square technique. The third method is also based on the quar-
The difference frequency, 웆s ⫺ 웆p, is denoted by 웆if .
ter-square technique, because a multiplier core is a cell con-
If the input is a modulated signal, the modulation also is
sisting of the four properly combined squaring circuits.
translated to a band about the new carrier frequency, 웆if . For
example, if the input is amplitude-modulated,
Multipliers Consisting of Two Cross-Coupled Variable-Gain Cells
Vs = Es (1 + m cos ωmt) cos ωst The Gilbert Cell. The Gilbert cell, shown in Fig. 22, is the
m most popular analog multiplier, and consists of two cross-cou-
= Es cos(ωst) + Es cos(ωs − ωm )t (36)
2 pled, emitter-coupled pairs together with a third emitter-cou-
m pled pair. The two cross-coupled, emitter-coupled pairs form
+ Ep cos (ωs + ωm )t
2 a multiplier cell. The Gilbert cell consists of two cross-coupled
MIXER CIRCUITS 337

∆I ogies. The operating frequency of the Gilbert cell was 500


MHz at most in the 1960s.
I+ I– The series connection of the two cross-coupled, emitter-
coupled pairs with a third emitter-coupled pair requires a
high supply voltage, more than 2.0 V. Therefore, many circuit
+ + + + +
Variable – + Variable design techniques for linearizing the low-voltage Gilbert cell
Vx Vy
gain cell gain cell have also been discussed.
– – – –

Modified Gilbert Cell with a Linear Transconductance Am-


plifier. The modified Gilbert cell with a linear transconduc-
tance amplifier in Fig. 23 possesses a linear transconductance
characteristic only with regard to the second input voltage
(a) Vy, because it utilizes a linear transconductance amplifier for
the lower stage. Low-voltage operation is also achieved using
the differential current source output system of two emitter-
+ + + I+
follower-augmented current mirrors. The general structure of
Vx X2 ∆I
– –
the mixer is a Gilbert cell with a linear transconductance am-
– I–
+ plifier, since the cross-coupled emitter-coupled pairs that in-
Vy put the LO signal possess a limiting characteristic. To achieve
– the desired low distortion, the differential pair normally used
as the lower stage of the cell is replaced with a superlinear
transconductance amplifier. In practice, the linear input volt-
+ +
age range of the superlinear transconductance amplifier at a
1.9 V supply voltage is 0.9 V peak to peak for less than 1%
X2
– – total harmonic distortion (THD) or 0.8 V for less than 0.1%
THD.
The differential output current of the modified Gilbert cell
(b) with a linear transconductance amplifier is
+
+ I+ I = I + − I − = (IC1 + IC3 ) − (IC2 + IC4 )
Vx  
– Vx (38)

+ Input stage Four-transistor ∆I = 2GyVy tanh
+ multiplier core 2VT
Vy
– I–

(c)
VCC
Figure 21. Multiplier block diagrams: (a) built from two cross-cou-
pled variable-gain cells, (b) built from two cross-coupled squaring cir-
cuits, (c) built from a multiplier core and an input system.
RL RL

variable-gain cells, because the lower emitter-coupled pair


varies the transconductance of the upper cross-coupled, emit-
ter-coupled pairs.
Assuming matched devices, the differential output current
of the Gilbert cell is expressed as Q1 Q2 Q3 Q4

Vx
I = I + − I − = (IC13 + IC15 ) − (IC14 + IC16 )
   
Vx Vy (37)
= αF2 I0 tanh tanh
2VT 2VT
Q5 Q6
where 움F is the dc common-base current gain factor.
The differential output current of the Gilbert cell is ex- Vy
pressed as a product of two hyperbolic tangent functions.
Therefore, the operating input voltage ranges of the Gilbert
cell are both very narrow. Many circuit design techniques for
linearizing the input voltage range of the Gilbert cell have
Io
been discussed to achieve wider input voltage ranges.
In addition, the Gilbert cell has been applied to ultra-high-
frequency (UHF) bands of some tens of gigahertz using GaAs
heterojunction bipolar transistor (HBT) and InP HBT technol- Figure 22. Gilbert cell.
338 MIXER CIRCUITS

VCC

Io Io RL RL

Q8 Q11

Q5 Q6 Q1 Q2 Q4
Vy
Vx
Ry

Q7 Q10 Q12 Q9

RE RE RE RE

Figure 23. Modified Gilbert cell with a


linear transconductance amplifier.

where Gy ⫽ 1/Ry and the dc common-base current gain factor If each squaring circuit is a square-law element with an-
움F is taken as equal to one for simplification, since its value other parameter z, the identity becomes
is 0.98 or 0.99 in current popular bipolar technology.
   2
The product of the hyperbolic tangent function of the first 1
input voltage and the second input voltage of the linear trans- (ax + by + z)2 + (a − c)x + b − y+z
c
conductance amplifier is obtained.
− [(a − c)x + by + z]2 (41)
   2
Quarter-Square Multipliers Consisting 1
− ax + b − y + z = 4xy
of Two Cross-Coupled Squaring Circuits c
To realize a multiplier using squaring circuits the basic
idea is based on the identity (x ⫹ y)2 ⫺ (x ⫺ y)2 ⫽ 4xy or In Eqs. (40) and (41), the parameters a, b, c, and z can be
(x ⫹ y)2 ⫺ x2 ⫺ y2 ⫽ 2xy. The former identity is usually ex- canceled out.
pressed as MOS transistors operating in the saturation region can be
used as square-law elements. Four properly arranged MOS
1
[(x + y)2 − (x − y)2 ] = xy (39) transistors with two properly combined inputs produce the
4
product of two inputs in accordance with Eq. (22). Also, four
The quarter-square technique based on the above identity has properly arranged bipolar transistors with two properly com-
been well known for a long time. bined inputs produce the product of the hyperbolic functions
The two input voltage ranges and the linearity of the of the inputs. A cell consisting of four emitter- or source-com-
transconductances of the quarter-square multiplier usually mon transistors biased by a single cell tail current can be
depend on the square-law characteristics of the squaring cir- used as a multiplier core.
cuits and sometimes depend on the linearities of the adder
and subtractor in the input stage. A quarter-square multi- Bipolar Multiplier Core. Figure 24(a) shows a bipolar multi-
plier does not usually possess limiting characteristics with re- plier core. The individual input voltages applied to the bases
gard to both inputs. of the four transistors in the core can be expressed as V1 ⫽
aVx ⫹ bVy ⫹ VR, V2 ⫽ (a ⫺ 1)Vx ⫹ (b ⫺ 1)Vy ⫹ VR, V3 ⫽ (a ⫺
Four-Quadrant Analog Multipliers with a Multiplier Core 1)Vx ⫹ bVy ⫹ VR, V4 ⫽ aVx ⫹ (b ⫺ 1)Vy ⫹ VR. The differential
output current is expressed as
The multiplier core can be considered as four properly com-
bined square circuits. The multiplication is based on the iden- I = I + − I − = (IC1 + IC2 ) − (IC3 + IC4 )
tity    
Vx Vy (42)
= αF I0 tanh tanh
   2 2VT 2VT
1
(ax + by)2 + (a − c)x + b − y
c
   2 (40) The parameters a and b are canceled out. The transfer func-
1 tion of the bipolar multiplier core is expressed as the product
− [(a − c)x + by] − ax + b −
2
y = 2xy
c of the two transfer functions of the emitter-coupled pairs. The
difference between Eq. (42) and Eq. (38) is only in whether
where a, b, and c are constants. the tail current value is multiplied by the parameter 움F or by
MIXER CIRCUITS 339

∆I

I+ I–

Q1 Q2 Q3 Q4

aVx – bVy aVx + (b – 1)Vy

(a – 1)Vx + (b – 1)Vy (a – 1)Vx + bVy


VR Io

(a)

VCC

RL RL

VM1 VM2

Q1 Q2 Q3 Q4

Vx + Vx Vx

VR Io Vy

(b)

∆I

I+ I–

Vx R R
Q1 Q2 Q3 Q4
Vy R R R R

VR Io

(c)

Figure 24. Bipolar multiplier: (a) general circuit diagram of core, (b) the core with the simplest
combination of the two input voltages, (c) the bipolar multiplier consisting of a multiplier core
and resistive dividers.
∆I

I+ I–
M1 M3
aVx + bVy V1
M1 M2 M3 M4
1
Vx (a – c)Vx + (b – c )Vy V2
V3 V4
(a – c)Vx + bVy

1
Vy aVx + (b – c )V y

Io

Input system Multiplier core


(a)

∆I

I+ I–
M1 M4

M2 M4

Vx + Vx Vx

Vy

VR Io

(b)

VDD

M9 M10

M11 M12

∆I

M4 M3

M5 M6 M1 M2 M8 M7
Vx Vx

VR Ioo Io Ioo

(c)

Figure 25. MOS multiplier: (a) general circuit diagram of core (b) the core with the simplest
combination of the two input voltages, (c) MOS multiplier consisting of the multiplier core and
an active voltage adder.

340
MIXER CIRCUITS 341

Transmit Receive

Baseband
data
Info. signal Data Data Info.
source modulator modulator source

Frequency Frequency
synthesizer synthesizer

Figure 26. Block diagram of communications system, showing modulation and demodulation.

its square. Therefore, a bipolar multiplier core consisting of a produce the product of two input voltages. Simple combina-
quadritail cell is a low-voltage version of the Gilbert cell. tions of two inputs are obtained when a ⫽ b ⫽  and c ⫽ 1,
Simple combinations of two inputs are obtained when a ⫽ a ⫽  and b ⫽ c ⫽ 1, and a ⫽ b ⫽ c ⫽ 1 as shown in Fig. 25(b).
b ⫽ , a ⫽  and b ⫽ 1, and a ⫽ b ⫽ 1 as shown in Fig. 24(b). Figure 25(c) shows a CMOS four-quadrant analog multi-
In particular, when a ⫽ b ⫽ 1, resistive voltage adders are plier consisting of only a multiplier core and an active volt-
applicable because no inversion of the signals Vx and Vy is age adder.
needed [Fig. 24(c)]. In addition, a multiplier consisting of the multiplier core
in Fig. 25(a) and a voltage adder and subtractor has been
implemented with a GaAs MESFET IC, and a useful fre-
MOS Multiplier Core. Figure 25(a) shows the MOS four-
quency range from dc to UHF bands of 3 GHz was obtained
quadrant analog multiplier consisting of a multiplier core. In- for a frequency mixer operating on a supply voltage of 2 or
dividual input voltages applied to the gates of the four MOS 3 V.
transistors in the core are expressed as V1 ⫽ aVx ⫹ bVy ⫹
VR, V2 ⫽ (a ⫺ c)Vx ⫹ (b ⫺ 1/c)Vy ⫹ VR, V3 ⫽ (a ⫺ c)Vx ⫹
bVy ⫹ VR, V4 ⫽ aVx ⫹ (b ⫺ 1/c)Vy ⫹ VR. The multiplication is RADIO-FREQUENCY SIGNAL AND LOCAL OSCILLATOR
based on the identity of Eq. (41).
Ignoring the body effect and channel-length modulation, Figure 26 shows a block diagram of a communication system,
the equations for drain current versus drain-to-source voltage showing modulation and demodulation. A wireless communi-
can be expressed in terms of three regions of operation as cation system will usually consists of an information source,
which is modulated up to RF or microwave frequencies and
then transmitted. A receiver will take the modulated signal
ID = 0 (43a)
from the antenna, demodulate it, and send it to an informa-
tion ‘‘sink,’’ as illustrated in Fig. 26. The rate at which infor-
for VGS ⱕ VT, the off region, mation can be sent over the channel is determined by the
available bandwidth, the modulation scheme, and the integ-
 
V rity of the modulation–demodulation process.
ID = 2β VGS − VT − DS VDS (43b)
2 Frequency synthesizers are ubiquitous building blocks in
wireless communication systems, since they produce the pre-
cise reference frequencies for modulation and demodulation
for VDS ⱕ VGS ⫺ VT, the triode region, and
of baseband signals up to the transmit and/or receive fre-
quencies.
ID = β(VGS − VT )2 (43c) A simple frequency synthesizer might consist of a transis-
tor oscillator operating at a single frequency determined by a
for VGS ⱖ VT and VDS ⱖ VGS ⫺ VT, the saturation region, where precise crystal circuit. Tunable transistor frequency sources
웁 ⫽ 애(CO /2)(W/L) is the transconductance parameter, 애 is the
effective surface carrier mobility, CO is the gate oxide capaci-
tance per unit area, W and L are the channel width and Va
length, and VT is the threshold voltage.
The differential output current is expressed as Vamp
Frequency
Time
synthesizer
I = I + − I − = (ID1 + ID2 ) − (ID3 + ID4 )
(44)
= 2βVxVy (Vx2 + Vy2 + |VxVy | 5 I0 /2β )
T

The parameters a, b, and c are canceled out. Four properly Figure 27. Block diagram of frequency synthesizer producing single-
arranged MOS transistors with two properly combined inputs tone sinusoidal output.
342 MIXER CIRCUITS

Reference Phase Loop


÷Q VCO Output
oscillator detector filter

Figure 28. Indirect frequency synthe- ÷N


sizer using a phase-locked loop.

rely on variations in the characteristics of a resonant circuit modulation of the carrier signal, and resolved into AM and
to set the frequency. These circuits can then be embedded in FM components. The AM portion of the signal is typically
phase-locked loops (PLLs) to broaden their range of operation smaller than the FM portion.
and further enhance their performance. FM noise power is represented as a ratio of the power in
A representative view of a frequency synthesizer is given some specified bandwidth (usually 1 Hz) in one sideband to
in Fig. 27 which shows a generic synthesizer producing a sin- the power in the carrier signal itself. These ratios are usually
gle tone of a given amplitude that has a delta-function-like specified in ‘‘dBc/Hz’’ at some frequency offset from the car-
characteristic in the frequency domain. rier. The entire noise power can be integrated over a specified
Indirect frequency synthesizers rely in feedback, usually in bandwidth to realize a total angular error in the output of the
the form of the PLL, to synthesize the frequency. A block dia- oscillator, and oscillators are often specified this way.
gram of a representative PLL frequency synthesizer is shown
in Fig. 28. Most PLLs contain three basic building blocks: a Tuning Range
phase detector, an amplifier loop filter, and a voltage-con-
The tuning range of an oscillator specifies the variation in
trolled oscillator (VCO). During operation, the loop will ac-
output frequency with input voltage or current (usually volt-
quire (or lock onto) an input signal, track it, and exhibit a
age). The slope of this variation is usually expressed in mega-
fixed phase relationship with respect to the input. The output
hertz per volt. In particular, the key requirements of oscilla-
frequency of the loop can be varied by altering the division
tor or synthesizer tuning are that the slope of the frequency
ratio (N) within the loop, or by tuning the input frequency
variation remain relatively consistent over the entire range of
with an input frequency divider (Q). Thus, the PLL can act
tuning and that the total frequency variation achieve some
as a broadband frequency synthesizer.
minimum specified value.

FREQUENCY SYNTHESIZER FIGURES OF MERIT Frequency Stability


Frequency stability of an oscillator is typically specified in
An ideal frequency synthesizer would produce a perfectly
parts per million per degree centigrade (ppm/⬚C). This param-
pure sinusoidal signal, which would be tunable over some
eter is related to the Q of the resonator and the frequency
specified bandwidth. The amplitude, phase, and frequency of
variation of the resonator with temperature. In a free-run-
the source would not change under varying loading, bias, or
ning system this parameter is particularly important,
temperature conditions. Of course, such an ideal circuit is im-
whereas in a PLL it is less so, since an oscillator that drifts
possible to realize in practice, and a variety of performance
may be locked to a more stable oscillator source.
measures have been defined over the years to characterize the
deviation from the ideal.
Harmonics
Noise Harmonics are output from the oscillator synthesizer that oc-
cur at integral multiples of the fundamental frequencies.
The output power of the synthesizer is not concentrated exclu-
They are typically caused by nonlinearities on the transistor
sively at the carrier frequency. Instead, it is distributed

;yy;
or other active device used to produce the signal. They can be
around it, and the spectral distribution on either side of the
minimized by proper biasing of the active device and design
carrier is known as the spectral sideband. This is illustrated
of the output matching network to filter out the harmonics.
schematically in Fig. 29. This noise can be represented as
Harmonics are typically specified in ‘‘dBc’’ below the carrier.

Ps Spurious Outputs

Signal Spurious outputs are outputs of the oscillator synthesizer


Pssb that are not necessarily harmonically related to the funda-
Sideband Ps mental output signal. As with harmonics, they are typically
noise
Power

Pssb specified in ‘‘dBc’’ below the carrier.

fm BIBLIOGRAPHY

f0 Frequency 1. A. A. Abidi, Low-power radio-frequency IC’s for portable commu-


1 Hz nications, Proc. IEEE, 83: 544–569, 1995.
Figure 29. Phase noise specification of frequency source. The noise 2. L. E. Larson, RF and Microwave Circuit Design for Wireless Com-
is contained in the sidebands around the signal frequency at f 0. munications, Norwood, MA: Artech House, 1996.
MOBILE COMMUNICATION 343

3. N. Camilleri et al., Silicon MOSFETs, the microwave device tech- 27. B. Razavi, Challenges in the design of frequency synthesizers for
nology for the 90s. In 1993 IEEE MTT-S Int. Microw. Symp. Dig., wireless applications. Proc. IEEE 1997 Custom Integrated Circuits
June 1993, pp. 545–548. Conf., May 1997, pp. 395–402.
4. C. Tsironis, R. Meierer, and R. Stahlman, Dual-gate MESFET
mixers, IEEE Trans. Microw. Theory Tech., MTT-32: 248–255, KATSUJI KIMURA
March 1984. NEC Corporation
5. S. A. Maas, Microwave Mixers, 2nd ed., Norwood, MA: Artech
House, 1993.
6. J. M. Golio, Microwave MESFETs & HEMTs, Norwood, MA: Ar-
tech House, 1991.
MIXERS. See MULTIPLIERS, ANALOG; MULTIPLIERS, ANALOG
CMOS.
7. F. Ali and A. Gupta (eds.), HEMTs & HBTs: Devices, Fabrication,
and Circuits, Norwood, MA: Artech House, 1991. MMIC AMPLIFIERS. See MICROWAVE LIMITERS.
8. D. Haigh and J. Everard, GaAs Technology and its Impact on MOBILE AGENTS. See MOBILE NETWORK OBJECTS.
Circuits and Systems, London: Peter Peregrinus, 1989.
9. D. O. Pederson and K. Mayaram, Analog Integrated Circuits for
Communication—Principles, Simulation and Design, Norwell,
MA: Kluwer Academic, 1991.
10. W. Gosling, R ⭈ A ⭈ D ⭈ I ⭈ O Receivers, London: Peter Peregrinus,
1986.
11. K. Murota and K. Hirade, GMSK modulation for digital mobile
telephony, IEEE Trans. Commun., COM-29: 1044–1050, 1981.
12. Y. Akaiwa and Y. Nagata, Highly efficient digital mobile commu-
nications with a linear modulation method, IEEE J. Selected
Areas Commun., SAC-5 (5): 890–895, June 1987.
13. J. Eimbinder, Application Considerations for Linear Integrated
Circuits, New York: Wiley, 1970.
14. H. E. Jones, Dual output synchronous detector utilizing transistor-
ized differential amplifiers, U.S. Patent No. 3,241,078, March
15, 1966.
15. B. Gilbert, A precise four-quadrant analog multiplier with sub-
nanosecond response, IEEE J. Solid-State Circuits, SC-3 (4): 365–
373, 1968.
16. P. R. Gray and R. G. Meyer, Analysis and Design of Analog Inte-
grated Circuits, New York: Wiley, 1977, pp. 667–681.
17. K. W. Kobayashi et al., InAlAs/InGaAs HBT X-band double-bal-
anced upconverter, IEEE J. Solid-State Circuits, 29 (10): 1238–
1243, 1994.
18. F. Behbahani et al., A low distortion bipolar mixer for low voltage
direct up-conversion and high IF frequency systems. Proc. IEEE
1996 Bipolar Circuits Technol. Meet., Sept. 1996, pp. 50–52.
19. H. Song and C. Kim, An MOS four-quadrant analog multiplier
using simple two-input squaring circuits with source-followers,
IEEE J. Solid-State Circuits, 25 (3): 841–848, 1990.
20. K. Kimura, A unified analysis of four-quadrant analog multipli-
ers consisting of emitter and source-coupled transistors operable
on low supply voltage, IEICE Trans. Electron., E76-C (5): 714–
737, 1993.
21. K. Bult and H. Wallinga, A CMOS four-quadrant analog multi-
plier, IEEE J. Solid-State Circuits, SC-21 (3): 430–435, 1986.
22. K. Kimura, An MOS four-quadrant analog multiplier based on
the multitail technique using a quadritail cell as a multiplier
core, IEEE Trans. Circuits Syst. I, Fundam. Theory Appl., 42:
448–454, 1995.
23. Z. Wang, A CMOS four-quadrant analog multiplier with single-
ended voltage output and improved temperature performance,
IEEE J. Solid-State Circuits, 26 (9): 1293–1301, 1991.
24. K. Kimura, A bipolar very low-voltage multiplier core using a
quadritail cell, IEICE Trans. Fundam., E78-A (5): 560–565,
May 1995.
25. K. Kimura, Low voltage techniques for analog functional blocks
using triple-tail cells, IEEE Trans. Circuits Syst. I, Fundam. The-
ory Appl., 42: 873–885, 1995.
26. R. Siferd, A GaAs four-quadrant analog multiplier circuit, IEEE
J. Solid-State Circuits, 28 (3): 388–391, 1993.
674 MULTIPLIERS, ANALOG

In principle, monolithic multipliers can provide both very


high static accuracy and very high bandwidth in a single de-
vice, but such a need is rare, and products providing this ca-
pability are not generally available. A typical integrated cir-
cuit (IC) four-quadrant multiplier (one that generates the
true algebraic product for inputs of either polarity) usually
provides a compromise solution, with accuracy–bandwidth
combinations of the order of 0.1% and 10 MHz (found, for ex-
ample, in the Analog Devices AD734), or 3% and 1 GHz
(AD834).
Analog multiplier techniques are widely used in gain-con-
trol applications. Two-quadrant multipliers often serve this
function, with careful optimization to meet difficult and con-
flicting performance objectives. Occasionally, dual-channel
operation is provided, as in the 60 MHz AD539. The voltage-
controlled amplifier (VCA) function is often better addressed
by a different class of circuits, particularly those that provide
an exponential relationship between the control variable and
the resulting gain, thus providing a linear-in-dB control law.
Other multiplier applications require a response only to
inputs of one polarity. These are called one-quadrant multipli-
ers. Many of the cells proposed in contemporary research pa-
pers are in this class and need considerable elaboration with
auxiliary circuitry to permit operation in two or four quad-
rants. The careful choice and optimization of the root struc-
ture is therefore of critical importance, and a few solutions
have gained preeminence.
A mixer is sometimes regarded as an analog multiplier,
optimized by close attention to noise and intermodulation for
use in frequency-translation applications. While this view is
useful in thinking about the basic function, mixer designs dif-
fer markedly. For example, the response to one of its two in-
puts (the signal at the local oscillator port) desirably approxi-
mates a binary (switching) function, and the mixer ideally
performs only the sign-reversal (or phase-alternation) func-
tion on the other input (the carrier). This can also be viewed
MULTIPLIERS, ANALOG
as the multiplication of the analog input signal by all the
terms in the Fourier expansion of a square wave.
The multiplication of continuous-time continuous-amplitude
analog signals has been of fundamental importance since the While the time-domain and amplitude-domain behavior of
earliest days of electronic systems, notably in computing and analog multipliers are usually of greatest interest, mixers are
nonlinear control systems, modulation, correlation, the deter- more often assessed by their performance in the frequency
mination of signal power, variable-gain amplifiers, and other and power domain, with a strong emphasis on two dominant
signal management functions. Many ingenious multiplier imperatives: the minimization of spurious intermodulation
methods have been devised during the past fifty years, most terms, and the minimization of noise. Thus, from a practical
of which have fallen into obsolescence (1,2). perspective, it is unwise to view mixers in the same terms as
In contemporary electronics, two-variable analog multipli- analog multipliers. The term modulator is often used, though
cation, and the closely related function of division, are invari- modulators (and demodulators) may be fully linear multi-
ably implemented by inexpensive monolithic integrated cir- pliers.
cuits whenever cost, low power consumption, small size, Multiplication of two binary variables is implemented by
accuracy, and high speed are essential requirements. By far the exclusive-OR logic function, and this operation is at the
the largest proportion of current commercial products utilize heart of a modern digital multiplier–accumulator cell. With
bipolar junction transistor (BJT) technologies and invoke the advent of inexpensive analog-to-digital and digital-to-ana-
translinear principles (3), providing dependable, accurate, log converters and the microprocessor, many applications for-
complete solutions that are easy to use. When optimized for merly solved in the analog domain have migrated to digital
accuracy, using special circuit techniques and laser trimming, implementations. The benefits include greater stability, re-
static errors may be ⫾0.05% full scale (FS) or better, with configurability and increased flexibility, and easy integration
nonlinearity errors (deviation from the ideal function) as low into a software-governed hierarchy. Modern digital signal pro-
as ⫾0.01%. Translinear multipliers may also be optimized for cessing (DSP), using specialized very large scale integration
very high speed, which can extend up to the limits of the tech- (VLSI) multiplication algorithms, has taken this trend a step
nology; a 3 dB bandwidth of over 30 GHz is nowadays possible further. However, the time quantization of DSP approaches
in special applications such as wideband variable-gain cells. precludes operation at high speeds.

J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
MULTIPLIERS, ANALOG 675

MULTIPLIER BASICS where VR is a reference voltage that scales the controlling (in-
put) function while V0 is a second reference voltage that scales
An ideal multiplier generates the product of two time-varying the output, T is the system temperature, and T0 is a reference
input variables (rarely more), historically identified as X and temperature, often taken as 300 K (앒27⬚C). The robust design
Y. In most practical multipliers, the inputs and output (here of all nonlinear analog circuits is characterized by the need
labeled W) are voltages. Occasionally the exterior variables for careful consideration of such detailed scaling attributes.
are in the form of currents; however, the interior variables In a two-quadrant multiplier, commonly used in gain-con-
are very often in this form. The function is described by the trol applications, one of the two inputs is unipolar, that is,
equation constrained to a single polarity, while the other input and the
output are bipolar, as is the case for a VCA. This functional
VX restriction does not represent a concession to structural com-
VW = V (1)
VU Y plexity, but rather has as its objective the improvement of
performance in gain-control applications.
where VW is the output, VX and VY are the two inputs, and In a four-quadrant multiplier, the sign of VW is algebrai-
VU will here be called the scaling voltage. VU is of critical im- cally correct for all sign combinations of VX and VY, that is,
portance in establishing the accuracy of the function. Equa- for the quadrants (⫹ ⫻ ⫹), (⫹ ⫻ ⫺), (⫺ ⫻ ⫹), and (⫺ ⫻ ⫺).
tion (1) is written in a way which emphasizes that VX is the This may appear to be the most versatile case and could be
variable whose voltage range is determined by VU. A more used in any application, but in practice it will not perform
useful multiplier structure allows the addition of a further optimally in all of them. For example, a two-quadrant multi-
variable, VZ, to the output: plier, used as a VCA, can provide output-referred noise and
distortion that is proportional to the magnitude of the unipo-
VX lar input, while in a four-quadrant type these remain almost
VW = V + VZ (2) constant for a given magnitude of input on the bipolar (sig-
VU Y
nal) channel.
Equation (1) indicated that the input VX is associated with
VU may also be varied from an input interface in some IC
a divisor VU, and the full-scale value of VX will be determined
multipliers. Thus, in its most general implementation, Eq. (2)
by this denominator. When VU is arranged to be controllable
describes a versatile multiplier–divider–adder element. Its
from an interface, analog division is implemented. In some
practical value depends on the speed and accuracy with which
practical IC products, the range of allowable values for VU
it implements this function, the noise levels at input and out-
may be quite large. For example, the AD734 provides a
put, the harmonic distortion under various drive conditions,
1000 : 1 range (⫹10 mV to ⫹10 V) at its high-impedance VU
and detailed practical issues such as the impedance at its in-
interface. As a fixed-scale multiplier a high-precision refer-
terfaces (often differential), its ability to drive a load, the sup-
ence voltage, generated by a buried zener, laser-trimmed to
ply voltage and current-consumption requirements, its size,
0.01%, provides VU. As well as its utility in contemporary ana-
its cost, and the like.
log computing applications, where the value lies in the mea-
A basic consideration relates to the number of quadrants
surement of a ratio of voltages over a wide range of absolute
in which a multiplier can operate (Fig. 1). Some multipliers
values, the division function may also be used in gain-control
provide operation in only one quadrant, that is, all variables
applications, where VX represents the signal and VU is the
are unipolar. These are useful in specialized embedded analog
(one-quadrant) gain-control voltage, providing a gain range of
computing applications; for example, in certain temperature-
over 60 dB.
compensation applications (4), a unipolar output V⬘C(T) must
Many monolithic multipliers use a differential cell topology,
be proportional to absolute temperature (PTAT) as well as to
and can process differential signals at their X and Y inter-
the primary controlling variable VC, which is independent of
faces, with high common-mode rejection. These versatile de-
temperature:
vices add features normally only found in instrumentation
(+)VC T (differencing) amplifiers, by implementing the even more gen-
(+)VC (T ) = V (3) eral function
(+)VR 0 T0
VX 1 − VX 2
VW = (VY 1 − VY 2 ) + VZ (4)
VU
+Y
In the most versatile IC dividers, the VU input interface would
also be differential, and allow this voltage to change sign
(that is, support four-quadrant division). Because of its lim-
ited utility and the basic problem of the singularity at VU ⫽
1Q
0, this capability is generally not provided in commercial
–X +X products. However, the function is practicable, and a method
4Q 2Q will later be shown for its implementation and use in fil-
terless demodulation.
During the 1970s and 1980s, the supply voltages for analog
multipliers invariably were ⫾15 V, the voltage ranges for VX,
–Y
VY and VW were ⫾10 V FS, and VU was 10 V. During recent
Figure 1. Multiplier operating quadrants. years, supply voltages have dropped, first to ⫾5 V, heralding
676 MULTIPLIERS, ANALOG

FS input and output voltages of typically ⫾2 V (with a VU of


1 V to 2 V) and more recently to single supplies of either ⫹5 Analog
V or ⫹3 V, necessitating some modification in the approach VXO multiplier
to full four-quadrant operation, for example, by providing a VX ′
VX
differential output as well as differential inputs. Current- ′
VW VW
mode interfaces for the signals are sometimes used to cope VY ′
VY VWO
with these limitations. VYO V ′U = VU + VUO
Contemporary analog multiplier developments are moving
away from generic, textbook objectives toward system-specific Embedded reference
embodiments, with increasing emphasis on extending the
high-frequency accuracy, lowering spurious nonlinearities, Figure 2. Linear error sources in a multiplier.
and reducing noise levels. The development of high-perfor-
mance analog multipliers remains a specialized art; only a
few IC companies have the skills to cope with the numerous LINEAR ERROR SOURCES
arcane design details. Cell developments being reported in
the professional journals, particularly those promoting the There are four linear error sources in an analog multiplier,
use of complementary metal–oxide–semiconductor (CMOS) identified in Fig. 2. First is the uncertainty, VUO, in the scaling
technologies, are often not fully in touch with the imperatives voltage VU, equivalent to a gain error. Contemporary mono-
of modern systems and their challenging requirements. lithic multipliers often use a bandgap reference to provide
VU. Without trimming, these can achieve an absolute accuracy
of ⫾2% and a temperature coefficient of ⫾50 ⫻ 10⫺6 /⬚C. Using
MULTIPLIER ERRORS trimming, the initial and long-term accuracy may be as high
as ⫾0.1% or better, with a temperature coefficient of under
The absolute accuracy of analog multipliers has traditionally ⫾5 ⫻ 10⫺6 /⬚C. For higher accuracies, some type of breakdown
been defined in terms of the error between the actual and device is used; their use is limited to applications in which at
ideal output relative to the FS value of the output. This is least one supply voltage is available that exceeds the break-
invariably a more optimistic metric than the error specified down voltage of the reference element (about 8 V). Monolithic
in terms of the actual output. Further, it is common practice circuits may use a buried-zener reference, whose voltage can
to emphasize the static accuracy, obtained under dc or low- be adjusted to within ⫾0.01% using laser-wafer trimming in
frequency conditions. The dynamic errors at realistic op- high-volume production, with commensurate temperature
erating frequencies are then layered over the static specifica- stability and long-term stability.
tions, using similar metrics to those for linear amplifiers, The second linear error is the dc offset at the output of the
such as 3 dB bandwidth, rise and fall times, slew rate, etc. multiplier, VWO. Consider a multiplier having VU ⫽ 10 V and
These practices came about largely because of the context full-scale X and Y inputs of 10 V. When both inputs are 1 V
within which high-accuracy multipliers were historically de- (that is, 10% of FS), the output should be 100 mV. While an
veloped: in analog simulators, in military applications, and in output offset of VUO ⫽ 10 mV is only 0.1% of the FS output, it
industrial plant control and various types of instrumentation, is 10% of the actual output. Some applications of multipliers
prior to the advent of the microprocessor. The FS static accu- are severely affected by this output-referred dc error. How-
racy remains a key parameter in defining the performance of ever, in a VCA, where the output will invariably be ac-cou-
a multiplier. pled, this offset is inconsequential. In considering the specifi-
The most accurate monolithic multipliers—using translin- cations of a multiplier, one must pay careful attention to all of
ear techniques and high-performance complementary bipolar the many detailed requirements of each specific application.
The two remaining linear errors are those due to the input
(CB) processes with silicon-on-insulator (SOI) fabrication,
offsets, VXO and VYO. An input applied to one of the two inputs
temperature-stable thin-film resistors, and laser trimming for
will generate a spurious output when the second input is
precise calibration against NBS-traceable standards—
nominally zero. Thus, if a 10 V sinusoid is applied to the X
achieve a guaranteed absolute accuracy of ⫾0.1% FS, with
input, and the Y input is set to zero but exhibits an internal
⫺80 dBc harmonic distortion. Selected components can main-
offset of VYO ⫽ 10 mV, there will be a residual sine output of
tain a short-term accuracy of ⫾0.02% FS, which is practically
amplitude 10 mV when VU ⫽ 10 V. This is called static feed-
the state of the art in analog multiplication, using any
through and is commonly specified either in fractional or deci-
known technique.
bel terms. In the above case, the feedthrough of 10 mV is
Errors arise from many mechanisms, and are of several
0.1%, or 60 dB below the potential full-scale output of 10 V.
types. Some, such as the linear errors, can usually be attrib-
It is important, however, to make a distinction between the
uted to component mismatches; nonlinear errors can be feedthrough due to dc offsets and that due to parasitic signal
caused by mismatches in device size and in current density; coupling at actual operating frequencies. Residual nonlineari-
dynamic errors are due to the finite response time of these ties in the multiplier may also cause signal feedthrough.
devices and to parasitic signal coupling across them. While
these may be captured in mathematical expressions, little in-
sight is gained from such, and they become particularly enig- NONLINEAR ERRORS
matic when the modeling of all of the nonlinear and dynamic
effects is attempted. A more useful approach is to consider When all linear errors have been eliminated by exact calibra-
the linear, nonlinear, and dynamic errors separately. tion of the scaling voltage VU and by nulling the offsets associ-
MULTIPLIERS, ANALOG 677

ated with VX, VY, and VW, some errors will remain. These may +0.025%
be called irreducible errors, to the extent that they are diffi-
cult for the user to eliminate in most practical applications.
However, there are many ways by which these may be low-
ered in a production context, using careful design and IC lay- VX = 3.5 V
out techniques, laser trimming, and special packaging. They
are sometimes addressed using a multiplexed analog-to-digi-
tal converter (ADC) for VX and VY, followed by digital multipli-
cation in a microprocessor and digital-to-analog conversion

Absolute error
back to the voltage domain, in which case the residual nonlin-
earities will usually be less than a least-significant bit (LSB).
This approach is appealing for low-frequency instrumenta- VX = 3.0 V
tion-grade multiplication, but not in applications where band-
width, power consumption, size, and cost are dominant con-
siderations.
The IC multiplication method most widely used today is VX = 2.5 V
based on the translinear principle, and the following com-
ments regarding residual nonlinear errors are with this im-
plementation in mind. It is found that, for the commonly used
two- and four-quadrant types, one error is essentially quad-
ratic in form, while another is essentially cubic. Quadratic –0.025%
errors can be largely traced to VBE matching errors in the core +2.0 V +3.0 V
of the four-quadrant multiplier. Cubic errors arise due to mis- VY
matches in current density and are minimized by the optimal
Figure 4. Typical multiplier crossplot.
choice of topology, device sizes, and bias conditions. High-vol-
ume production experience over a period of more than two
decades shows that residual nonlinearities of under 0.1% of
of the reference multiplier—is displayed on an oscilloscope,
FS can be routinely achieved without trimming.
generating an insight-rich real-time display. Using a high
Since an analog multiplier has two independent inputs,
vertical sensitivity, errors as small as 0.01% of FS are readily
the output and the error generate surfaces, formally requiring
visible. One of the two inputs is swept linearly over its full
three-dimensional representation. Here again, no special in-
range; this input also provides the horizontal sweep voltage
sights are gained by using such in examining the behavior of
for the oscilloscope. The other input is stepped through sev-
a multiplier. Instead, an excellent practical assessment of
eral spot values (typically ⫺100%, ⫺50%, zero, ⫹50% and
static accuracy is provided by a crossplot (5). The term arose
⫹100% of FS) by automatically incrementing one step for
in a testing context, in which a multiplier of unknown quality
each sweep of the first input. The sweep times are chosen to
was compared with one of impeccable credentials.
ensure a flicker-free display, but not much faster, since dy-
In the classical crossplot technique (Fig. 3), both multipli-
namic errors quickly become dominant, even in a 1 MHz
ers are excited by the same inputs (whose precise calibration
bandwidth multiplier. The X and Y inputs can then be
is unimportant), and the difference in the outputs of the two
swapped for a different view of the errors. Insight into inter-
units—that is, the error relative to the internal calibration
preting the multiplier errors displayed in a crossplot can be
acquired rapidly after a little practice.
In contemporary production practice, when multipliers of
Slow time scale the highest accuracy are to be characterized, the input volt-
+10 V ages are generated by computer-programmable sources trace-
+5 V
able to NBS standards, and the output is measured by a high-
–5 V
–10 V grade digital voltmeter. The error is then calculated in the
X Analog
VW1 host computer, which may generate a crossplot as a graphical
VX multiplier W entity. Figure 4 shows a typical result for a state-of-the-art
being Oscilloscope
Y tested
laser-trimmed multiplier; the peak error is seen to be within
in X–Y mode ⫾0.02% over the range of X and Y input values (6).
+
Σ Vert.
– DYNAMIC ERRORS
X
Accurate Horiz.
reference W The errors discussed so far relate to slowly varying inputs.
VY VW2
multiplier Dynamic errors arise when the inputs are varying rapidly and
Y
+10 V may be generated in the input interfaces of the multiplier, in
the core, or in the output stage. Since multipliers may exhibit
different response times on the X and Y input channels (that
–10 V
is, different phase angles), their dynamic errors need to be
Fast time scale
measured separately. When a high degree of phase matching
Figure 3. Typical crossplot measurement technique. is required in the response from the X and Y inputs, two mul-
678 MULTIPLIERS, ANALOG

tipliers can be used (either in cell form or as complete ICs) Finally, multiplier noise needs consideration. This is usu-
with their two pairs of input interfaces cross-connected and ally specified in terms of the output noise spectral density (so
their outputs summed. The key dynamic property of a multi- many nV/Hz1/2). In translinear multipliers, the dominant
plier is its small-signal bandwidth, the frequency at which wideband noise source is the core: because of the high effec-
the output amplitude is 3 dB below its midband value. The tive gain to the output, multiplier noise will invariably be
measurement should be made with one of the input channels much higher than in typical IC amplifiers. In multipliers us-
receiving the ac test signal, while the other receives a fixed ing MOS technologies, there is a significant increase at low
dc level. For modern commercial IC multipliers, values range frequencies, due to 1/f mechanisms. In a multiplier used for
from 1 MHz to 1 GHz, but operation of bipolar multiplier cells gain-control purposes, this may cause modulation noise.
at 13 GHz (7) and 32.7 GHz (8) is currently feasible in auto-
matic gain control (AGC) applications.
The individual bandwidths of the input circuits, the multi- HISTORICAL TECHNIQUES
plying core, and the output stage are often different, and de-
sign optimization depends on the requirements. Consider a The earliest analog multipliers were electromechanical (1). In
signal-squaring application being implemented by a four- a servomultiplier (Fig. 5) one of the two inputs, VX, deter-
quadrant multiplier, with the two input channels connected mined the relative angular position X of a shaft. A first poten-
in parallel and sinusoidally excited. This generates an output tiometer, P1, on this shaft, biased by a dc voltage, which can
from the multiplier core at twice the input frequency, plus a be equated to the denominator voltage VU in Eq. (1), gener-
dc component. To extract the ac component, as in a frequency ated the voltage VX ⫽ XVU. Thus, the shaft angle X was pro-
doubler, the output bandwidth must be at least twice that of portional to VX /VU. A second potentiometer, P2, whose excita-
the input frequency; however, if we wish to determine the tion was provided by VY, generated the output (VX /VU)VY. The
mean squared value, as in a power measurement application, static accuracy was determined by VU and the matching of the
the output bandwidth must be much lower, requiring a post- X and Y potentiometers. The response time to the X input,
multiplication low-pass filter to perform averaging. typically 100 ms to 1 s, was determined by the inertia of the
It is often found that the ac response from one of the two mechanical system. The response bandwidth of the Y input
was limited by the ac behavior of the potentiometers (which
multiplier inputs depends in some complex way on the dc
were often wire-wound resistance elements, and thus very in-
value of the other input, due to another type of dynamic error,
ductive) and associated circuitry; it extended to at most a
namely, high-frequency (HF) feedthrough. This occurs when
few megahertz.
the effective gain of a multiplier is low, and results in the ac
Mechanical servomultipliers are essentially obsolete, but
output being (usually) larger than the dc gain value would
in 1975 Gilbert (9) described a novel solid-state realization, in
predict. Two-quadrant multipliers may show this problem
which the position of a rotating shaft was mimicked by the
more noticeably, because they are sometimes designed to pro-
angular position of a carrier domain, a narrow region of
vide a very high gain-control range (as much as 80 dB) and
highly localized minority carrier injection from a semicircular
will therefore be operating in an attenuation mode for much
emitter of a bipolar semiconductor structure. The track of the
of this range. Even minute parasitic capacitances can lead to
mechanical potentiometer was replaced by the resistive col-
large feedthrough errors at, say, 100 MHz and above. The lector region. Smith described a second-generation rotational
solution lies in the use of special cell topologies for HF multi- design (10). In more recent BiCMOS embodiments of this
plication. principle the domain moves linearly, in a long, narrow n-type
The notion of vector error arose in computing applications. emitter, in response to the X input. Its position 0 ⬍ X ⬍ LE is
This is only another way of describing errors due to the finite precisely determined by a parabolic voltage profile induced in
bandwidth of the device, but taking phase lag into account. the p-type base-region, which also serves as a resistor and as
In a system characterized by a single-pole response, a dy- the drain of the superintegrated PMOS devices, as shown in
namic error of 1% will occur at a frequency of 1% of the ⫺3
dB bandwidth, and is closely proportional below this fre-
quency. Thus, a multiplier having a 10 MHz bandwidth ex-
hibits a 0.1% vector error at 10 kHz, while the output magni-
tude is in error by only ⫺0.005%. Practical wideband
Shaft
multipliers rarely conform to a simple one-pole response, Motor
however, and this metric is not often invoked in contemporary
applications of multipliers.
In multipliers based on pulse-averaging methods, there is
a residual periodic ripple on the output, and vector errors are Error VU VY
large, due to the use of multipole filters. Further types of dy- amp
namic error will be found in multipliers implemented using Output
hybrid analog–digital techniques, due to time quantization. P1 P2
buffer
Slew-rate (dV/dt) limitations generate dynamic errors under
large-signal conditions, and increase settling time. Overload-
recovery effects may also arise: a well-designed multiplier will VX X VXVY/VU
not ‘‘fold over’’ when the inputs greatly exceed the nominal Ground
FS value, and will recover quickly when the overdrive condi-
tion is removed. Figure 5. Electromechanical servomultiplier.
MULTIPLIERS, ANALOG 679

L/R base p-type region acts n-type n-type ers). The effective value of VU is determined by these gm’s, the
contacts as PMOS source emitter buried load resistors RL, and A, the voltage gain of the buffer ampli-
PMOS gate (poly-Si) layer
fier. Note that the squaring function implies an embedded
voltage reference VR, a fundamental requirement, since the
basic scaling demands of Eq. (1) must be met. The source of
this voltage, which dominates the accuracy, is not always
made clear in some descriptions of circuit operation.
MOS transistors are said to exhibit a square-law relation-
ship between the channel current and the gate–source volt-
age, when operating in the saturation region. Many of the an-
p-type region simultaneously serves as the alog multiplier cells devised for CMOS implementation
L/R collector npn base, the PMOS drain, and a resistor
contacts during the 1980s depended on this notion. Here, the denomi-
nator VU is traceable to device parameters that are not accu-
(a)
rately known or well controlled. Furthermore, the basic rela-
tionship is far from square-law for modern short-channel
Carrier transistors, which have other error sources (for example,
domain Domain can be moved back-gate channel modulation). Other problems arise in MOS
= “slider” by voltage control
from left to right cells based on operation in the triode region.
Analog multipliers have also been based on the use of
squaring cells made with bipolar transistors, whose practical
Current flow value is questionable, since they perform only indirectly what
into extended can be more efficiently achieved using direct translinear mul-
collector region
tiplier implementations. Indeed, the appeals to the quarter-
CL CR square approach in a contemporary context seem to overlook
Buried layer forms resistive track the historical reasons for invoking this principle in the first
place, at a time when bipolar transistors and their highly ad-
vantageous translinear properties were not yet available.
(b)
In an attempt to push the static accuracy to fundamental
Figure 6. Solid-state servomultiplier: (a) upper regions; (b) detail of limits, the use of nonlinear device behavior has often been set
subcollector. aside to explore what might be done using little more than
analog switches (11). Invariably, the accuracy of these multi-
pliers is limited more by the auxiliary circuitry required to
Fig. 6(a). An n-type buried-collector layer beneath the emitter support the core function, as is true of most practical multipli-
corresponds to the track of the output potentiometer. The do- ers, and any theoretical benefits that might be possible using
main, whose current magnitude is controlled by the Y input, switching techniques are often lost in this way. A more seri-
is analogous to the slider; Fig. 6(b) shows just the collector ous limitation, for all but a few specialized low-frequency ap-
region. plications (for example, power measurement at line frequen-
Two domains may readily be integrated into a single device cies), is that the output must be derived from averaging a
to realize a four-quadrant multiplier. The response time to pulse train whose duty cycle and amplitude vary with the two
the position-controlling X input is typically nanoseconds; the multiplicands. A considerable time is required to determine
Y input is even faster, since the extended npn transistor be- the average value, even using an optimal filter.
haves essentially as a grounded-base stage. While the perfor-
mance of these carrier-domain multipliers is excellent, the
use of superintegrated structures is deprecated in a commer-
cial context, since they are not amenable to standard model-
ing and simulation techniques. RL
With the increased need for speed in analog computers
+ +
during the mid-1940s, electromechanical multipliers were re- VX + VY
placed by vacuum tube circuits. A popular technique of this x2
2
time was based on cells that generated a square-law function, – –
used in conjunction with summing and differencing circuits
to implement a quarter-square multiplier (5), so named be- R A
VR
cause of the algebraic identity VW
R
+ +
XY = 14 [(X + Y )2 − (X − Y )2 ] (5) VX Output
VX – VY 2R x2 buffer
2
A generic implementation is shown in Fig. 7. The squaring – –
VY
circuits are here presumed to be transconductance (gm) ele- R
ments and able to handle X and Y inputs of either polarity VR
(although in practice it is often necessary to include an abso-
lute-value circuit to allow the use of single-quadrant squar- Figure 7. Quarter-square multiplier.
680 MULTIPLIERS, ANALOG

S2 output current that ideally, is proportional to the product,


+VY
Low-pass VW and is converted back to a voltage using a simple resistive
–VY B filter load. While space precludes a discussion of true gm-mode mul-
±VX
tipliers, the underlying device-modeling issues are crucial to
the operation of modern multipliers using translinear-loop
techniques.
A bipolar junction transistor exhibits an accurate and reli-
R +VU able exponential relationship between its collector current IC
A and base–emitter voltage, VBE:
S1
–VU
V  V 
IC = AE JS (T ) exp BE
−1 ≈ AE JS (T ) exp BE
(8)
VT VT

Figure 8. Pulse-averaging multiplier. AE is the effective emitter area (never exactly equal to the
physical junction area, because of edge effects, though exactly
proportional when using replicated unit devices). The factor
An illustrative embodiment of this principle is shown in
JS(T) is the saturation current density, a characteristic of the
Fig. 8. The hysteretic comparator, which operates the change-
doping in the transistor’s base region; it is highly tempera-
over switch S1, and the low-pass filter RC constitute an asyn-
ture-dependent. The product AEJS is usually called IS, the sat-
chronous delta modulator. This loop generates a pulse se- uration current, and is a key scaling parameter. VT is the ther-
quence whose duty cycle is forced to a value such that the mal voltage kT/q, where k is Boltzmann’s constant, 1.38 ⫻
average voltage appearing at node A is equal to the input 10⫺23 C ⭈ V/K, T is the absolute temperature, and q is the elec-
VX, which is assumed to be varying only slowly compared to tron charge, 1.60 ⫻ 10⫺19 C. VT evaluates to 25.85 mV at T ⫽
the oscillation period of the loop, which is determined by the 300 K, which temperature is assumed unless otherwise
hysteresis band of the comparator, VH, the reference voltages stated.
⫾VU, and the time constant ␶ ⫽ RC. It is easily shown that Equation (8) is essentially Shockley’s junction law, stated
the duty cycle is in transistor terms, with some concessions to simplicity, in-
consequential for most practical purposes. First, the approxi-
thi V + VU
P = = X (6) mation of the quantity exp(VBE /VT) ⫺ 1 by the simpler
tlo + thi 2VU exp(VBE /VT) is readily justified: even at VBE ⫽ 360 mV, the
exponential term is over a million. Second, VT should be
varying from 0 when VX ⫽ ⫺VU to 100% when VX ⫽ ⫹VU, multiplied by a factor, called the emission coefficient, which is
independent of VH, which must be considerably less than VU. very close to, but not exactly, unity. Finally, the forward
The comparator is arranged to operate a second switch, S2, to Early voltage VAF and the reverse Early voltage VAR, which do
which the Y input voltage is applied, and whose output at not appear in Eq. (8), have an effect on the IC –VBE relation-
node B is a voltage of ⫹VY and ⫺VY, also having the duty cycle ship. These and other device effects are of importance in the
P . This is averaged in a multipole low-pass filter to generate detailed analysis of high-performance analog multipliers.
the output However, they are truly second-order effects, and the design
of practical, robust, and manufacturable multipliers can ig-
VW = ave{P VY − (1 − P )VY }
nore many of them, or utilize simple compensation techniques
VX (7) to lessen or eliminate their effect on accuracy.
= V
VU Y The dual equation is
VBE = VT log(IC /IS ) (9)
A clocked comparator may be used to provide synchronous
operation. This multiplier offers very high accuracy, arising
Using IS ⫽ 3.5 ⫻ 10⫺18 A, typical for a modern small transis-
from a fundamentally sound architecture, which does not de-
tor, VBE evaluates to 800 mV at 100 애A, and varies by a factor
pend on any device nonlinearity, such as vacuum tube or
VT log 10, or 59.5 mV, per decade. These equations describe
MOS square-law behavior, or the exponential junction law. It
the most important characteristics of a bipolar junction tran-
is well suited to CMOS implementation, where the chief
sistor (BJT), which operates as a voltage-controlled current
sources of error will be the offset voltage in the comparator
source (VCCS), having an exponential form in its collector-
(which can be eliminated using doubly correlated sampling)
current response to an applied base-emitter voltage, or as a
and in the output filter. The fundamental need for averaging,
current-controlled voltage source (CCVS), having a logarithmic
however, severely limits the response bandwidth to the high
form in its base-emitter-voltage response to its collector cur-
kilohertz region; if higher oscillation periods are used in the
rent. The accurate log–antilog properties of bipolar transis-
delta modulator, second-order effects due to inaccurate
tors are the basis of innumerable nonlinear circuits, not avail-
switching eventually become troublesome. able from CMOS devices.
An important outcome of Eq. (8) is that the small-signal
VARIABLE-TRANSCONDUCTANCE MULTIPLIERS transconductance gm is accurately related to the collector cur-
rent:
Analog multipliers may be implemented in a true transcon-
∂IC I (T ) exp(VBE /VT ) I
ductance mode, that is, using cells accepting voltage-mode gm = = S = C (10)
signals at their input interfaces, and generating a differential ∂VBE VT VT
MULTIPLIERS, ANALOG 681

that is, the transconductance is an exactly linear function of


the collector current—the origin of the term translinear. Even
more remarkably, this equation holds true for all bipolar IX IY IU
IW
transistors, on any technology (including heterojunction bipo-
lar transistors (HBT) in SiGe, GaAs, etc.) and is independent + + +
of IS, thus being unaffected by doping levels or device size.
Q5 Q6 Q7
These transconductance multipliers are best viewed as a
subset of the larger class of translinear circuits, and are
widely used in contemporary practice where linearity and ac-
Q3
curacy are less important than extreme simplicity. It is in- Q2
structive to review the history from this broader perspective.
Regrettably, some confusion has arisen in the nomenclature,
Q1 Q4
and what should be called ‘‘translinear multipliers,’’ whose
internal operation can be analyzed entirely in the current
mode, are often mistakenly classified as ‘‘transconductance Q8 Q9
types,’’ which properly have at least one input in voltage form
and generate a current output.

Figure 10. Elaborated current-mode multiplier cell.


TRANSLINEAR MULTIPLIERS

During the period 1960 to 1970, the planar fabrication pro- sistor loop and assigning a value IW to the current in Q4, we
cess for bipolar junction transistors was being perfected, and obtain the equation
it became possible to produce a large number of integrated VT log(IX /IS ) + VT log(IY /IS ) = VT log(IU /IS ) + VT log(IW /IS )
circuits on a 1 in. (2.5 cm) wafer, each having many transis- (11)
tors with excellent analog characteristics and closely match-
ing parameters. In particular, the base-emitter voltages, for It is immediately apparent that the thermal voltages VT may
a given device geometry and collector current, were closely be eliminated from this equation, leaving sums of logarithms.
matched, partly due to operating at near-identical tempera- These may be converted to products:
tures. These and other aspects of monolithic fabrication IX IY I I
= U W (12)
opened the floodgates to stunning new design techniques, IS IS IS IS
hitherto quite impracticable using discrete-transistor imple-
mentations. In fact, many related cell concepts were gener- The saturation currents IS may also be eliminated, leaving
ated within a short period of time. The translinear technique IX IY
for analog multiplication was one such development. IW = (13)
IU
We will begin with a discussion of cells using loops of junc-
tions, called strictly translinear, and identified here as TL The most important observations about this result are: (1)
(translinear loop) cells. TL multipliers can be readily under- temperature sensitivity has been completely eliminated; (2)
stood by considering first a simple one-quadrant cell (Fig. 9) the product IW is exactly proportional to IX and IY; (3) the cell
using four identical, isothermal transistors (12, p. 51). The also provides analog division; (4) the actual VBE’s are of no
collector currents of Q1, Q2 and Q3, which are here assumed interest; that is, we have realized a pure current-mode cell.
to have the same size, are forced to values IX, IY, and IU re- This is a simple demonstration of the power of the
spectively. This cell does not, in principle, place any restric- translinear-loop principle (TLP), which describes the relation-
tions on the values of these currents, but they are restricted ship between all the currents in a loop of transistors, as in
to a single polarity. Summing the VBE’s around the four-tran- this example, and allows complex nonlinear functions to be
synthesized with ease. The TLP states:
 
IC = λ IC (14)
cw ccw

+ which reads: ‘‘The product of the collector currents in the


IX
IC2 IC3 IC4 clockwise direction around a translinear loop is equal to the
= IW product of the counterclockwise collector currents, times a fac-
IC1 Q3 tor ␭ that allows for the possibility that the transistors emit-
Q2
ter areas AE may differ.’’ For present purposes, we will gener-
ally assume ␭ ⫽ 1, except when discussing certain distortion
Q1 Q4 mechanisms. Note that this condition may be achieved using
devices of different emitter area, arranged in balanced pairs
IY IU of equal area, or in many other ways. Any number of loops
may overlap, and thus interact, but each loop will indepen-
dently satisfy this equation.
Figure 10 shows a practical development of the basic cell
Figure 9. Translinear one-quadrant multiplier–divider cell. that retains the pure current-mode operation and can provide
682 MULTIPLIERS, ANALOG

+ logarithmic relationship to IC ⫽ IX over a very wide dynamic


range, specifically, VBE ⫽ VT log(VX /RXIS); accordingly, this cell
– may also be used as a logarithmic element (see LOGARITHMIC
CC AMPLIFIERS). RC and CC are necessary to ensure that the OPA
S loop remains stable.
Figure 12 shows a complete one-quadrant multiplier based
RC on this approach. It is traditionally called a log-antilog multi-
RX plier, because of the reliance on the dual log-exp relationships
VX Q1 of Eqs. (8) and (9). Its function is not, however, predicated on
IX IC
the small-signal transconductance given by Eq. (10), which
is also temperature-dependent, but is accurately linear with
respect to the X or Y input under large-signal conditions, and
temperature-stable. A simpler view is therefore that it is a
Figure 11. Method for forcing IC ⫽ IX ⫽ VX /RX using an OPA. translinear multiplier, following the principle stated in Eq.
(14), aided by operational amplifiers to accurately force the
collector currents.
very accurate operation. Transistors Q5 to Q9 ensure that the OPA1 to OPA3 provide the input interfaces (the HF com-
collector currents are forced to the desired value, even when pensation has been omitted for clarity). OPA4 converts the
the dc current gain 웁0 is low; all of the above equations re- current IC3 ⫽ IW to a voltage VW ⫽ IWRW. The op-amps hold the
main accurate in this eventuality. The main sources of static collector voltages of all transistors at ground potential, elimi-
error in this multiplier are (1) emitter-area uncertainties in nating Early-voltage errors. From inspection,
Q1 to Q4, which cause a simple scaling error, but do not gen-
erate nonlinearity; (2) the base and emitter resistances, rbb⬘ RU RW VX VY
and ree⬘, which will cause nonlinearity, but only at high cur- VW = (15)
RX RY VU
rents, when the voltage drops across them are a significant
fraction of VT; (3) the modulation of IW by the collector–base
voltage VCB of Q4, due to finite Early voltage. The linear errors are determined by the offset voltages of the
Many further improvements in current-mode multipliers amplifiers (a 1 mV offset represents a 0.01% error for a FS
are possible, and have been developed, but it is generally nec- input or output of 10 V), by element matching, and by the
essary for a multiplier to receive and generate voltage-mode voltage reference. Nonlinear errors are mainly determined by
variables. Special-purpose high-bandwidth interface cells can the junction resistances in Q1 to Q4. The dynamic errors are
be designed for high-bandwidth voltage-to-current (V–I) and dominated by the op-amps. This remains a one-quadrant mul-
current-to-voltage (I–V) conversion. However, in moderate- tiplier–divider, useful in specialized contemporary applica-
speed applications operational amplifier (OPA) interfaces can tions. Incidentally, this drawing hints at the care which must
provide these functions. Figure 11 shows a rudimentary be taken in a practical realization with regard to the place-
structure for forcing a collector current (5). ment of the current-forcing connections.
The low input offset voltage of the OPA serves two func- More often, multipliers are used as gain-control elements,
tions. First, it places the current-summing node S close to which require two-quadrant (bipolar) operation of the signal
ground potential (under static conditions), which allows the path, with single-polarity operation of the other multiplicand
input current IX to be generated from a voltage VX with high and the divisor. However, a one-quadrant cell can be adapted
accuracy, scaled by RX, thus: IX ⫽ VX /RX. Assuming the OPA for two- or four-quadrant operation. The rearrangement
has very low input current, all of IX flows in the collector of shown in Fig. 13 shows the extension to two quadrants; fur-
the transistor. Second, it forces the VCB of Q1 to be zero, elimi- ther rearrangements of this same core allow operation in all
nating modulation effects due to VAF. The VBE of Q1 bears a four quadrants.

VX VY VU VW

RX IX RY IY RU IU RW IW

OPA4
– +

+ – + – – +
Q2 Q3
OPA1 OPA2 OPA3
Q1 Q4

Figure 12. One-quadrant log–antilog multiplier having volt-


age interfaces.
MULTIPLIERS, ANALOG 683

VP
RW
Voltage inverter RL

R +
±VW

R OPA4 ±VX
±VX – = V
+VU Y
+ RW
RX
OPA1

RX Q1 Q4

+VU – –
RU Q2 Q3 RU
+ +
OPA2 OPA3

IY
Figure 13. Two-quadrant multiplier/di-
vider.

HIGH-FREQUENCY TRANSLINEAR MULTIPLIERS multiplier technique provides such broad coverage of the ap-
plications domain.
The bandwidth of the preceding circuits is severely limited To illustrate the principles, Fig. 14 shows a practical two-
by the operational amplifiers. Some improvement in a fully quadrant translinear multiplier. The bandwidth of the TL
monolithic design is possible using specialized amplifiers, but core extends from dc to a substantial fraction of the fT of the
in order to realize the full potential of the translinear core, transistors, which for a modern BJT process is commonly 25
other types of interface—often, though not necessarily, using GHz, and as high as 100 GHz using advanced SiGe hetero-
open-loop rather than feedback techniques, are used. Note junction technologies. The chief practical limitation to overall
that moderately accurate conversion back to voltage mode in bandwidth is usually the support circuitry, notably the V–I
a wideband current-mode multiplier may be achieved by the conversion cell at the X input and in the conversion of the
use of simple load impedances. differential current-mode outputs to a voltage output.
The first translinear multipliers, described by Gilbert in The input voltage VX is first converted to a pair of differen-
1968 (13), achieved a response from dc to 500 MHz, and ex- tial currents (1 ⫹ X)IX and (1 ⫺ X)IX by the V–I cell; these
hibited a large-signal rise time of 0.7 ns. Two-quadrant types currents are applied to the diode-connected outer pair of tran-
were originally developed for use in oscilloscope vertical de- sistors, Q1, Q2. Assume that the V–I interface is perfectly
flection amplifiers, to provide interpolation between the linear, that is, X ⫽ VX /IXRX. For the case of the pnp-style in-
1 : 2 : 5 step attenuator settings that determine the sensitivity, terface shown here, X runs from ⫹1 when the value of VX is
replacing the use of potentiometers, which were formerly used
in the signal path. Four-quadrant types were also developed
during that period, for use in modulation and demodulation;
optimized low-noise mixer cells, including the widely used to-
IX IX
pology known as the ‘‘Gilbert mixer,’’ were developed for use VX/RX
in frequency translation applications, though prior art using
discrete devices (14) was discovered in patent searches.
The immediate combination of monolithic simplicity, accu-
racy, speed, and low cost was unprecedented at that time, and VX (1 – Y) IY (1 + Y) IY
remains unimproved on even to this day. These classic circuit VBB
cells have undergone little change in design, and have become (1 – X) IX (1 + X) IX
– +
the dominant technique for analog multiplication across a
broad front.
Numerous commercial products are nowadays available Q1 Q2 Q3 Q4
providing one-quadrant, two-quadrant and four-quadrant op-
ccw cw ccw cw
eration, sometimes supporting the division function. Absolute
accuracies may be as high as 0.05%, nonlinear errors as low
as 0.01% (roughly corresponding to harmonic distortion levels 2IY
of ⫺80 dBc) and bandwidths extend from dc, through audio,
ultrasonic, IF, RF, and microwave ranges, and as high as 40
GHz in advanced optical receiver AGC applications. No other Figure 14. Two-quadrant wideband multiplier cell (type A).
684 MULTIPLIERS, ANALOG

at, or more than, its FS negative limit of ⫺IXRX, to ⫺1 at the The differential voltage VBB has the magnitude
other extreme, when VX ⱖ IXRX.
The variable X, called a modulation factor, is frequently 1+X
VBB = VT log (20)
useful in describing the operation of these cells. A feature of 1−X
this multiplier cell (and unique to TL cells) is that operation
and while this voltage, having a peak value of ⫾50 mV for
remains linear up to the extremities of the FS range, in other
Xmax ⫽ ⫾0.75, is of only incidental interest, it provides a use-
words, for all values ⫺1 ⱕ X ⱕ ⫹1. In practice, a moderate
ful way to assess the wideband noise of a translinear multi-
peak value of XFS of about ⫾0.75 is generally used, first, to
plier. For example, when IX ⫽ IY ⫽ 1 mA, the total voltage
ensure that at FS drive, the current densities remain above
noise spectral density (NSD) of this four-transistor cell evalu-
the point where the instantaneous bandwidth, that is, f T(IC,
ates to about 1.3 nV/Hz1/2. Thus, if the FS output is raised to
VCB), is jeopardized during portions of the signal cycle, and
⫾1 V—a factor of 20 times VBB —the lowest possible NSD at
second, to reduce the incidental nonlinearity in the V–I cell.
this node will be 26 nV/Hz1/2. Assuming a 1 MHz signal band-
Since the peak input voltage is VXFS ⫽ XFSIXRX, it follows that
width, this amounts to 26 애V rms, providing a dynamic range
the bias current must be raised to IX ⫽ VXFS /XFSRX to provide
of 88.7 dB for a 707 mV rms sine-wave output.
this overrange capacity. For XFS ⫽ 0.75 and a FS input of 1
More detailed analysis shows that this particular cell is
V, the product IXRX must be 1.333 V.
beta-immune, that is, finite base currents do not affect the
To analyze the operation of this Type A multiplier cell—so
basic operation, provided that the current gain 웁 ⫽ IC /IB is
named because the junction polarities alternate around the
substantially independent of current over the X range. This
loop, cw–ccw–cw–ccw—we can assign a similar modulation can be understood by noting that the fractional loss of current
index Y to describe the currents in the driven pair Q3, Q4 in the outer transistors due to the base currents IB3 and IB4 of
and then apply the TLP. To gain rapid insight, we will ignore the inner pair bears a constant-ratio relationship to IC3 and
for the moment the effects of junction resistance, finite base IC4; thus, the modulation factor X is unaffected by the base
currents, and device mismatches. While these may appear to currents, and Y continues to have the same modulation factor
be naive simplifications, the performance capabilities of TL as generated by the input V–I converter. Even when the base
multipliers are well proven, and the practical errors can in currents are almost equal to the available drive currents, and
fact be held to very low levels. Applying the TLP, from Eq. Q1, Q2 are operating under starved conditions, the multiplier
(14), we have remains linear—a unique property of this particular circuit.
The scaling error due to 움 ⫽ IC /IE can be considered as a sepa-
IC2 IC4 = IC1 IC3 (16) rate mechanism, even though arising from the same device
cw ccw
limitations. Highly refined design techniques address such
matters of scaling stability and robustness in mass pro-
Inserting the actual currents, we have duction.
The most serious nonlinear error sources in this cell are (1)
(1 − Y )IX (1 + X )IY = (1 − X )IX (1 + Y )IY (17) small mismatches in the emitter areas and (2) finite junction
resistances. These and other causes of errors in translinear
Thus multipliers were fully quantified in the seminal papers pub-
lished in 1968 (15,16). Mismatches between the ratios
Y ≡X (18) AE1 /AE2 and AE3 /AE4 cause even-order nonlinearities (essen-
tially quadratic), and also linear offsets. The factor ␭ used in
Eq. (14) is here given by
independent of IX and IY. That is, the modulation factor in the
outer pair has been exactly replicated in the inner pair, and AE1AE4
the current-gain is simply IY /IX. λ= (21)
AE2 AE3
This is a very important result. Note the simplicity of the
analysis that results from direct application of the TLP,
which completely bypasses considerations involving VBE, its
exponential nature, and the temperature-dependent satura-
Q1 Q4
tion current IS and thermal voltage kT/q. Equation (18) holds
ccw (1 – Y) IY (1 + Y) IY cw
over all temperatures, for all bias currents (from nanoamp-
eres to milliamperes), any device size, either device polarity
(pnp or npn), for any material (Si, Ge, SiGe, GaAs), and for
any bipolar technology (homojunction or heterojunction). (1 + X) IX Q2 Q3 (1 – X) IX
For this striking reason, the TLP was originally named cw ccw
‘‘the pervasive principle.’’ It is as fundamental a result for VX
translinear circuits as that for gm stated in Eq. (10) is for a VX/RX
single transistor. Of course, when implementing the principle
in robust, high-performance products, many detailed issues
need consideration. The differential output current is just IX 2IY IX

IW = IC3 − IC2 = (1 + X )IY − (1 − X )IY


(19)
= 2X IY Figure 15. Alternative two-quadrant multiplier cell (type B).
MULTIPLIERS, ANALOG 685

reason they are often used even where only two-quadrant op-
IW eration is required.
Q1 Q4
A four-transistor multiplier has only one other topological
form, shown in Fig. 15, called a Type B (balanced) cell. The
Q2 Q3 Q5 Q6 junctions occur in pairs, cw–cw–ccw–ccw. It can be shown
that this circuit does not enjoy the beta immunity of the Type
A multiplier, since the currents in the driven transistors Q2,
Q3 are now out of phase with those in the input transistors
(1 + X) IX (1 – X) IX (1 – Y) IY (1 + Y) IY
Q1, Q4; the base currents subtract from the drive currents in
antiphase. It is nonetheless widely used, because it can be
VX VY
easily driven from all-npn interfaces (V–I converters), which
RX RY
until recently were the only available high-speed transistors
in a bipolar IC process.
A four-quadrant multiplier is formed by adding a further
IX IX IY IY
pair of transistors Q5, Q6, also having their bases driven from
Q1, Q2, as shown in Fig. 16, and their outputs connected in
parallel antiphase. The common-emitter nodes are driven
Figure 16. Wideband four-quadrant multiplier cell. from a second V–I, handling the Y input and generating a
complementary pair of currents (1 ⫹ Y)IY and (1 ⫺ Y)IY, where
Y ⫽ VY /IYRY.
The VBE mismatch around the loop Q1–Q2–Q3–Q4 is VT log The operation is an extension of that analyzed for the two-
␭. While this voltage is a function of temperature, the effects quadrant cell. Elaborating Eq. (19), we have
of emitter-area mismatches in translinear circuits are tem-
IW = IC3 − IC2 + IC6 − IC5
perature-independent, and the voltage view is irrelevant.
For Xmax ⫽ ⫾0.75, it is found that the peak quadratic error = (1 − X )(1 − Y )IY /2 − (1 + X )(1 − Y )IY /2
(22)
is 0.1% of FS output when ␭ ⫽ 1.002 (VBE mismatch of 52 애V). + (1 + X )(1 + Y )IY /2 − (1 − X )(1 + Y )IY /2
To minimize this error the transistors should be arranged in = 2XY IY
a common-centroid manner in the IC layout. This level of
quadratic error is routinely achieved in untrimmed multipli-
The conversion of the differential currents back to the voltage
ers, and may be reduced by a factor of at least ten by using
domain can be accomplished in several ways, depending
laser trimming to null the second harmonic distortion. This
largely on accuracy and bandwidth considerations. In high-
error is independent of device size and bias currents.
frequency applications, a balanced-to-unbalanced transformer
Errors due to junction resistance, on the other hand, are
(balun) can be employed, with the working load impedance
dependent on device size (mostly because of the effect on the
RW determining the overall scaling factor.
base resistance rbb⬘) and operating currents. These cause odd-
Substituting the full expressions for X and Y into Eq. (22),
order nonlinearity errors (essentially cubic), but no offsets.
we can write
This source of nonlinearity is particularly troublesome in two-
quadrant VCA cells, where current densities vary widely.
VX VY IY RW V V R
However, when certain simple criteria are met (16), this dis- VW = 2XY IY = = X Y W (23)
tortion can be eliminated in four-quadrant cells, and for this IX RX IY RY VU RY

RL ∆V → 0

+
IW
Q1 Q4 –
AO
σ
Q2 Q3 Q5 Q6

VX VY VZ

RX RY RZ

IX IX IY IY IZ IZ
Figure 17. Versatile four-quadrant struc-
ture using active feedback.
686 MULTIPLIERS, ANALOG

+ V XV Y
VX X W G
– VU
R1
× V Z1

+ – R2
VY Y Z
– + V Z2

Figure 18. Four-quadrant multiplier


with gain.

where VU ⫽ IXRX in this implementation. It follows that the ing on one of the inputs, by a feedback connection, but it does
biasing arrangements for IX should be traceable to an accu- not have to be the Z input. We will show that in addition to
rate, temperature-stable voltage reference. In a complete mul- four-quadrant multiplication, this versatile IC structure can
tiplier, this current will also include compensation for finite be used for two-quadrant squaring, simple one-quadrant
beta. The AD834 is a dc to 1 GHz four-quadrant multiplier square-rooting, absolute-value extraction, two-quadrant
having essentially this structure, having open-collector out- square-rooting (with very few external components), two-
puts; special techniques are employed to linearize the X and quadrant division, four-quadrant division (using two ICs),
Y interfaces. and N-dimensional vector summation (using N multiplier
In low-frequency (⬍300 MHz) applications, it is possible to ICs).
provide a more versatile output interface based on feedback The active-feedback structure can also easily generate an
around a closed loop. Of particular interest here is the use of accurate bipolar current output having a very high imped-
active-feedback techniques (17) to improve linearity. Figure ance, and thus also provide exact time integration using a
17 shows the general structure: a third V–I cell has been capacitor. This structure was first used in the highly success-
added to handle the feedback path; its differential output is ful AD534, a fully calibrated laser-trimmed monolithic multi-
VZ /RZ. Note that while the currents IY and IZ do not enter into plier introduced by Analog Devices in 1975, and since then in
the scaling of this multiplier, they nevertheless affect the FS the AD633, AD734, and AD835, and IC multipliers from other
capacity of the Y and Z interfaces. Resistive loads RL are used manufacturers. It has become a classic form, having been de-
at the collectors of the core, but the high open-loop gain of the signed into thousands of systems.
output amplifier ensures that the differential voltage swing is To complete the theory of this multiplier form, we will re-
very small. The output currents of the Z cell are attenuated write the general solution found by nulling the bracketed
by a scaling factor ␴; the purpose of this will become clear in terms in Eq. (24):
a moment.
The output can be expressed as
VX VY σVZ
V σVZ RL
 IX RX RY
=
RZ
(25)
X VY IY RL
VW = AO − (24)
IX RX IY RY RZ
which can be simply cast as
As before, the IY factors cancel, and when the effective resis-
tance AORL is very high, the two terms inside the brackets VX VY = VZVU (26)
must be equal. This condition will always be forced by VW act-
where the scaling voltage is

VX VU = σ IX RX RY /RZ (27)
+
X W
– This current is
R It was previously noted that the product IXRX needed to be
proportional
× to the product 1.333 times the FS input to result in a FS modulation factor
VW across RI XFS of 0.75. For a FS X input of 10 V, this requires IXRX to
A + – evaluate to 13.33 V (though no such explicit voltage may arise
Y Z RI
– + Vout in the IC). Further, it is desirable that RZ ⫽ RY, so that the
+1
R nonlinearity arising in the feedback (Z) cell exactly cancels
that of the Y-input cell; this is an important advantage of the
active feedback scheme. Finally, we wish the scaling voltage
to be exactly 10 V. Solving Eq. (27), this requires that the
CI
factor ␴ must be set to 0.75.
These multipliers also provide fully differential, high-im-
Figure 19. Rms–dc converter using difference-of-squares technique. pedance X, Y, and Z interfaces, implementing a more compre-
MULTIPLIERS, ANALOG 687

V1
10 kΩ 100 pF
X1 O/P

+ +
– + OPA1
KW
X2 Z1 VW
×
Y1
+ –
– +
–KW
Y2 Z2
KVW
V2
X1 O/P R
+
+

– + OPA2
KW R
X2 Z1
×
Y1
+ –
– +
–KW –KVW
Y2 Z2

N stages
VN
X1 O/P
+
– +
KW VW = V12 + V22 + ... + VN2
X2 Z1
× when r = ( N – 1)R
Y1
+ –
– +
–KW
Y2 Z2
Figure 20. N-dimensional vector sum-
mation.

hensive function, which can be expressed in terms of the bal- add feature), and many more nonlinear/linear operations,
ance equation such as trigonometric-function synthesis, rms–dc conversion,
and programmable filters. The availability of this Z interface
(VX 1 − VX 2 )(VY 1 − VY 2 ) = VU (VZ1 − VZ2 ) (28) is of great practical value, and is used in many of the applica-
tion examples which follow (18), in most of which either the
While many general purpose multipliers support 10 V FS in- low-cost AD633 or the AD534 can be used.
puts and utilize a denominator voltage VU of 10 V (such as Figure 18 shows the basic symbol for versatile multipliers
the AD534, AD633, and AD734), the 250 MHz AD835 has ⫾2 of this class, and demonstrates how one may be connected for
V FS inputs, with a VU that is readily trimmed to 1 V, thus basic four-quadrant multiplication. With VW simply connected
providing a ⫾4 V FS output; the node VZ1 is internally con- to VZ1, the balance equation (28) becomes
nected to VW, but access to VZ2 allows many useful extra func-
tions based on summing at the output. The 1 GHz AD834 (VX 1 − VX 2 )(VY 1 − VY 2 ) = VU (VW − VZ2 ) (29)
provides differential current outputs.
thus

MULTIPLIER APPLICATIONS VX VY
VW = + VZ2 (30)
VU
The balance equation can be used to implement numerous
functions: modulation, demodulation, mean-square and root- In this case, however, only a fraction of VW is returned to the
mean-square extraction, power measurement, gain control; Z interface, invoking familiar feedback techniques, which
filterless demodulation, correlation (using the multiply-and- raises the output gain by the factor G ⫽ (R1 ⫹ R2)/R2, and
688 MULTIPLIERS, ANALOG

X1 O/P
u′ =
+ u cos θ + v sin θ
– +
10 kΩ
X2 Z1
Vθ ×
0±10 V Y1
+ –
– +
10 kΩ
Y2 Z2
θ = 2 tan–1(Vθ /20)
(rads)
u

X1 O/P
v′ =
+ v cos θ+ u sin θ
– +
10 kΩ
X2 Z1
×
Y1
+ –
– +
10 kΩ
Y2 Z2

Figure 21. Vector rotation circuit. v

thus the effective value of the denominator voltage is VU /G. A nique (19), shown in Fig. 19. This scheme generates the prod-
voltage at the lower end of R2 adds directly to the output, with uct
a scaling factor of unity.
The output may be taken in current-mode form from the Vin + Vout V 2 − Vout
2
VZ2 node by a resistor placed from VW to VZ2 since the product (Vin − Vout ) = in (31)
2 2
voltage VXVY /VU is forced across this resistor, whatever its
value and for any load voltage (for both within a wide range);
the long-term average of which is forced to zero by the action
the output impedance is very high (megohms), being deter-
of the loop including the integrator, which also serves to aver-
mined by the common-mode rejection ratio of the Z interface.
age the signal at the multiplier output, VW. It follows that
When this current is applied to a grounded capacitor, the
time-integration function can be implemented. This is used in
the rms–dc converter based on a difference-of-squares tech- Vout = ave(Vin2 ) (32)

VM
+
X W
– VM
(1 + ) E sin ω t
VU
×

+ –
E sin ω t Y Z
– +

Figure 22. AM modulator. Carrier feedforward


MULTIPLIERS, ANALOG 689

Negative feedback It is sometimes required to rotate a pair of signals repre-


senting a two-dimensional vector, for example, in image pre-
sentation systems. Figure 21 shows how just two multipliers
may be used. The vector (u, v) is turned through an angle ␪
+ V XV U controlled by the V␪ input, to generate
X W
– VZ u  = u cos θ + v sin θ (34a)

× v = v cos θ + u sin θ (34b)
+
+
+ – VZ where
VY Y Z
– + –
– θ ≈ 2 arctan(Vθ /20) (35)

Using AD534 or AD633 multipliers, the rotation scaling is


Figure 23. Two-quadrant division. 5.725 deg/V and is ⫾60⬚ at V␪ ⫽ ⫾11.55 V; the relative error
is ⫺2% at V␪ ⫽ ⫾5 V (␪ ⫽ ⫾28⬚). The length of the vectors is
unchanged. A related scheme for cathode-ray tube (CRT) ge-
ometry and focus correction was described in Ref. 18.
in other words, the rms value of Vin has been generated. Using In a more commonplace application, the Z interface is also
an integration time constant CIRI of 16 애s, the loop settles to used in the AM modulator shown in Fig. 22, where the carrier
within 1% of the final value after 123 애s for a 10 V sine-wave E sin 웆t is fed forward to the output (which responds as a
input, and within 305 애s for a 4 V input. With the capacitor voltage follower to the Z2 input) and thus added to the product
omitted, the circuit provides the absolute-value function. of the modulation voltage VM and this carrier.
A further example of the utility of the summation feature The Z interface is especially useful in analog division (Fig.
is provided by the N-dimensional vector-summing circuit (Fig. 23), since it presents a high-impedance, fully differential in-
20), where this function is provided by daisy-chaining the put port. From Eq. (28), with VX1 now being the output VW, we
multiplier outputs. The inputs V1 through VN may have either have
polarity, and the loop seeks to null the sum of the N indepen-
dent difference-of-the-squares components. When the factor K (VW − VX 2 )(VY 1 − VY 2 ) = VU (VZ1 − VZ2 ) (36)
is set to 1/ 兹N, the overall scaling from the inputs is unity,
that is thus

VW =
V 2 + V22 + · · · + VN2
VZ1 − VZ2
1
(33) VW = VU + VX 2 (37)
VY 1 − VY 2

The integration time, provided by the 10 k⍀ resistor and the A high-impedance summing input is again available. To
100 pF capacitor, will normally be chosen to ensure stable maintain negative feedback around the output amplifier, the
loop operation, but when large enough to implement averag- denominator must be positive, but the numerator may have
ing, the circuit performs the root-mean-sum-of-squares oper- either polarity. The circuit therefore provides two-quadrant
ation. division, with the added advantage of differential signal pro-
The use of normalized variables is often valuable in ana- cessing available at both the numerator and denominator
lyzing and synthesizing multiplier applications. Thus: inputs.
The determination of the ratio of two voltages, both of
x = VX /VU ; y = VY /VU ; w = VW /VU ; z = VZ /VU ; etc. which have indeterminate polarity, calls for a divider capable

x2 x1

x1 – x2
w=
y1 – y2
X1 O/P X1 O/P
+ +
– + – +
X2 Z1 X2 Z1
× ×
Y1 Y1
+ – + –
– + – +
Y2 Z2 Y2 Z2

y1

y2 Figure 24. Four-quadrant division.


690 MULTIPLIERS, ANALOG

X1 O/P

+ uπ
R3 = w = sin
– + 2
4.70 kΩ 0<u<1
X2 Z1
R1 = R2 = ×
10.0 kΩ 18.0 kΩ Y1 R4 =
4.30 kΩ
+ R5 =
– 3.00 kΩ

Y2 Z2

Figure 25. Sine-function synthesis. u

of accepting a bipolar denominator input. This is the four- and the useful arctangent function may be approximated with
quadrant division function. Commercial products that per- a peak error of ⫾0.46⬚ as shown in Fig. 26.
form this function are not in demand, but it is easily imple- Many further examples could be provided. These general-
mented using two of these general-purpose multipliers, as purpose voltage-in, voltage-out translinear multipliers cover
shown in Fig. 24. The behavior is benign through the singu- a very broad range of applications, and their structure is prac-
larity where the denominator is zero, though of course sig- ticable for operation from dc to at least 1 GHz. Contemporary
nificant errors arise for small values. This circuit is unusual wideband translinear multipliers, implemented using very
in being able to divide one sine wave by another. In a test in fast complementary bipolar silicon-on-insulator (CB-SOI) pro-
which a 400 Hz, 10 V amplitude sine wave was applied to cesses and aided by advances in noise and distortion reduc-
both numerator and denominator inputs, the output was es- tion, calibrated to high accuracy using laser-wafer trimming
sentially constant at ⫹10.03 V, with a ripple of only ⫾50 mV and presented in tiny surface-mount packages, represent the
at the zero crossings. epitome of the analog nonlinear art, while squarely meeting
These versatile multipliers allow the implementation of ar- the pragmatic ongoing requirements for a wide variety of non-
cane and often complicated nonlinear functions. For example, linear continuous-time signal-processing tasks, which go far
the sine function can be approximated by beyond the basic task of multiplication.
All manner of special-purpose multiplier cells, of either
1.0468θ − 0.4278θ 2 transconductance or translinear types, have found their way
sin θ ≈ (38)
1 − 0.2618θ into numerous IC systems: as gain-control elements, often im-
plementing the AGC function; as power detectors and power
over the range 0 ⱕ ␪ ⱕ 앟/2. The theoretical error is ⫾0.4% of controllers; in correlation applications; in analog-programma-
FS. While the synthesis requires considerable elaboration, ble filters; as modulators and demodulators, for example, syn-
Fig. 25 shows how simple the implementation becomes, using chronous detectors; and much else. Their simplicity, com-
a single, low-cost multiplier. A better approximation, provid- pleteness (no external components are usually required), very
ing a theoretical error of only ⫾0.01%, and ⬍0.2% in practice, high speed combined with excellent accuracy, low supply volt-
can be implemented with just two such multipliers and five age requirements, and low power, coupled with low cost and
resistors (18). Cosine synthesis needs only one multiplier and very small size, ensure the continued use of these ubiquitous
two resistors (18), with a peak error of ⫾2% at 22⬚ and 73⬚, elements.

BIBLIOGRAPHY

1. S. Fifer, Analogue Computations, New York: McGraw-Hill, 1961.


X1 O/P 2. G. A. Korn and T. M. Korn, Electronic Analog Computers, New
+ R3 = tan–1u York: McGraw-Hill, 1956.
– + 4.70 kΩ w=
45° 3. B. Gilbert, Translinear circuits: An historical overview, Analog
X2 Z1 (+10 V output Integrated Circuits Signal Proc., 9 (2): 95–118, 1996.
×
Y1 R2 = for +10 V input) 4. B. Gilbert, Adavnces in BJT techniques for high-performance
+ – 5.10 kΩ R1 = transceiver, Eur. Solid-State Circuits Conf. Rec., Sept. 1997, pp.
– + 3.60 kΩ 31–38.
u
Y2 Z2 5. D. Sheingold (ed.), Nonlinear Circuits Handbook, 2nd ed., Analog
Devices, Norwood, MA: 1976.
6. B. Gilbert and B. Sam, 4-quadrant analog multiplication to
⫹/⫺0.025% accuracy needs few parts, Electron. Design, June 23,
Figure 26. Arctangent synthesis. 1997, pp. 70–72.
MULTIPLIERS, ANALOG CMOS 691

7. M. Moller et al., 13 Gb/s Si-Bipolar AGC amplifier IC with high


grain and wide dynamic range for optical-fiber receivers, IEEE J.
Solid-State Circuits, 29: 815–822, 1994.
8. T. Masuda et al., 40 Gb/s analog IC chipset for optical receiver
using SiGe HBTs, 1998 IEEE ISSCC Techn. Dig., 1998, pp.
314–315.
9. B. Gilbert, A new technique for analog multiplication, IEEE J.
Solid-State Circuits, SC-10: 437–447, 1975.
10. J. Smith, A second-generation carrier domain four-quadrant mul-
tiplier, IEEE J. Solid-State Circuits, SC-10: 448–457, 1975.
11. J. G. Holt, A two-quadrant multiplier integrated circuit, IEEE J.
Solid-State Circuits, SC-8: 434–439, 1973.
12. B. Gilbert, Current-mode circuits from a translinear viewpoint: A
tutorial, in C. Toumazou, F. J. Lidgey, and D. G. Haigh (eds.),
Analogue IC Design: The Current-Mode Approach, IEE Circuits
and Systems Series, Vol. 2, Stevenage, UK: Perigrinus, 1990.
13. B. Gilbert, A dc-500 MHz amplifier/multiplier principle, ISSCC
Tech. Dig., IEEE, Feb., 1968, pp. 114–115.
14. H. E. Jones, Dual output synchronous detector utilizing transistor-
ized amplifier, March 15, 1996, US Patent 3,241,078.
15. B. Gilbert, A new wideband amplifier technique, IEEE J. Solid-
State Circuits, SC-3: 353–365, 1968.
16. B. Gilbert, A precise four-quadrant multiplier with subnanosec-
ond response, IEEE J. Solid-State Circuits, SC-3: 365–373, 1968.
17. B. Gilbert, A high-performance monolithic multiplier using active
feedback, IEEE J. Solid State Circuits, SC-9: 364–373, 1974.
18. B. Gilbert, New analogue multiplier opens way to powerful func-
tion-synthesis, Microelectronics, 8 (1): 26–36, 1976.
19. B. Gilbert, Novel technique for rms-dc conversion based the dif-
ference of squares, Electron. Lett., 11 (8): 181–182, 1975.

BARRIE GILBERT
Analog Devices Inc.
62 MULTIVIBRATORS

ri
MULTIVIBRATORS
A multivibrator is a device which transitions (vibrates) be- ri
tween several (multi) fixed output levels. Besides their use for
+ +
timing, they are commonly used either for storage or for clock-
ing of data in digital computers using binary numbers where +
the number of levels is generally two. There are several types U – C x y
of multivibrators and several classification schemes. One clas-
sification is (1) triggered or (2) free-running. Another more – –
frequent classification method uses their stability properties Trigger
for which, in the two-level case, there are (1) monostable, (2)
bistable, or (3) astable multivibrators. The bistable multivi- (a)
brator has an output that remains in either of its two stable
states until a trigger occurs, which forces a transition to the y
other stable state; consequently, the name flip-flop is fre-
quently ascribed to it. The monostable multivibrator remains Yhi Xwid
in its one stable state until triggered into its unstable state,
from which it eventually returns, usually after a fixed transi-
tion time, to the stable state; an alternate name of one-shot
is frequently used for it. The astable multivibrator acts as a Xlo Xmid Xhi
nonlinear oscillator as it oscillates periodically between its x
two unstable states, often in an asymmetrical manner and
giving different resting times for each state. Most standard Ylo
electronic circuits texts contain some material on multivibra-
tors, as, for example, Refs. 1 and 2 as well as Refs. 3 to 6.
(b)
GENERIC—BINARY HYSTERESIS MULTIVIBRATOR Figure 1. (a) Generic multivibrator using hysteresis. (b) Binary hys-
teresis. Gives philosophy for all multivibrator types. Hysteresis gen-
A generic form of binary multivibrator is obtained by combin- erated as per Fig. 7(a).
ing a nonlinearity that is binary hysteresis with a linear load
line, a single capacitor for dynamics, and a possible trigger
input; the type of multivibrator depends upon the position of and is seen to represent an offset of the hysteresis curve along
the load line on the hysteresis curve. Such a circuit is illus- the x axis. Of similar importance is the hysteresis width given
trated in Fig. 1(a), where all signals are taken as voltages by
referenced to ground; the hysteresis is illustrated in Fig. 1(b).
A circuit for the hysteresis is given in Fig. 5, but here we Xwid = Xhi − Xlo (5)
assume infinite input impedance and zero output impedance.
If at time t we take u(t) to be the multivibrator (trigger) in- By considering Eq. (1) at DC we obtain the (untriggered, that
put, y(t) its output, and x(t) its internal state signal, which is is, with u ⫽ 0) load line for the hysteresis as given by
input to the hysteresis h(x), we can write  gi

y= 1+ x = Lx (6)
dx gf
C = gi (u − x) + gf (y − x) (1)
dt
y = h(x) (2) which defines the slope L ⫽ [1 ⫹ (gi /gf )], with L ⬎ 1. De-
pending upon this slope L the hysteresis mid-point and the
hysteresis width, we have three basic types of intersections of
in which the constants are the capacitance C, input conduc-
the load line with the hysteresis as discussed in the next sec-
tance gi ⫽ 1/ri, and feedback conductance gf ⫽ 1/rf . The binary
tions and shown in Fig. 2(a–c).
hysteresis is characterized by
 Monostable Multivibrator
Ylo if Xlo ≤ x
y = h(x) = (3) The monostable multivibrator results from the situation
Yhi if x ≤ Xhi
shown in Fig. 2(a), where in the absence of an input trigger
(that is, u ⫽ 0), there is one intersection, at the Q point,
where the constants Xlo and Xhi are the low and high transi-
tion points of the hysteresis, satisfying Xlo ⬍ Xhi; Ylo and Yhi Yhi
are the two output values of the binary hysteresis. The x-axis XQ = (7)
L
mid-point of the hysteresis is given by
which is stable. In this case the system remains at the inter-
X − Xlo X + Xhi section point Yhi until there is an input trigger: thus the mo-
Xmid = Xlo + hi = lo (4)
2 2 nostable output Yhi. If a positive input trigger impulse is ap-

J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
MULTIVIBRATORS 63

y y
y = Lx y = L(x – Utrig)
Yhi Q Yhi

xlo xhi Utrig


x x
xa

Ylo Ylo

(a) (b)

Utrig

x
Utrig
Xlo
XQ
t
ri ttrans

+ +
Y
Yhi

ri x C Ylo

t
– –

Ylo
(c) (d)

Figure 2. (a) Monostable Q point. (b) Triggered effective load line. (c) Equivalent circuit for
transient, Xinitial ⫽ Utrig ⱖ Xhi. (d) Triggered response. Shows operation of the monostable multivi-
brator.

plied of peak value Utrig [u(t) ⫽ Utrig웃(t) with 웃( ⭈ ) the unit heads toward the low asymptotic value
impulse], which is larger than needed to move the capacitor
voltage x past the value Xhi (Utrig ⱖ Xhi) then, as shown in Fig. gf
Xasym−lo = Ylo (9)
2(b), this effectively moves the load line to intersect the x axis gi + gf
of the hysteresis at the capacitor initial value (which is the
peak trigger voltage value Utrig). On removal of the trigger as determined from the voltage division of Ylo between rf and
peak, a transient transition is made from the output Ylo back ri. Consequently, assuming that the trigger impulse is applied
to Yhi. The (minimum) value of this input trigger is seen to be at t ⫽ 0, the state for this transition is given as a first order
just Xhi. The transient return is governed by a time constant response by
determined by C and the Thevenin’s equivalent resistor seen  t

by C, that is, with time constant
x(t) = Xasym−lo + [Utrig − Xasym−lo] exp − (10)
tcnst
C
tcnst = (8)
gi + gf
From Eq. (10) the transition time is found, by setting
x(ttrans) ⫽ Xlo, to be
The transition time will be the time to go from the maximum
value of x, as determined by the trigger, to Xlo with this time  Xlo − Xasym−lo

constant. An equivalent circuit for determination of this tran- ttrans = −tcnst × ln (11)
sition time is shown in Fig. 2(c), in which it is seen that x Utrig − Xasym−lo
64 MULTIVIBRATORS

where we naturally assume that the asymptotic value is y


smaller than the jump point value, Xasym–lo ⬍ Xlo, so that the
h(x)
transition point Xlo can actually be reached. The output y at
this transition time jumps back to Yhi. A typical response of y = Lx
this monostable multivibrator to an impulse trigger is shown
in Fig. 2(d).
In this case, as illustrated by Fig. 2(a), the output remains x
at the high level Yhi until a positive input trigger occurs, at
which time the output immediately falls to the low level Ylo,
where it remains until the signal of the state x falls below
Xlo as determined by the time constant. A similar situation
clearly holds if the load line intersects just the lower branch
of the hysteresis curve; but here the transition occurs with a Figure 4. Load line on hysteresis for astable multivibrator oper-
negative impulse input trigger rather than a positive one, and ation.
the asymptotic value heads toward the positive value of

gf
Xasym−hi = Yhi (12)
gi + gf governed by the time constant C/(gi ⫹ gf ), which generally
leads to symmetrical output pulses.
Equation (11) remains valid when this asymptotic value is To obtain equations for the transition times and the pe-
used. In either case, since only one output pulse occurs per riod, we begin by assuming that the multivibrator has just
input trigger, the monostable multivibrator is often called a switched from one to the other of its two output levels, taken
one-shot. here for convenience to be from Yhi to Ylo. At that time, nor-
malized to t ⫽ 0, we again have the equivalent circuit of Fig.
Bistable Multivibrator 2(c), except that now the initial condition on C is given by x
at the switching point, this being Xhi. Consequently, the ca-
In the case where the load line intersects both the upper and pacitor value heads toward a low value with the mentioned
lower branches of the hysteresis, as shown in Fig. 3, then a time constant, stopping when x reaches Xlo, at which time an-
bistable multivibrator results, since both intersection points other transition (from low to high) is initiated. Substitution
serve as stable rest points. As in the monostable case, an im-
of the correct initial condition and asymptotic value in Eq.
pulse trigger input of sufficiently large amplitude to shift the
(11) gives the time for transition from the high to the low
load line off of one of the hysteresis branches will transition
output, thi–lo, as
the system to the other stable point. The time constant will
again be C/(gi ⫹ gf ), and the system will remain in the state X − Xasym−lo

to which it transitioned until another appropriate input trig- lo
thi−lo = −tcnst × ln (13)
ger makes it transition again. The equations governing the Xhi − Xasym−lo
transitions are essentially those developed for the monostable
multivibrator with substitutions of the appropriate levels. By changing hi to lo and vice versa, this formula serves to
give the transition time from lo to hi as
Astable Multivibrator
X − Xasym−hi

Figure 4 illustrates the astable situation in which the load hi
tlo−hi = −tcost × ln (14)
line intersects the jump lines of the hysteresis. As these inter- Xlo − Xasym−hi
sections are unstable, the system will not rest at either ‘‘inter-
section’’ but will transition between them with no input re-
Consequently the period of oscillation of this astable multivi-
quired to cause the transition. Again the transitions are
brator is given by

tper = tlo=hi + thi−lo (15)


y
h(x) y = Lx Note that in the symmetric case where Xlo ⫽ ⫺Xhi and Ylo ⫽
⫺Yhi we have tlo–hi ⫽ thi–lo, in which case the period is given by
 −Xhi + Yhi
gf 
C gi + gf
x tper−sym = −2 × ln gf (16)
gi + gf Xhi + Yhi
gi + gf

Should asymmetrical output pulses be desired, then a differ-


ent time constant can be obtained for the rise as compared to
Figure 3. Load line on hysteresis for bistable multivibrator oper- the fall by replacing ri or rf by a parallel combination of two
ation. resistors in series with inverted diodes, as shown in Fig. 5(a–
MULTIVIBRATORS 65

ra rhf

r
Rhi
rb
+ +
+
(a) Vbias +
v+

y + y
x
y = Lx – – – –

(a)

y
x
y = (1 + a) v+ – aVbias

Vdd

(b)
v+
Figure 5. (a) Replacement of ri or rf for asymmetrical output. (b) x
Piecewise linear load line using ri or rf replacement. Resistor diode
circuit for generation of nonsymmetrical outputs.
Vss

b). In fact one of the diodes can be omitted, depending upon (b)
which of the two slopes is the biggest. An alternate means of
obtaining asymmetry is via hysteresis asymmetry, which will y
show up inside the logarithmic terms of Eqs. (13) and (14).
Vdd

Trigger Generation
Since an impulse trigger is desired for triggering the mono-
stable and bistable multivibrators, the standard way to obtain xlo xhi
x
it is by differentiation of a step pulse. Figure 6 shows a typical
means of generating a trigger impulse from a step pulse of
amplitude Vp. Here the terminals a and b are those of the
trigger input u in Fig. 1(a). However, one could alternatively
apply the terminals a and b through a diode directly to the Vss
multivibrator capacitor (and replace u by a short) to better
(c)
insure the direct application of desired initial values. This
method is most useful in the monostable case, while in the Figure 7. (a) Hysteresis generation circuit using an op-amp. (b)
bistable case one would need to switch between two differ- Loading for the generic multivibrator. The op-amp characteristic
ently directed diodes. moves with x to intersect the fixed load line. (c) Resulting hysteresis.

Hysteresis Generation
Figure 7(a) shows an op-amp circuit that generates the binary hysteresis curve shown in Fig. 7(c). For Fig. 7(b) we describe
hysteresis—in essence this is a Schmitt trigger. In Fig. 7(b) the op-amp as a function of v⫹, the positive op-amp input ter-
we illustrate the means of calculating the hysteresis with the minal voltage with respect to ground, with x as a parameter
by

y(v+ ) = Vdd × 1(v+ − x) + Vss × 1(x − v+ ) (17)


Ct
a a
where 1( ⭈ ) is the unit step function and Vdd and Vss are the
upper and lower power supply voltages. The resistor portion
+ rt +
V – – of Fig. 7 acts as a load line on the op-amp characteristic and
is described by
b b
V = Vp1(t) y(v+ ) = (1 + a)v+ − aVbias (18)
Step input Impulse output ghi
a= (19)
ghf
Figure 6. Differentiation circuit for impulse trigger.
66 MULTIVIBRATORS

As seen in Fig. 7(b), when we increase x the op-amp jump Vdd


moves to the right and eventually the intersection of the two
curves remains at Vss, while as we decrease x the op-amp
jump moves to the left and eventually the intersection is at R
C
Vdd. For intermediate values of x there are two intersections. u 1 2 y
The second one starts, as seen by moving the op-amp curve
left, at v⫹ ⫽ x, where y ⫽ Vdd for both curves. This gives the
geometry of Fig. 7(b) (a)
Vdd + aVbias
Xhi = (20)
1+a
R
C
and the value for moving right starts at
1 2 y
Vss + aVbias
Xlo = (21)
1+a
(b)
These two values give the hysteresis jump values as indicated
on the hysteresis curve of Fig. 7(c) to jump between the hys- S Q
u y
teresis values of Vdd and Vss.
In summary, the Schmitt trigger circuit of Fig. 7(a) gives
the hysteresis needed for the generic multivibrator of Fig.
1(a), with the hysteresis parameters given in terms of the cir- R Q
cuit parameters of Fig. 7 by (c)

Vss + aVbias Vdd + aVbias Figure 8. NAND realization of (a) monostable multivibrator, (b)
Yhi = Vdd , Ylo = Vss , Xlo = , Xhi = astable multivibrator, and (c) bistable multivibrator.
1+a 1+a
(22)

Because we have four values (a, Vbias, Vdd, Vss) to set the hys- ers again can be made with NOR or NAND gates. The in-
teresis and four circuit parameters available, we can obtain verter on the right of Fig. 9 is used to square up the signal
reasonable multivibrators using the circuits developed to generated by the crystal, which acts as a second-order system
this point. to produce sinusoidal oscillations. Setting s ⫽ jw in the char-
It should be noted that transistorized versions of the acteristic equation found from Kirchhoff ’s laws, we see that
Schmitt trigger exist and can be used in place of the op-amp the inverter gains need to be set to ⫺2 to force the real part
circuit as shown in Fig. 7(a). See Ref. 2, p. 317 for a BJT- of the characteristic equation to zero; this is done by the
Resistor type Schmitt trigger and Ref. 2, p. 321 for a CMOS choice of equal resistors. From the imaginary part, the square
type. However, it is to be pointed out that these lack the of the radian frequency of oscillations of such an oscillator is
sharpness and flexibility for design of the op-amp Schmitt 1/LC, where L and C are the equivalent inductance and ca-
trigger. An operational transconductance amplifier (OTA) ver- pacitance of the crystal; consequently the period of the output
sion of the Schmitt trigger can be found in Ref. 7. pulses is 2앟兹LC. A commonly used inverter comes in a pack-
age of six and is known as the 74LS04 (9, p. 779).
LOGIC GATE CIRCUITS
THE 555 TIMER
It is also possible to construct multivibrators using digital
gates; in fact this is probably the most frequently used A standard commercial package that can be used to make any
method. Most books on digital circuits cover these multivibra- of the multivibrators is the 555 timer, for which a full circuit
tors (see, for example, Ref. 8 as well as Ref. 4). Using only
two-input NOR gates, Fig. 8(a) shows a monostable, Fig. 8(b), Crystal
an astable, and Fig. 8(c) a bistable multivibrator. Throughout
Fig. 8 the NOR gates, with their inputs tied together, act as
inverters, so that they can be replaced to advantage by invert-
ers. Figure 8(c) is an SR (set-reset) flip-flop with its S and R
inputs made complementary to force the bistable state deter-
y
minations. Also, throughout Fig. 8, the NOR gates can be re-
placed by NAND gates and similar behavior obtained by us-
ing triggers inverse to those used for the NOR gates.
Although the use of standard gates makes these gate-con-
structed multivibrators attractive, and they work at relatively
high pulse rates, their characteristics are not as sharp as
R R
those obtained from the op-amp Schmitt trigger circuits.
An astable circuit often used for precision clocks and using Figure 9. Astable multivibrator using crystal pulse repetition rate
crystal control is shown in Fig. 9 (6, p. 930), where the invert- control and inverters.
MULTIVIBRATORS 67

diagram can be found in Ref. 10, pp. 9-33 through 9-38, along Using these formulas with the three parameters available it
with settings and applications. This is useful for printed cir- is quite easy to design the 555 to give any reasonable duty
cuit board designs using standard components. Because of its cycle and oscillation frequency for a square wave going be-
common use, the 555 timer is treated in most standard elec- tween ground (low) and Vcc (high). Data sheets show how to
tronic circuits textbooks, such as Ref. 4, pp. 975–979 and Ref. use it for such applications as frequency division, ramp gener-
11, pp. 683–688, 767. It comes in an eight-pin package (or as ation, pulse width modulation, and pulse position modulation.
the 556 14-pin dual set), these pins being 1 ⫽ ground, 2 ⫽
trigger, 3 ⫽ output, 4 ⫽ reset, 5 ⫽ control voltage, 6 ⫽ thresh-
old, 7 ⫽ discharge and 8 ⫽ Vcc bias. It can set the timing from
THE VAN DER POL OSCILLATOR
microseconds to hours, and can source or sink up to 200 mA
at the output. Depending upon the external circuitry used,
A robust astable multivibrator is obtained by using small
the 555 can serve many functions, including that of a bistable,
damping in the van der Pol oscillator. The robustness results
monostable, or astable multivibrator with an adjustable duty
from the van der Pol oscillator being structurally stable,
cycle. Basically, the 555 is a set-reset flip-flop (a bistable
which makes it both practically and mathematically impor-
multivibrator) surrounded by circuitry in the package that
tant. The van der Pol oscillator is described by the second-
allows it to take on various uses depending upon external cir-
order differential equation
cuitry. Its voltage at the output pin, Q of the SR flip-flop, is
set (to Vcc) when the threshold pin voltage falls below Vcc /3
and is reset (to ground) when the threshold pin voltage rises d2y dy
+ (y2 − 1) +y=0 (27)
above 2Vcc /3. Thus, by simple control on the threshold pin, a dt 2 dt
bistable multivibrator results. By controlling the threshold
voltage with the external circuitry one readily obtains other where ⑀ is a parameter that determines the nature of the re-
behavior. For example, Fig. 10 shows the connections for the laxation oscillation (⑀ small gives close to a sine wave, while
555 as an astable multivibrator. Here the capacitor C charges ⑀ large gives close to a square wave). Observing Eq. (27) we
from Vcc /3 to 2Vcc /3 through R7–8 ⫹ R6–7, and discharges from see that if y2 ⬎ 1 then we have positive damping and the
2Vcc /3 to Vcc /3 through R6–7 at times independent of Vcc, given signal decays, while if y2 ⬍ 1 there is negative damping and
respectively by the signal grows; consequently the signal heads toward y2 ⫽
1. To set up a means to realize this multivibrator we first
tcharge = 0.693(R7−8 + R6−7 )C (output ending high) (23) obtain the equivalent state variable description by rewriting
Eq. (27) as
tdischarge = 0.693R6−7C (output ending low) (24)
 dy 
2 d + f (y)
d y df(y) dt
for a period and frequency of + +y= +y=0 (28)
dt 2 dy dt
1 1.44
1 
Tperiod = 0.693(R7−8 +2R6−7 )C, f = = f (y) = y3 − y (29)
Tperiod (R7−8 +2R6−7 )C 3
(25)
Then by setting
and a duty cycle of
x1 = y (30)
R6−7
Duty cycle = dy dx1
R7−8 + 2R6−7 (26) x2 = + f (y) = + f (x1 ) (31)
dt dt

and rewriting (30) and (31), upon using (28), we get

dx1
= − f (x1 ) + x2 (32)
dt
dx2
1 8
R7-8 = −x1 (33)
GND Vcc dt
555
2 7
Trigger Discharge R6-7 Figure 11(a) shows a circuit realization of these equations us-
3 6 ing capacitors to form the derivatives, voltage-controlled cur-
Output Threshold +
rent sources—made via transistors as differential pairs (12,
C Vcc p. 431) to realize the cross coupling, and a nonlinear (voltage-
RL 4 5
Reset Control – controlled) resistor to realize f( ⭈ ). By changing the time scale
Cbias and by multiplying the equations by constants, circuit compo-
nents and waveform frequencies of interest can be obtained.
However, this design is predicated on obtaining the cubic law
nonlinear resistor, which is inconvenient. Fortunately, the
Figure 10. 555 Timer connected as an astable multivibrator. equations are structurally stable and allow for similar results
68 MULTIVIBRATORS

R1
i
+
+
+ Vdd
C1 x1 i = f(x1) +

– v

i = x1 –Vdd

+
+
C2 x2 R2
– R

+
i = –x2
(a) (b)

van der Pol PWL nonlinearity and limit cycle


6

2
x2 and f(x1)

y
0

LPQ P –2
Q
x1 –4

f(x1)
–6
–0.6 –0.4 –0.2 0 0.2 0.4 0.6
x1

(c) (d)

Limit cycle vs time


6

2
x1
x 0

–2
x2
–4

–6
0 5 10 15 20 25 30 35 40
t
(e)

Figure 11. (a) A van der Pol oscillator constructed with voltage-controlled current. (b) Op-amp
piecewise linear circuit to approximate cubic law of Eq. (29). (c) Limit cycle construction in state
space. (d) Limit cycle obtained from Matlab runs of Eqs. (32) and (33) with piecewise linear
f(x1). (e) Waveforms obtained from Matlab runs. x1(t) is a square wave and x2(t) is a triangular
wave.
MULTIVIBRATORS 69

for a piecewise linear function approximation to the cubic. For Vcc


this the cubic can be replaced by R1 R2 R3 R4
 1.0k 100k 100k 1.0k

ax − b for x ≤ −d +
C1 C2
f (x) = −cx for − d ≤ x ≤ d (34) 5V


1 2 4
ax + b for d ≤ x 50p 10p –
   
(a + c)  b  (a + c)  b 
= ax − x + a + c  + x − a + c  (35)
2 2 Q1 Q2

We can design this piecewise linear current vs voltage law,


3
i ⫽ f(v), via the op-amp circuit of Fig. 11(b), which results in
the parameters

1 Vdd R2 Vdd (a)


a= , b= , c= , d= (36)
R1 R1 R1 R R
1+ 2 5.0 V
R

V(1)
The van der Pol oscillator finally results by inserting the cir-
cuit of Fig. 11b into Fig. 11a.
To see the multivibrator nature of the van der Pol oscilla-
tor, we look at the limit cycle in state space. Figure 11(c) 0V
shows a plot of f(x1) inserted into the state space plane x1 –x2.
5.0 V
Trajectories in this state space are determined by Eqs. (32)
and (33), for which we find, by simple division
V(2)

dx2 −x1
= (37)
dx1 x2 − f (x1 )
0V
Consequently, the slope of the trajectory at any point is well 5.0 V
determined, and we can construct the trajectory by determin-
V(3)

ing this slope graphically as follows: Choose a point P of inter-


est and drop a perpendicular to the x1 and x2 axes; that gives
x1 and x2 at P, x1P, and x2P. Next drop a perpendicular from
f(x1P) to the x2 axis, intersecting at Q, and draw a line LPQ 0V
from P to Q. The slope of LPQ is ⫺1/(dx1 /dx2) by (37), and by
5.0 V
trigonometry the slope of the line perpendicular to LPQ is the
negative inverse of this, which is dx1 /dx2. Connecting nearby
V(4)

points on this line gives the trajectory. From this construction


we can see that there is one limit cycle toward which all tra-
jectories converge (except the [unstable] one at the origin).
0V
We also easily see that if the slope of the negative conduc- 2 4 6 8 10
tance portion is small in magnitude, then the limit cycle is Time ( µs)
close to a circle giving sinusoidal-like oscillations. But if the
slope of the negative conductance portion of f( ⭈ ) is large, then (b)
the oscillations are close to a square wave, as desired for a Figure 12. (a) BJT astable multivibrator. (b) Waveforms for the
multivibrator. Figures 11(d)–(e) show a typical phase-plane astable multivibrator obtained from PSpice runs.
plot of a limit cycle and waveforms obtained from Matlab
runs.
By using an f(v) which is odd, f(v) ⫽ ⫺f(⫺v), with multiple
the current in R3 at T1, of value 2Vcc /R3, to its exponentially
negative resistance regions, one can obtain many different
decaying value from the previous transition, (2Vcc /R3)
limit cycles and consequently make a multivibrator that has
exp(⫺T1 /(R3C2)). For the value T2 for which v4 remains high,
more than two vibration levels.
we similarly find T2 ⫽ R2C1 ln 2. Consequently, the period is
independent of Vcc and is given by
TRANSISTORIZED CIRCUITS
Tperiod = T1 + T2 = 0.7(R2C1 + R3C2 ) (38)
Figures 12(a)–(b) show an astable BJT (Bipolar Junction
Transistor) circuit (13, pp. 285–289) along with typical wave- with various duty cycles possible.
forms. Noting these waveforms, we see that transitions result Figures 13(a)–(b) show a bistable BJT multivibrator cir-
at the end of the first-order exponential rises in the base- cuit (2, p. 300) with its node voltages. One of the two stable
emitter voltages v2 and v3. If we take T1 to be the time when states is triggered by a positive pulse Vin1, of amplitude Vcc, at
v1 is high, then T1 ⫽ R3C2 ln 2, which is found by equating the left input, and the other by a similar pulse Vin2 applied at
70 MULTIVIBRATORS

R1 R2 R3 R4 R5 R6
20k 5k 20k 20k 5k 20k

+
4
Vcc 5V
1

Q1 Q4 Q5 Q8
+ +
– Vin1 Q2 Q3 Q6 Q7 Vin2 –

(a)

5.0 V

V(in1)
V(in2)

0V
5.0 V

V(1)

0V

2.0 V

V(2)

0V

2.0 V

V(3)

0V

10 V

V(4)

0V
0s 0.5 1.0 1.5 2.0
Time (ms)
Figure 13. (a) BJT bistable multivibrator. (b) Voltage waveforms for the
(b) bistable multivibrator obtained from PSpice runs.

the right. As can be seen from the waveforms, a pulse at the waveforms, the pulse width is determined by the time con-
right, on the emitter of Q8, is transmitted through Q8 to raise stant that determines the rise of v3. Since during the pulse
the base voltage of Q7, which by its increased collector current transition, the transistor Q1 (being saturated) acts as a short
causes v4 to drop. In turn this drop is fed through Q4, lowering between collector and emitter, the time constant is just R2C1.
the base-emitter voltage of Q3 and decreasing the current in Roughly, during the pulse, v3 rises from ⫺Vcc to Vcc and stops
R2 to raise v1, which is fed back to the base of Q6 via Q5 to when it reaches 0 (actually at Vbe(on) of about 0.7 V). Because
reinforce the lowering of v4. The result of the positive feed- v3(t) ⫽ Vcc ⫺ 2Vcc exp(⫺t/(R2C1) we calculate
back is a very sharp rise. Thus the risetime is limited only by
the transition delays of the transistors. Tpulse−width = R2C1 ln 2 (39)
Figures 14(a–b) show a BJT monostable multivibrator (13,
pp. 290–292) along with typical waveforms. Essentially this The most frequent means of making multivibrators using
is the multivibrator of Fig. 12(a), with C2 replaced by a resis- MOS transistors are through the logic circuits shown in the
tor and a voltage divider, including R5 and Vss, to insure that logic gate circuits section above, where the gates are con-
the base of Q1 will be biased to bring the transition consis- structed in CMOS form, but others are described in the litera-
tently to the same stable state. As can be verified from the ture (14–16).
MUSICAL INSTRUMENTS 71

3. T. F. Schubert, Jr. and E. M. Kim, Active and Non-Linear Elec-


R1 R2 R4 tronics, New York: Wiley, 1996, Chap. 13.
3k 50k 1k 4. M. N. Horenstein, Microelectronic Circuits and Devices, 2nd ed.,
R3 50k Upper Saddle River, NJ: Prentice-Hall, 1996, Sect. 15.2.
1 3 4 5. R. Boylestad and L. Nashelsky, Electronics: A Survey, 3rd ed.,
5n Englewood Cliffs, NJ: Prentice-Hall, 1989, Sect. 12.5.
C1
6. S. D. Ferris, Elements of Electronic Design, Minneapolis, MN:
+
Vcc West Publishing Company, 1995, Chap. 12.
Q1 2 – 5V 7. B. Linaras-Barranco, E. Sanchez-Sinencio, and A. Rodriguez-Vaz-
Q2 quez, CMDS circuit implementation for neuron models, Proc.
R5
+ IEEE Int. Symp. Circuits Syst., New Orleans, LA, pp. 2421–
Iin – 100k
2424, 1990.
8. J. M. Rabaey, Digital Integrated Circuits, Upper Saddle River,
NJ: Prentice-Hall, 1996, Chap. 6.
– 9. A. J. Tocci, Digital Systems, Principles and Applications, 6th ed.,
Vss Upper Saddle River, NJ: Prentice-Hall, 1995.
–5 V 10. National Semiconductor, Linear Databook, Santa Clara, CA:
+
1982.
(a) 11. C. J. Savant, Jr., M. S. Roden, and G. L. Carpenter, Electronic
Circuit Design, An Engineering Approach, Menlo Park, CA:
0A Benjamin/Cummings, 1987.
12. R. L. Geiger, P. E. Allen, and N. R. Strader, VLSI Design Tech-
I(in1) niques for Analog and Digital Circuits, New York: McGraw-Hill,
1990.
–100 A 13. P. H. Beards, Analog and Digital Electronics, 2nd ed., New York:
Prentice-Hall, 1991.
10 V 14. I. M. Filanovsky and I. G. Finvers, A simple nonsaturated CMOS
multivibrator, IEEE J. Solid-State Circuits, 23(1): 289–292, 1988.
V(1) 15. D. J. Comer, Electronic Design with Integrated Circuits, Reading,
MA: Addison-Wesley, 1981, Chap. 3.
0V 16. G. M. Blair, Comments on new single-clock CMOS latches and
flip-flops with improved speed and power savings, IEEE J. Solid
1.0 V
State Circuits, 32(10): 1610–1611, 1997.

V(2) ROBERT W. NEWCOMB


University of Maryland, College
–1.0 V Park
4.0 V LOUIZA SELLAMI
U.S. Naval Academy

V(3)

–4.0 V MULTIVIBRATORS. See VARIABLE-FREQUENCY OSCIL-


LATORS.
5.0 V
MUSCLE SIGNALS. See ELECTROMYOGRAPHY.
V(4)

0V
0s 0.5 1.0 1.5 2.0
Time (ms)
(b)

Figure 14. (a) BJT monostable multivibrator. (b) Voltage waveforms


for the monostable multivibrator obtained from PSpice runs.

BIBLIOGRAPHY

1. A. S. Sedra and K. C. Smith, Microelectronic Circuits, New York:


Oxford Univ. Press, 4th ed., 1997, Chap. 12.
2. D. A. Hodges and H. G. Jackson, Analysis and Design of Digital
Integrated Circuits, 2nd ed., New York: McGraw-Hill, 1988,
Chap. 8.
NETWORK PARAMETERS same pair of terminals, it is referred to as the driving-point
or input function; and for different pairs of terminals, the
transfer function. Since the input and the response may ei-
ther be a current or a voltage, the network function may be
a driving-point impedance, a driving-point admittance, a
transfer impedance, a transfer admittance, a transfer volt-
The Laplace transform is commonly used to solve network
age ratio, or a transfer current ratio. Our objective here
equations. However, the mere computation of the solution
is to obtain some general and broad properties of network
of network equations is one of the many important appli-
functions, recognizing that each of the network functions
cations for this elegant tool of network analysis. Our pur-
mentioned has its own distinct characteristics.
pose here is to use this transform to define network func-
tion and to study the different ways of its representation, Example. We write the nodal equations for the network
the superposition theorem, the characterizations and rep- of Fig. 1 for t ≥ 0 after the switch S is closed and compute
resentations of one-port and two-port networks (1–3). the input impedance Zin facing the current source I and the
transfer current ratio relating the transform current I6 to
NETWORK PARAMETERS the transform current source I.
The nodal equations are found to be
We begin by considering a system of differential equations
associated with an electrical network, most conveniently
written in matrix notation as

where W(p), x(t), and f(t) are used to represent the coef- By using Cramer’s rule, the nodal voltage V1 can be ex-
ficient matrix of the differential operator p, the unknown pressed in terms of the source current I as
vector x(t) and the known forcing or excitation vector f(t).
On taking the Laplace transform on both sides, we obtain
a system of linear algebraic equations

where X(s) and F(s) denote the Laplace transforms of x(t)


and f(t), respectively, and h(s) is a vector that includes the
contributions due to initial conditions. The coefficient ma- Principle of Superposition
trix W(s) in the complex frequency variable s is obtained The principle of superposition is intimately tied up with the
from W(p), with s replacing p. An analysis of Eq. (2) is often concept of linearity, and is applicable to any linear network,
referred to as analysis in the frequency domain, in contrast whether it is time invariant or time varying. It is funda-
to the analysis of Eq. (1), which is called analysis in the time mental in characterizing network behavior and is very use-
domain. ful in solving linear network problems. For our purposes,
we shall restrict ourselves to the class of linear time in-
Network Functions variant networks.
The unknown transform vector X(s) can be obtained imme- Consider an arbitrary linear time-invariant network
diately by inverting the matrix W(s): with many input excitations describable by a system of lin-
ear algebraic equations:

provided that det W(s) is not identically zero.


where
Consider a linear time-invariant network that contains
a single independent voltage or current source as the input
with arbitrary waveform. Assume that all initial conditions
in the network have been set to zero. Let the response be
either a voltage across any two nodes of the network or a and the prime denotes matrix transpose. Suppose that the
current in any branch of the network. Such a response is kth row variable Xk of X(s) is the desired response. By ap-
known as the zero-state response. Then, the network func- pealing to Cramer’s rule, we obtain from Eq. (8)
tion H(s) is defined by

Observe that Fi (s) (i = 1, 2, . . . , n) are due to the contri-


butions of independent sources. Therefore, to compute the
Network functions generally fall into two classes depend- complete response transform Xk , we may consider each of
ing on whether the terminals to which the response relates the transform sources Fi one at a time and then add the par-
are the same or different from the input terminals. For the tial responses so determined to obtain Xk . If Fi represents a

J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright © 2007 John Wiley & Sons, Inc.
2 Network Parameters

Figure 1. A network used to illustrate network functions.

linear combination of many sources, each source can again


be considered separately, one at a time, and then add these
partial responses to obtain the complete response. This is
in essence the superposition principle.

Superposition Theorem. For a linear system, the zero-


state response due to all the independent sources acting
simultaneously is equal to the sum of the zero-state re-
Figure 2. A network used to illustrate the principle of superpo-
sponses due to each independent source acting one at a
sition.
time. If, in addition, the system is time invariant, the same
holds in the frequency domain.
The inductor current i2 (t) is the algebraic sum of these two
Two aspects of superposition are important to empha- currents:
size. The first is the additivity property. The other is the
homogeneity property, which states that if all sources are
multiplied by a constant, the response is also multiplied by
the same constant.
Different versions of the superposition principle can be
advanced. It states that in a linear time-invariant system Two-Port Networks
the zero-input response is a linear function of the initial A network is a structure comprised of a finite number of
state, the zero-state response is a linear function of the in- interconnected elements with a set of accessible terminal
put, and the complete response is the sum of the zero-input pairs called ports at which voltages and currents can be
response and the zero-state response. Thus, the complete measured and the transfer of electromagnetic energy into
response of a linear network to a number of excitations or out of the structure can be made. The situation is similar
applied simultaneously is the sum of the responses of the to ships leaving or entering the ports. Fundamental to the
network when each of the excitations is applied individu- concept of a port is the assumption that the instantaneous
ally. This statement remains valid even if we consider the current entering one terminal of the port is always equal
initial capacitor voltages and inductor currents themselves to the instantaneous current leaving the other terminal of
to be separate excitations. Of course, the controlled sources the port. This assumption is crucial in subsequent deriva-
cannot be considered as separate excitations. In the case tions and resulting conclusions. If it is violated, the ter-
of linear time-invariant networks, the same holds in the minal pair does not constitute a port. A network with one
frequency domain or in the transform network. such accessible port is called a one-port network or simply
We apply the principle of superposition to compute the a one-port, as represented in Fig. 3(a). If a network is ac-
inductor current i2 in the network of Fig. 2. When the volt- cessible through two such ports as shown in Fig. 3(b), the
age source is short-circuited, the inductor current i2 (t) is network is called a two-port network or simply a two-port.
found to be The nomenclature can be extended to networks having n
accessible ports called the n-port networks or n-ports.
Figure 4 is a general representation of a one-port that
is electrically and magnetically isolated except at the port
with sign convention for the references of port voltage and
current as indicated. Likewise, Fig. 5 is a general represen-
When the current source is removed, the inductor current tation of a two-port that is electrically and magnetically
i2 (t) is obtained as isolated except at the two ports with sign convention for
the references of port voltages and currents as indicated.
By focusing attention on the ports, we are interested in the
behavior of the network only at the ports. Our discussion
will be entirely in terms of the transform network, under
the assumption that the one-port or two-port is devoid of
Network Parameters 3

Figure 6. Representation of a two-port in terms of its short-


circuit admittance parameters yij .

Figure 7. Networks used to compute the short-circuit admittance


Figure 3. Symbolic representations of a one-port network (a), a parameters yij of a two-port.
two-port network (b), and an n-port network (c).

Figure 4. A general representation of a one-port with port voltage


and current shown explicitly.

Figure 8. A small-signal network model of a transistor.

culate these parameters, we set either V1 or V2 to zero and


Figure 5. A general representation of a two-port with port volt- obtain
ages and currents shown explicitly.

independent sources inside and has zero initial conditions.

Short-Circuit Admittance Parameters. Refer to Fig. 5. The choice of the name short circuit becomes obvious.
There are four variables associated with the two ports: V1 , In computing y11 and y21 , the port V2 is short-circuited,
V2 , I1 , and I2 . Suppose that we choose port voltages V1 and whereas for y12 and y22 , the port V1 is short-circuited, as
V2 as the independent variables. Then, the port currents depicted in Fig. 7.
I1 and I2 are related to the port voltages V1 and V2 by the
equation Example. Consider the equivalent network of a transis-
tor amplifier shown in Fig. 8. Applying Eq. (16) yields

or, in matrix form,

giving the short-circuit admittance matrix as


where I(s) = [I1 I2 ] is the port-current vector and V(s)
= [V1 V2 ] is the port-voltage vector. Equation (13) can be
represented equivalently by the network of Fig. 6. The four
admittance parameters yij (i, j = 1, 2) are called the short-
circuit admittance parameters or simply the y-parameters.
The coefficient matrix Y(s) is referred to as the short-circuit Open-Circuit Impedance Matrix. Instead of choosing the
admittance matrix or simply the admittance matrix. To cal- port voltages V1 and V2 as the independent variables, sup-
4 Network Parameters

Figure 9. Representation of a two-port in terms of its open-circuit Figure 11. Representation of a two-port in terms of its hybrid
impedance parameters zij . parameters hij .

Figure 10. Networks used to compute the open-circuit


impedance parameters zij of a two-port. Figure 12. Networks used to compute the hybrid parameters hij
of a two-port.

pose that we choose port currents I1 and I2 as the indepen-


dent variables. Then, V1 and V2 are related to I1 and I2 by giving the open-circuit impedance matrix as
the equation

or, in matrix form,


The Hybrid Parameters. Suppose that we choose port
variables I1 and V2 as the independent variables. Then,
the remaining port variables V1 and I2 are related to I1
Equation (18) can be represented equivalently by the net- and V2 by the equation
work of Fig. 9. The four impedance parameters zij (i,j =
1,2) are called the open-circuit impedance parameters or
simply the z parameters. The coefficient matrix Z(s) is re-
ferred to as the open-circuit impedance matrix or simply
the impedance matrix. Obviously, if Z(s) is not identically or, in matrix form,
singular, its inverse is the short-circuit admittance matrix
or
where y(s) = [V1 I2 ] and u(s) = [I1 V2 ] . Equation (24)
can be represented equivalently by the network of Fig. 11.
and vice versa. To calculate these parameters, we set either The four immittance parameters hij (i,j = 1,2) are called
I1 or I2 to zero and obtain the hybrid parameters or simply the h parameters. The
coefficient matrix H(s) is referred to as the hybrid matrix.
To calculate these parameters, we set either I1 or V2 to zero
and obtain

The choice of the name open circuit becomes obvious. In


computing z11 and z21 , the port I2 is open-circuited, whereas
for z12 and z22 , the port I1 is open-circuited, as depicted in
Fig. 10. In computing h11 and h21 , the port V2 is short-circuited,
whereas for h12 and h22 , the port I1 is open-circuited, as
Example. Consider the equivalent network of a transis- depicted in Fig. 12. Thus, h11 is the short-circuit input
tor amplifier shown in Fig. 8. Applying Eq. (21) yields impedance, h21 is the short-circuit forward current ratio,
h12 is the open-circuit reverse voltage ratio, and h22 is the
open-circuit output admittance. These parameters are not
only dimensionally mixed but also under a mixed set of
terminal conditions. For this reason they are called hybrid
parameters.
Network Parameters 5

ones to use in a cascade, tandem, or chain connection of two-


ports. We remark that there is a negative sign associated
with I2 , being a consequence of our choice of reference for
I2 in Fig. 5. To calculate these parameters, we set either V2
or I2 to zero and obtain

Figure 13. Representation of a two-port in terms of its inverse


hybrid parameters gij .

Example. Consider the equivalent network of a transis-


tor amplifier shown in Fig. 8. Applying Eq. (27) yields

Example. Consider again the equivalent network of a


transistor amplifier shown in Fig. 8. Applying Eq. (34)
yields

giving the hybrid matrix as

giving the transmission matrix as


Inverse Hybrid Parameters. Suppose now that we choose
V1 and I2 as the independent variables. Then I1 and V2 are
related to V1 and I2 by the equation

By interchanging the roles of the excitation and the re-


sponse in Eq. (33), we obtain yet another set of parameters
or, in matrix form, called the inverse transmission or inverse chain param-
eters, and their corresponding matrix the inverse trans-
mission or inverse chain matrix, the details of which are
Equation (30) can be represented equivalently by the net- omitted.
work of Fig. 13. The four immittance parameters gij (i,j =
1,2) are called the inverse hybrid parameters or simply the Interrelations Among the Parameters Sets
g parameters. The coefficient matrix G(s) is referred to as
The various ways of representing the external behaviors of
the inverse hybrid matrix. To calculate these parameters,
a two-port are presented in the foregoing. Each finds use-
we set either V1 or I2 to zero and obtain
ful applications, depending on the problem on hand. Table
1 gives the interrelationships among the different sets of
parameters.

Interconnection of Two-Ports

If G(s) is not identically singular, its inverse is the hybrid Simple two-ports are interconnected to yield more compli-
matrix or cated and practical two-ports. Two two-ports are said to be
connected in cascade or tandem if the output terminals of
one two-port are connected to the input terminals of the
other, as depicted in Fig. 14. This type of connection is
most conveniently described by the transmission param-
Transmission Parameters. Another useful set of parame-
eters. From Fig. 14 we have for the two-port Nb
ters is formed by choosing V2 and −I2 as the independent
variables. Then V1 and I1 are related to V2 and −I2 by the
equation

and for two-port Na

The four immittance parameters A, B, C, and D are called


the transmission parameters, which are also known as the
chain parameters or the ABCD parameters. The coefficient
matrix is referred to as the transmission matrix. The first where the subscripts a and b are used to distinguish the
two names come from the fact that they are the natural transmission parameters of Na and Nb . Combining Eqs.
6 Network Parameters

(37) and (38) gives

showing that the coefficient matrix, being the product of


two matrices, is the transmission matrix of the composite
two-port N. Thus, the transmission matrix of two two-ports Figure 14. Symbolic representation of two two-ports connected
connected in cascade is equal to the product of the trans- in cascade.
mission matrices of the individual two-ports:

Another useful connection is depicted in Fig. 15 where the


input terminals and output terminals of the individual
two-ports are connected in parallel, and is called a parallel
connection. This connection forces the equality of the termi-
nal voltages of the two-ports, and is most conveniently de-
scribed by the short-circuit admittance parameters. From
Fig. 15 we have

Figure 15. Symbolic representation of two two-ports connected


in parallel.

employ the Brune’s test as shown in Fig. 16: the voltage


showing that the short-circuit admittance matrix of the marked V is zero. If Brune’s test is not satisfied, an ideal
composite two-port N is the sum of those of the component transformer with turns ratio 1:1 is required, and this trans-
two-ports Na and Nb . former needs to be inserted either at the output or input
We remark that the validity of Eq. (41) is based on the port of one of the two-ports.
assumption that the instantaneous current entering one
terminal of a two-port is equal to the instantaneous current Example. Figure 17 is a simple RC twin-Tee used in the
leaving the other terminal of the two-port after the inter- design of equalizers. This two-port N can be considered as
connection. If this condition is violated, the statement that a parallel connection of two two-ports Na and Nb of Fig.
when two two-ports are connected in parallel, their admit- 18. It is easy to verify that the Brune’s test is satisfied and
tance matrices add is no longer valid. To ensure that the the short-circuit admittance matrix Y(s) of the twin-Tee is
nature of the ports are not altered after the connection, we simply the sum of those Ya (s) and Yb (s) of the component
Network Parameters 7

Figure 16. Brune’s test for parallel connection of two two-ports.

Figure 19. Symbolic representation of two two-ports connected


in series.

Figure 17. A twin-Tee used in the design of equalizers.

Figure 20. Brune’s test for series connection of two two-ports.

Figure 18. The parallel connection of two two-ports to form the


twin-Tee of Fig. 17.
Figure 21. Symbolic representation of two two-ports connected
in series-parallel.
two-ports Na and Nb :

Two two-ports Na and Nb are said to be connected in se-


ries if they are connected as shown in Fig. 19. This con-
nection forces the equality of the terminal currents of the
two-ports, and is most conveniently described by the open-
circuit impedance parameters. From Fig. 19 we have
Figure 22. Symbolic representation of two two-ports connected
in parallel-series.

interconnection. If this condition is violated, the previous


statement is no longer valid. To test to see if this condition
is satisfied, we employ the Brune’s test as shown in Fig. 20:
showing that the open-circuit impedance matrix of the the voltage marked V is zero. If Brune’s test is not satisfied,
composite two-port N is the sum of those of the component an ideal transformer with turns ratio 1:1 is required, and
two-ports Na and Nb . this transformer needs to be inserted either at the output
Note again that the validity of Eq. (43) is based on the or input port of one of the two-ports.
assumption that the instantaneous current entering one Combinations of the parallel and series connections are
terminal of a two-port is equal to the instantaneous cur- possible such as the series-parallel and parallel-series con-
rent leaving the other terminal of the two-port after the nections shown in Figs. 21 and 22.
8 Network Parameters

available average power P1a at the source:


P2a
Gp = (48)
P1a
Therefore, it is a function of the two-port parameters and
the source impedance Z1 , being independent of the load
Figure 23. A feedback network N. impedance Z2 .
Finally, the third and most useful measure of power flow
is known as the transducer power gain G defined as the
ratio of average power P2 delivered to the load to the max-
imum available average power P1a at the source:
P2
G= (49)
P1a
Clearly, it is a function of the two-port parameters and the
source and load impedances Z1 and Z2 . It is important be-
cause it compares the average power delivered to the load
with the average power that the source is capable of sup-
Figure 24. A decomposition of the feedback network N into three
two-ports Na , Nb , and Nf . plying under the optimum terminations, thereby making
this the most meaningful description of the power transfer
capabilities of a two-port network. Notice that the three
Example. Consider the feedback network N of Fig. 23. power gains can only be meaningfully defined on the real-
To compute its short-circuit admittance matrix Y(s), it is frequency axis s = jω. In other words, we have substituted
advantageous to consider N as being composed of two two- s = jω in all the equations, even though they are not ex-
ports Na and Nb connected in cascade and then in parallel plicitly shown.
with another Nf as depicted in Fig. 24. The transmission To show how these power gains can be expressed in
matrix of the two two-ports Na and Nb connected in cas- terms of the two-port parameters of Fig. 5 and Z1 and Z2 ,
cade, being the product of their transmission matrices, is we substitute V2 = −I2 Z2 in Eq. (18) and solve for I1 and
given by I2 , yielding
I2 z21
=− (50)
I1 z22 + Z2

where yija are the y-parameters of Na and y = y11a y22a − The average power P1 entering the input port and the av-
y12a y21a . The corresponding admittance matrix of Eq. (44) erage power P2 delivered to the load Z2 are given by
is found from Table 1 as P1 = |I1 |2 Re Z11 (51)
P2 = |I2 |2 Re Z2 (52)
where Z11 is the impedance looking into the input port with
the output port terminating in Z2 .
The short-circuit admittance matrix Y(s) of the overall two-
The maximum available average power P1a at the input
port N of Fig. 23 is obtained as
port is attained, when the source impedance Z1 and the
°
input impedance Z11 are conjugately matched, or Z11 = Z1 ,
the complex conjugate of Z1 , giving
|Vs |2
P1a = (53)
4 Re Z1
Power Gains
where Vs is the voltage source at the input port.
Refer to the two-port network of Fig. 5. The simplest mea-
To express Z11 in terms of the two-port parameters zij
sure of power flow in N is the power gain Gp defined as the
and Z2 , we substitute V2 = −I2 Z2 in Eq. (18) and solve for
ratio of the average power delivered to the load P2 to the
I1 , yielding
average power entering the input port P1 :
V1 z12 z21
P2 Z11 = = z11 − (54)
Gp = (47) I1 z22 + Z2
P1
Combining Eqs. (51)–(55) obtains
which is a function of the two-port parameters and the load
impedance Z2 , being independent of the source impedance P2 |z21 |2 Re Z2 |z21 |2 Re Z2
Z1 . For a passive and lossless two-port network, G p = 1. Gp = = = z12 z21 (55)
P1 |z22 + Z2 |2 Re Z11 |z22 + Z2 |2 Re(z11 − z22 +Z2
)
The second measure of power flow is called the avail-
able power gain Ga defined as the ratio of the maximum P2 4|z21 |2 Re Z1 Re Z2
available average power P2a at the load to the maximum G= = (56)
P1a |(z11 + Z1 )(z22 + Z2 ) − z12 z21 |2
Network Parameters 9

For the available power gain, we first compute Thévenin


equivalent voltage Veq and impedance Zeq looking into the
output port of Fig. 5, when the input port is terminated
in a series combination of a voltage source Vs and source
impedance Z1 :
z12 z21
Zeq = z22 − (57)
z11 + Z1
z21 Vs
Veq = (58)
z11 + Z1
Using this Thévenin equivalent network, the maximum
available average power at the output port is attained
°
when Z2 = Zeq , the complex conjugate of Zeq , obtaining
|z21 |2 |Vs |2
P2a = (59)
4|z11 + Z1 |2 Re Zeq
The available power gain is found to be
P2a |z21 |2 Re Z1
Ga = = (60)
P1a |z11 + Z1 |2 Re Zeq
which in conjunction with Eq. (57) gives
P2a |z21 |2 Re Z1
Pa = = z12 z21 (61)
P1a |z11 + Z1 |2 Re(z22 − z11 +Z1
)

Likewise, we can evaluate the three power gains in terms


of other two-port parameters as follows:
P2 |z21 |2 Re Z2 |y21 |2 Re Y2
Gp = = z12 z21 = y12 y21
P1 |z22 + Z2 |2 Re(z11 − ) |y22 + Y2 |2 Re(y11 − )
z22 + Z2 y22 + Y2
(62)
|h21 |2 Re Y2
=
h12 h21
|h22 + Y2 |2 Re(h11 − )
h22 + Y2
P2 4|z21 | Re Z1 Re Z2
2
4|y21 |2 Re Y1 Re Y2
G= = =
P1a |(z11 + Z1 )(z22 + Z2 ) − z12 z21 |2 |(y11 + Y1 )(y22 + Y2 ) − y12 y21 |2
(63)
4|h21 |2 Re Z1 Re Y2
=
|(h11 + Z1 )(h22 + Y2 ) − h12 h21 |2
P2a |z21 |2 Re Z1 |y21 |2 Re Y1
Pa = = z z = y12 y21
P1a |z11 + Z1 |2 Re(z22 −
12 21
) |y11 + Y1 |2 Re(y22 − )
z11 + Z1 y11 + Y1
(64)
|h21 | Re Z1
2
=
h12 h21
|h11 + Z1 |2 Re(h22 − )
h11 + Z1

BIBLIOGRAPHY

1. W. K. Chen Linear Networks and Systems: Algorithms and


Computer-Aided Implementations, Vol. 1 Fundamentals, 2nd
ed., Singapore: World Scientific, 1990.
2. M. E. Van Valkenburg, Network Analysis, 3rd ed., Englewood
Cliffs, NJ: Prentice-Hall, 1974.
3. W. K. Chen Active Network Analysis, Singapore: World Scien-
tific, 1991.
WAI-KAI CHEN
University of Illinois at Chicago,
Chicago, IL
NOISE GENERATORS second-order statistics do not change over time, the process
is called wide-sense stationary (4).
Power spectral density, a standard measure used to de-
GENERATION OF NOISE scribe a wide-sense stationary process, is defined as the
Fourier transform of the autocorrelation function RX (τ) (4):

APPLICATIONS OF NOISE

With this modeling, we can analyze the spectra of noise.


White noise is a wide-sense stationary process with zero
Noise is a broadbanded signal generated by environmen- mean. It has constant power spectral density over all fre-
tal effects, such as lightning, or by man-made electrical quencies. Stated another way, white noise is a process
devices. Two common categories of noise are thermal noise that is uncorrelated over time. The most mathematically
and shot noise. Looney (1) describes thermal noise as an tractable noise is the Gaussian wide-sense stationary pro-
electromotive force generated at the open terminals of a cess, where at each time t the probability distribution for
conductor due to the charges bound to thermally vibrating the random variable Xt = X(t) is Gaussian.
molecules. This type of noise is often referred to as John- Colored noise is a variation of white noise which arises
son noise in recognition of the first observations of the phe- from the fact that actual circuits attenuate signals above
nomenon (2). On the other hand, shot noise is associated certain frequencies. Therefore it makes sense to truncate
with the passage of current across a barrier. For instance, the white noise spectral density at both extremes. Noise
a circuit or an appliance that produces electric arcing pro- with this spectral characteristic is termed pink noise.
duces noise. Shot noise was first described by Schottky us- Apart from thermal noise and shot noise, a third cate-
ing the analogy of a small shot patterning into a container gory of noise observed in electronic systems is the 1/f noise.
(3). Noise can be felt in audio systems as a crackle. Noise It is so called because the power spectral density of this
appears as white or black spots on a television screen. noise varies with frequency as |f|−α , where α takes val-
Noise is generally characterized as a source of corrup- ues between 0.8 and 1.2. This type of noise is exhibited by
tion of information and therefore is treated as an undesired biological and musical systems in addition to electronics
signal. Noise contaminates informational signals to a cer- (3). 1/f noise is variously called current noise, excess noise,
tain extent by superimposing extrasignal fluctuations that flicker noise, semiconductor noise, and contact noise. It is
assume unpredictable values at each instant. Noise has applied in medical treatment and also in engineering, jus-
been studied extensively in the literature because noise tifying the need for inclusion in our study.
reduction is one of the major goals. A more compelling rea-
son for the study of noise is its potential application in real
life. These applications encompass biomedical engineering, NOISE GENERATION TECHNIQUES
electronic circuits, communication systems, cryptography,
computers, electroacoustics, geosciences, instrumentation, Noise can be generated in many different ways. A diode
and reliability engineering. This article addresses the var- tube operating at its saturation point produces broadband
ious noise generation techniques and implementing them noise. A semiconductor diode is an inexpensive source of
in analog and digital circuit technology and concludes with noise generation. When operated in the fully conducting
a discussion of typical applications. region, the diode produces broadband noise. A current-
carrying resistor produces thermal noise. It is necessary
to condition noise signals by proper amplification, modu-
MODELING OF NOISE lation, and filtering to suit one’s application at a desired
bandwidth. In our discussion of noise generation, we con-
A mathematical model of a phenomenon, such as noise, al- centrate only on semiconductor techniques because ap-
lows us to understand its generation, characteristics and proaches based on vacuum tubes are antiquated now.
application well. We start with the observation that the The noise generation schemes range from simple me-
structures of thermal and shot noise are similar, although chanical techniques to electronic methods employing both
their sources are different. Both types of noise can be rep- analog and digital circuits. Inexpensive noise generators
resented as a random wave form consisting of a sequence can be realized with discrete components and basic build-
of peaks randomly distributed in time. A noise signal can ing blocks available in the IC market. We classify the noise
be modeled by a random process X(t) with a probability generators into two categories, namely, analog and digital,
distribution for the values of x it assumes. Any particular based on their implementation.
set of outcomes {(t, xt )} of the random variable Xt is called
a realization of the noise process. An adequate character-
Analog Techniques
ization of such a random process can be often made with
first- and second-order statistics. The first-order statistic Under this category, we discuss three different approaches:
of X(t) is the expected value E[X(t)] and the second-order (1) a mechanical scheme, (2) amplifying inherent noise in
statistic is the autocorrelation function RX (τ) = E[X(t)X(t + op-amps, (3) oscillator method, and (4) using the chaotic
τ)], where E is the expectation operator. When the first- and behavior of deterministic systems.

J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright © 2007 John Wiley & Sons, Inc.
2 Applications of Noise

erate a noise of 50 nV/ . Op-amp AD829 is used in the


circuit which features flat voltage noise in the range of 100
Hz to 10 MHz.

Oscillator Method. A popular analog class of noise gen-


erators is the oscillator method (8), which samples the fre-
quency noise or instability of free-running oscillators. In
this scheme, the output of a fast oscillator is sampled on
the rising edge of a slower clock using a D flip-flop. Oscil-
lator jitter causes uncertainty in the exact sample values,
Figure 1. A simple analog noise generator based on amplification ideally producing a random bit for each sample. For further
of op-amp input noise. details, readers may refer to 9.

Mechanical Approach. For audio-frequency noise, a very Using Chaos in Deterministic Systems. Another elegant
simple scheme can be devised at home or in the laboratory way of generating white noise is based on the observation
without any sophisticated circuits or components. Dunn (5) that certain simple deterministic systems exhibit chaotic
uses just a linen-covered phonograph and a foam-covered behavior (10). The chaos or noise is generated by iterat-
microphone. A piece of linen cloth is tied to the turntable ing a map either electronically or in a software program.
and a foam-covered microphone is used to pick up the sig- A simple and most widely studied system for generating
nal. As the turntable rotates, the microphone’s foam cover chaos is the logistic map (10) given by:
rubs along the surface of the linen, producing sound with
a nearly flat spectral density in the audio-frequency range
of 20 Hz to 20 kHz. Dunn shows that by using a good hi-fi The asymptotic behavior of the system described by the
microphone and a broadband amplifier, the output signal parabolic transfer function of Eq. (3) depends on the value
closely approximates white noise over the audio-frequency of λ. McGonigal and Elmasry (11) show that values of λ
range. Other mechanical approaches include the use of between 0.89 and 1.0 result in oscillations without any de-
gears and radioactive decay (6). tectable period. In fact, this is the region of chaotic behavior
leading to power spectral density corresponding to white
Amplification of Inherent Noise. The schematic of a rel- noise.
atively simple op-amp noise generator is shown in Fig. A noise generator can be implemented in hardware to
1, which uses a single bipolar input amplifier and some test actual instruments or in software for simulation. Mc-
discrete components. The principle used here to generate Gonigal and Elmasry (11) use a multiplier and a differ-
wideband noise is to amplify its own input noise in a de- ence amplifier to realize the term xi − x2i of the parabolic
compensated op-amp (7). Many op-amps have large 1/f in- transfer function of Eq. (3). A variable-gain amplifier con-
put noise components. A bipolar input op-amp is chosen nected to the output of the differential amplifier, as shown
because bipolar devices exhibit much less 1/f noise than in Fig. 2, allows variation of λ. The iteration of the trans-
MOSFET devices. fer function is realized by the feedback of the xi+1 signal
In the figure, the op-amp is used as a fixed-gain stage as the next xi input. The clock-driven multiplexer and the
amplifier with a closed-loop gain factor: storage capacitors shown in the figure separate the im-
pulses at the output of the circuit. During clock signal ck,
the voltage on capacitor C1 provides the input xi whereas
If the input resistors R2 and R3 are chosen small, the ther- the resulting output xi+1 is stored on C2 . During <over-
mal noise of the amplifier is forced to a small value. This line>ck</overline>, the roles of the capacitors are reversed
choice of low values for the resistors also helps keep the leading to two iterations of the parabolic function in ev-
amplifier’s current noise component negligibly small when ery clock cycle. The IC numbers and typical values of the
it is converted to voltage noise. Thus, the dominant noise discrete components are shown in the figure to generate a
of the circuit is the input voltage noise of the amplifier. power spectrum from dc to 1 kHz. Experimental study in
The choice of a single gain stage amplifier of the type 11 confirms that the signal is uncorrelated in the chaotic
shown in Fig. 1 results in a frequency-independent noise. region and that the power spectral density remains flat in
This contrasts with multistage amplifiers which may have this region. Interested readers may refer to 11 for further
peaks in the output noise response caused by frequency details of the circuit and a trace of the power spectrum.
compensation effects. The values for resistors R1 and R2 The software implementation of the deterministic-
can be designed by knowing the typical noise of the op-amp chaotic, variably colored, noise generator is shown in Fig. 3.
from data sheets and the required level of noise across the Colored noise is generated by organizing chaotic elements
load RL . The output is coupled through a blocking capacitor into a hierarchy and coupling them (12). Each element of
C1 which removes any amplified dc value at the output of the hierarchy is modeled as a recursive loop whose output
the amplifier. However, the value of this capacitor should is a sequence of impulses. The unit delay element shown in
be large enough to pass the lowest noise frequencies of in- the figure separates the instances of impulses of varying
terest. Interested readers may refer to Ref. 7 for a detailed amplitude at the output. The gain unit and the nonlinear
circuit diagram and typical component values used to gen- amplifier implement the map xi+1 = gn f(xi ). The output at
Applications of Noise 3

Figure 2. A low-frequency noise generator


based on logistic map (from 11, courtesy of IEEE,
© 1987 IEEE).

Figure 4. Structure of a programmable noise generator.

Of the four techniques of noise generation based on


chaotic behavior of deterministic systems discussed here,
the first is used for white noise generation, whereas the
Figure 3. Block schematic of a software-based, deterministic- next three implementations generate colored noise. Al-
chaotic, variably colored noise generator.
though colored noise is derived by properly filtering the
output of white noise sources (16), the direct methods de-
scribed here are simpler and lend themselves to easy VLSI
any instant becomes the input at the next instant. The ini- implementation. Some other simple IC-compatible chaos
tiator block is used only to set the amplitude of the first im- generators are found in 17 and 18.
pulse and is then disconnected. This software setup yields
sequences of impulses which are essentially aperiodic and Programmable Noise Generators. It is often desirable to
hence noiselike from a practical point of view (12). have a programmable noise generator. The variability is
Researchers have used discrete, nonlinear, one- achieved by multiplying the noise signal by a factor K and
dimensional maps (13) that yield a transition between then passing the signal through a noise filter, as shown in
regions of chaotic motion to produce 1/f noise. The cir- Fig. 4. A linear phase filter passes frequencies between F1
cuits used to implement such discrete maps are usually and F2 , thus band-limiting the filter output noise. In the
switched-capacitor type because discrete maps are de- simulated noise generator of (19), the output is sampled at
scribed by nonlinear, finite-difference equations and they a particular rate and stored in a data array. The statistics of
can be easily and accurately implemented by switched- the output data, such as mean, variance, min, and max are
capacitor circuits. Delgado–Restituto et al. (14) build a stored in another data array. This kind of programmable
programmable prototype to generate colored noise to test noise generator produces uniform Gaussian noise whose
systems with spectral density proportional to 1/f. They output noise power is set by adjusting the K factor shown
use op-amps and switched capacitors to realize a chaotic, in the figure.
one-dimensional, piecewise-linear discrete map that yields
a hopping transition between regions of chaotic motion.
Digital Techniques
Murao et al. (15) propose a simple switched-capacitor
circuit that realizes a one-dimensional, nonlinear, discrete The first generation digital noise generators utilized ran-
map as opposed to a piecewise-linear approximation. With dom waveforms based on telegraph signals to obtain ran-
an IC and a couple of logarithmic and antilogarithmic dom noise (20). For application in modern digital circuits,
amplifiers, they can synthesize a simple 1/f noise gener- however, pseudorandom number sequence generators pro-
ator over a wide range of frequencies compared with the vide a better basis. Pseudorandom numbers are generated
previous method of Delgado–Restituto et al. (14). with linear congruent algorithms (21). If noise is needed
4 Applications of Noise

Figure 5. Block schematic of a basic digital noise generator.

in analog form, the numbers generated in binary form are follows a binomial distribution that approaches Gaussian
converted to analog quantity. The analog output at the con- for a large number of bits. This creates a Gaussian pseudo-
verter is essentially Gaussian white noise. This signal can random noise source whose statistical properties are anal-
be filtered appropriately to obtain colored noise. Figure 5 ogous to thermal or shot noise. A variable amplifier with
shows the block schematic of a digital noise generator. gains low enough to avoid any coupling is used at the out-
put.
Linear Feedback Shift Register as Random Number
Generator. The digital circuitry implementing the pseudo- Analog Conversion by a Resistive Network. D’Alvano and
random number generator can be realized using a linear Badra (24) use a resistive network to convert the digital sig-
feedback shift register (LFSR). An LFSR consists of two ba- nal to an analog signal, as shown in the lower block of Fig.
sic digital building blocks, D-type flip-flops and exclusive-or 6. The shift register outputs are linearly combined through
gates. The LFSR draws theory from cyclic error-detecting the resistive network which also plays the role of the coeffi-
codes (22) where all algebraic manipulations on polyno- cient set of a discrete-time FIR filter. These weights provide
mials are done in GF(2), that is, Galois field-modulo-2 ad- a low-pass transfer function with a raised-cosine impulse
dition, subtraction, multiplication, and division of binary response. The output level at the filter is adjusted through
vectors. A k-stage LFSR generates at most (2k − 1) distinct a 1 k trimmer.
binary patterns which then repeat on itself. In general, the The probability density function of the noise signal at
length of the sequences generated depends on the size of the output can be predicted because of the random na-
the LFSR and the polynomial representing it. If the poly- ture of the binary sequence generated at each of the shift-
nomial representing the LFSR is primitive (22), the LFSR register outputs. The random binary variables added to
generates a maximal length sequence [(2k − 1) vectors]. If form the noise signal are statistically independent from
the polynomial is irreducible but nonprimitive, then the one another. From the central limit theorem (4), it follows
length of the sequence is not maximal and depends on the that the probability density function of the signal at the
initial contents of the LFSR, called the seed. The presence output is asymptotically Gaussian.
of internal memory in the LFSR makes the choice of the To illustrate noise generation, we have performed a sim-
seed critical for nonprimitive case. In the primitive case, ulation using commercial software produced by MicroSim
the seed does not affect the statistical properties of the Corporation, USA. Figure 8 shows a trace of analog noise
output. However, if all of the flip-flops are set to zero, the observed at the output of the op-amp (see Fig. 6). What
LFSR remains dormant and is useless. is shown in Fig. 8 is repetitive noise. The periodicity in
The upper block of Fig. 6 shows an LFSR implementa- the noise is an undesirable feature, yet inevitable when
tion of a primitive polynomial of degree six: small-size LFSRs are used for pseudorandom number se-
quence generation. The periodicity can be broken by ran-
domly changing the seed of the LFSR. The periodicity can
also be improved by lengthening the shift register. Inter-
where ⊕ is the exclusive-or operator. In this implementa- ested readers may refer to (24) for details of the circuit
tion of LFSRs, the output and selected internal stages of which produces truly random noise.
the LFSR corresponding to the nonzero terms of the poly-
nomial are exclusive-ored and fed back to the input. The High-Frequency Noise Generation. A shift-register-based
pseudorandom digital output sequence is plotted in Fig. noise generator can be realized for RF noise power metrol-
7. A clock frequency of 1 MHz is used to run the LFSR. ogy (25). Superconducting rapid, single-flux, quantum
Because a six-stage LFSR is used, the period of the pseu- (RSFQ) logic (26) is used to meet the requirements of low
dorandom output waveform is 63 µs. For clarity the figure noise and fast switching necessary to generate noise in the
shows a couple of periods of the waveform. gigaHertz range. In the RSFQ logic, the binary informa-
In the following, we describe several implementations of tion is coded by flux quanta with the value φ0 = h/2e in su-
digital noise generators. They all have LFSRs as the basis perconducting interferometers and is transmitted and pro-
for random number generation and use low-pass filtering cessed as very short voltage pulses V(t) of quantized area.
to obtain the analog noise signal. The active circuit components are overdamped Josephson
junctions (JJ) which need only dc bias currents set to val-
Analog Conversion by Time Integration. Alspector et al. ues slightly below their critical currents. With these el-
(23) use a low-pass filter to convert the digital waveform at ements, SFQ pulses can be created, transmitted, repro-
the outputs of the LFSR to a voltage signal. The cutoff fre- duced, amplified, processed, and detected (25). The basic
quency of the filter is kept at just a few percent of the clock RSFQ logic elements for constructing complex digital cir-
frequency used to drive the LFSR. This arrangement has cuits are available in current technology. Superconducting
the effect of performing a time integration over many bits. microstrip lines together with JJ technology allow trans-
If each bit is equally likely (i.e., a 0 or 1 with equal proba- mitting picosecond waveforms with very low attenuation
bility) as is the case in LFSRs, the value of this integration and dispersion. In a pseudorandom noise generator of this
Applications of Noise 5

Figure 6. A six-stage linear feedback shift register with a resistive network for digital-to-analog
conversion.

type, the logic enables the generation of pseudostatisti- ing processes of stochastic neural networks. In digital com-
cal SFQ pulse sequences, operating as quasi-shot noise munication, noise is added as an “uncertainty” to a crypto-
sources. graphic exchange to confuse the information and to prevent
unauthorized use or forgery. This is increasingly important
Arrays of Noise Generators. It is often necessary to have in today’s electronic-commerce society. Random signals are
an array of noise generators, especially in neural networks also used for dithering in analog electronic circuits, forcing
(25). Although such noise generators can be designed with a signal to use the entire dynamic range of an analog sys-
LFSRs, one should be careful to avoid any correlation tem, one which reduces distortion. These applications can
among the outputs of these noise generators. Alspector et be classified into four categories: noise used as a broad-
al. (23) accomplish this by tapping the outputs from various band random signal, measurements in which noise is used
stages of the LFSR and processing them using exclusive- as a test signal, measurements in which noise is used as a
or gates and low-pass filters. A cellular automaton is used probe into microscopic phenomena, and noise as a concep-
by Dupret et al. (27) to generate arrays of Gaussian white tual tool. This categorization of applications was first made
noise sources. Cellular automata feature regular structure by Gupta (28) and is used here. We include some examples
leading to compact VLSI layouts. and illustrations.

Noise as a Broadband Random Signal


APPLICATION OF NOISE GENERATORS
This kind of signal is widely used in electronic countermea-
Noise generators are used in a variety of testing, calibra- sures, microwave heating, simulation of random quanti-
tion, and alignment applications especially with radio re- ties, stochastic computing, and generation of random num-
ceivers. Some of the other applications are in digital com- bers. Noise generators are used to simulate random vibra-
munication, analog integrated circuit diagnosis, and learn- tions in mechanical systems. The combination of a random
6 Applications of Noise

Figure 7. The waveform of the digital sequence at the output of LFSR.

noise generator and a shake table is widely used to test the Noise as a Test Signal in Measurements
response of mechanical structures to random vibrations.
There are several cases of measurements where one needs
A well-known application of a high-power broadband
a broadband signal with known properties like amplitude
noise generator is active jamming of radar and communi-
probability density and an autocorrelation function. Ran-
cation equipment. Radar jamming is called active if the
dom noise is one such source and is ideal for measuring im-
jammer radiates a signal at the operating frequency of
pulse response, insertion loss, linearity and intermodula-
the radar system, as distinguished from passive jamming
tion of communication equipment, and in noise-modulated
which employs nonradiating devices like chaff. The broad-
distance-measuring radar.
band jamming signal can be generated either by a noise
It is well known (4) that if a random signal X(t) with
generator centered at the carrier frequency or by noise
autocorrelation function RX (τ) is applied at the input of
modulating a continuous wave signal.
a linear system with an impulse response H(t), the cross-
An interesting medical application is inducing sleep or
correlation between the input and the resulting output Y(t)
anesthesia and suppressing dental pain in a technique
is given by the convolution integral
called audio-analgesia. A dental patient listens to relaxing
music via earphones, and switches to filtered random noise
on feeling pain, increasing the intensity of noise as neces-
sary to suppress pain. It is reported that audio-analgesia
has about the same level of effectiveness as morphine (29). This relationship can be used to calculate the impulse re-
In modern musical instruments, white or color noise sponse H(t) if RX and RXY are known. For causal, lumped,
generators are successfully used to generate the sound ef- linear, time-invariant systems, this calculation can be car-
fect of desert wind, ocean surf, thunderstorm, lightning, ried out algebraically. However, solving the integral equa-
and even the virtual cosmic background sound. tion for H(t) is greatly simplified by using white noise as
the input signal. If the bandwidth of the input signal is
Applications of Noise 7

Figure 8. A simulation trace of an


analog noise signal.

much larger than that of the system under test, RX (τ) is noise power ratio from which the channel noise due to in-
effectively the impulse function δ(τ), and the equation sim- termodulation can be calculated. The spectral density of
plifies to input noise can be shaped to match the signal under ac-
tual operating conditions.
The use of noise generators for checking system per-
formance in manufacturing or in the laboratory is com-
Thus the impulse response is directly measured without monly known. The procedure can be extended to in-service
involved calculation. monitoring of radar and communication equipment in the
Spina and Upadhyaya (30) use the previous observation field because of the development of solid-state noise gener-
on impulse response measurement in testing and diagnos- ators which have smaller power consumption, weight, vol-
ing analog VLSI. Here, a white noise generator is used as ume, radio-frequency interference, turn-on time, and turn-
input stimuli to the analog chip. At the output of the circuit off time, but higher noise power output and reliability than
under test, a pattern classifier which is usually an artifi- gas-discharge noise generators. As a result, the need for re-
cial neural network does the signature analysis and hence tuning or servicing the equipment is recognized before its
fault diagnosis. Alspector et al. (23) study application of performance becomes unacceptable. As the noise signal is
noise as input to facilitate learning in parallel stochastic very small and unrelated to all other signals, the monitor-
neural networks. ing can be carried out while the equipment is in operation,
Noise is used in measuring linearity and intermodula- thus reducing the downtime due to checkups.
tion in a communication channel as follows. When a large Noise is specifically used in the noise immunity test
number of telephone channels are to be carried by a coax- of several digital systems and TV pictures (31). High fre-
ial cable or a broadband radio link, any existing nonlinear quency noise generators are needed in RF noise-power
distortions in the system introduce unwanted intermod- calibration. The shift-register-based noise generator using
ulation products of the various components of the multi- RSFQ logic can function at frequencies up to 45 GHz (25)
plexed signal. Calculation of the intermodulation noise so and can be used for this purpose. Digital, pseudorandom
introduced is very difficult because of the large number of numbers are also used to test a random collection of input
channels. Because statistical properties of white noise are possibilities with test circuits built on-chip.
similar to those of a complex multichannel signal with a
large number of intermittently active channels, white noise
Noise as a Probe into Microscopic Phenomena
is used to simulate such a signal. A band-limited Gaussian
white noise is introduced at the input into the system un- Noise measurements can be used for estimating physi-
der test. The noise power in a test channel is measured cal parameters related to microscopic phenomena, such as
first with all channels loaded with white noise and then emission, recombination, or ionizing collision. Noise can
with all but the test channel loaded with white noise. The also be used in testing semiconductors for uniformity and
ratio of the first to the second measurement is called the for estimating the reliability of semiconductor devices. Us-
8 Applications of Noise

Table 1. Commercial noise generators and their characteristics


Designation Function Name of Manufacturer Noise Type and Range Technique Used
TSC-300 White Noise Marpac Sound Generator Electronic
Generator White noise
DNG 7500 Digital Noise Noise/Com White Digital
Generator Gaussian noise
K4301 Pink Noise QualityKits Pink Noise Pseudorandom
Generator (Digital noise)
ANG Automated Noise Micronetics Truly Gaussian Analog
Generator
CNG-70/140 Carrier to Noise dBm White Noise Not available
Generator (50-180 MHz)
AM700 Mixed Signal Audio Tektronix Shaped Noise Analog
Measurement Set White & Pink
3024 Very Random noise ACO Pacific, Inc. White & Pink Digital
Generator (1.6 Hz to 39 kHz) (pseudorandom)
SMT02 Signal Generator Rohde & Schwarz 500 kHz bandwidth Not Available
SMT03, 06
IE-20B Pink and White Ivie Technologies, Pink & White Digital
Inc.
PNG-2000 Portable Noise Research Audio frequency Not Available
Generator Electronics, Intl. (300 Hz to 3 kHz)
DS345 Waveform Generator Stanford Research White Noise Digital
Systems Wideband (10 MHz)
DS360 Low Distortion Stanford Research White and Pink Digital
Function Generator Systems
NG-1 Audible Noise Audio Technologies, White & Pink Not Available
Generator Inc.
PNG-7000 Precision Noise Noise/Com Gaussian White Noise Not Available
Generator
UFX7000 Programmable Noise Noise/Com Broadband Noise Microprogram controlled
Generator (10 Hz to 40 GHz)

ing noise in device reliability prediction has several ad- chanical uncertainty principle. For further details, readers
vantages over conventional lifetime tests. Noise testing is may refer to (28).
nondestructive and does not take up a considerable frac-
tion of the life of the device being tested. It also allows
testing a specific individual device rather than measuring
an average lifetime for a lot. COMMERCIAL NOISE GENERATORS
There are many ways in which measuring the noise in
a device can be used to make reliability predictions. For A number of companies sell noise generators either as sep-
instance, transistors with low 1/f noise exhibit longer life arate instruments or as part of an apparatus, such as a
spans, and reverse-biased p–n junction diodes having a function generator. Table 1 lists the model numbers and the
noise power spectral density with multiple peaks undergo names of the manufacturers along with various features
rapid degradation. It has been found experimentally that of the instruments including noise range, the technique
the low-frequency 1/f noise output of a transistor increases used in the design, and application areas where known.
by two or three orders of magnitude shortly before failure Some of the instruments are portable and battery-powered,
(28). whereas others are somewhat bulky. This list is not exhaus-
tive and is provided only as a quick reference. The address
of each company is provided in Table 2 as a ready reference.
Noise as a Conceptual Tool Although the internal circuitry of these noise generators is
not available, the reader may refer to other guidebooks on
Noise is the motivating cause for developing new disci- electronics circuits, such as (32) which contains the circuit
plines like information theory, the statistical theory of com- diagram of digital white noise generators, thermal noise
munication, and circuit theory. It is also useful as a vehicle generators using incandescent lamp, and a simple diode
for theoretical investigations and for modeling other phys- noise generator.
ical systems. For example, the concepts and principles de-
veloped with electrical noise have been used as guides in
working with thermodynamics. Noise has been used as a
tool for interpreting impedance in circuit theory. It has also ACKNOWLEDGMENTS
led to the development of some analogies between quantum
mechanics and the analyses of noisy circuits and systems The author acknowledges Yi-Hao Wang, Shu Xia, and Ar-
and has helped simplify the concept of the quantum me- shad Nissar for their help in the simulation effort.

1.
Applications of Noise 9

Table 2. Companies that make noise generators


Marpac Corporation
P.O. Box 560
Rocky Point, NC 28457 USA

QKits Limited
49 McMichael St.
Kingston, ON K7M 1M8, Canada

Micronetics
26 Hampshire Drive
Hudson, NH 03051 USA

dBm
6 Highpoint Drive
Wayne, New Jersey 07470 USA

Tektronix, Inc.
1500 North Greenville Avenue
Richardson, TX 75081 USA

ACO Pacific, Inc.


2604 Read Avenue
Belmont, CA 94002, USA

Rohde & Schwarz


Muhldorfstrasse 15
81671 Munchen (Munich), Germany

Ivie Technologies, Inc.


1605 N West State St.
Lehi, UT 84043-1084 U.S.A.

Research Electronics International


455 Security Place
Algood, TN 38506, USA

Stanford Research Systems


1290-D Reamwood Avenue
Sunnyvale, California 94089, USA

ATI - Audio Technologies, Incorporated


154 Cooper Rd. # 902
West Berlin, NJ 08091 USA

Noise Com
25 Eastmans Road
Parsippany, New Jersey 07054-3702 USA

Tundra Semiconductor Corporation


603 March Road
Kanata, Ontario, K2K 2M5, Canada

BIBLIOGRAPHY 5. J. Dunn White-noise generator, Electron. Design, 44: 90, 1996.

C. Looney Noise, in R. Dorf (ed.), The Electrical Engineering 6. B. Schneier Applied Cryptography, New York: Wiley, 1994.
Handbook, 2nd ed., Boca Raton, FL: CRC Press, 1997, pp. 7. W. Jung Simple wideband noise generator, Electron. Design,
1642–1653. 44: 102, 1996.
2. J. Johnson Thermal agitation of electricity in conductors, Na- 8. L. Letham et al. A 128K EPROM using encryption of pseudo-
ture, 119: 50–51, 1927. random numbers to enable read access, IEEE J. Solid State
3. M. Buckingham Noise in Electronic Devices and Systems, New Circuits, 21: 881–889, 1986.
York: Wiley, 1983. 9. C. S. Petrie J. A. Connelly A noise-based random bit generator
4. A. Papoulis Probability, Random Variables, and Stochastic IC for applications in cryptography, Proc. Int. Symp. Circuits
Processes, 3rd ed., New York: McGraw-Hill, 1991. Systems, 197–201, June 1998.
10 Applications of Noise

10. R. May Simple mathematical models with very complicated Department of Computer
dynamics, Nature, 261: 459–467, 1976. Science & Engineering, State
11. G. McGonigal M. Elmasry Generation of noise by electronic University of New York at
iteration of the logistic map, IEEE Trans. Circuits Syst., CAS- Buffalo, Buffalo, NY, 14260
34: 981–983, 1987.
12. R. Bates A. Murch Deterministic-chaotic variably-colored
noise, Electron. Lett., 23 (19): 995–996, 1987.
13. R. Devaney An Introduction to Chaotic Dynamical Systems,
Menlo Park: Benjamin/Cummings, 1986.
14. M. Delgado–Restituto et al. A chaotic switched-capacitor cir-
cuit for 1/f noise generation, IEEE Trans. Circuits Syst. I, 39:
325–328, 1992.
15. K. Murao et al. 1/f noise generator using logarithmic and
antilogarithmic amplifiers, IEEE Trans. Circuits Syst. I, 39:
851–853, 1992.
16. G. Corsini R. Saletti A 1/fγ power spectrum noise sequence
generator, J. Solid State Circuits, 37: 615–619, 1988.
17. M. Delgado-Restituto et al. Nonlinear switched-current
CMOS IC for random signal generation, Electronics Lett., 29:
2190–2191, 1993.
18. J. T. Bean P. J. Langlois A current-mode analog circuit for tent
maps using piece-wise linear functions, Proc. Int. Symp. Cir-
cuits Syst., 125–128, 1994.
19. F. Vitaljic Programmable noise generator, Electron. Design, 44:
122, 1996.
20. H. Sutcliffe K. Knott Standard LF noise sources using digital
techniques and their application to the measurement of noise
spectra, Radio Electron. Eng., 40: 132–136, 1970.
21. D. Knuth The Art of Computer Programming: Seminumerical
Algorithms, Reading, MA: Addison-Wesley, 1981, Vol. 2.
22. W. Peterson E. Weldon Error correcting codes, 2nd ed., Cam-
bridge, MA: MIT Press, 1972.
23. J. Alspector et al. A VLSI efficient technique for generating
multiple uncorrelated noise sources and its application to
stochastic neural networks, IEEE Trans. Circuits Syst., 38:
109–123, 1991.
24. F. D’alvano R. Badra A simple low-cost laboratory hardware
for noise generation, IEEE Trans. Educ., 39: 280–281, 1996.
25. W. Kessel, et al. Development of a rapid single-flux quantum
shift register for applications in RF noise power metrology,
IEEE Trans. Instrum. Meas., 46: 477–480, 1997.
26. K. Likharev V. Semenov RSFQ logic/memory family: A
new Josephson-junction technology for sub-terahertz-clock-
frequency digital systems, IEEE Trans. Appl. Supercond., 1:
3–28, 1991.
27. A. Dupret E. Belhaire P. Garda Scalable array of Gaussian
white noise sources for analogue VLSI implementation, Elec-
tron. Lett., 31: (N17): 1457–1458, 1995.
28. M. Gupta Applications of electrical noise, Proc. IEEE, 63:
996–1010, 1975.
29. K. Kryter The Effects of Noise on Man, New York: Academic
Press, 1970.
30. R. Spina S. Upadhyaya Linear circuit fault diagnosis using
neuromorphic analyzers, IEEE Trans. Circuits Syst. II, Analog
Digit. Signal Process., 44: pp. 188–196, 1997.
31. T. Takagi Composite noise generator as a noise simulator and
its application to noise immunity test of digital systems and
TV picture, IEICE Trans. Commun., 78B (N2): 127–133, 1995.

32. J. Markus Guidebook of Electronic Circuits, New York:


McGraw-Hill, 1974.
SHAMBHU J. UPADHYAYA
570 NONLINEAR FILTERS

NONLINEAR FILTERS

Numerous linear and nonlinear digital filters have been de-


veloped for a wide range of applications. Linear filters enjoy
the benefits of having a well-established and rich theoretical
framework. Furthermore, real-time implementation of linear
filters is relatively easy since they employ only standard oper-
ations (multiply and add) and can also be implemented using
fast Fourier transforms. In many cases, however, the restric-
tion of linearity can lead to highly suboptimal results. In such

J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
NONLINEAR FILTERS 571

cases, it may be desirable to employ a nonlinear filter (1,2). ming operations. We refer to all filters that form an esti-
Furthermore, as digital signal processing hardware becomes mate through linear combinations in this way as
ever more sophisticated and capable, complex nonlinear oper- weighted sum filters.
ations can be realized in real time. For these reasons, the field 2. Selection Filters. Combining samples to form an esti-
of nonlinear filters has grown and continues to grow rapidly. mate, especially in a weighted sum fashion, can lead to
While many applications benefit from the use of nonlinear cases where corrupted samples have a disproportionate
methods, there exist broad classes of problems that are funda- influence on the estimate. Moreover, the output of a
mentally suited to nonlinear methods and which have moti- weighted sum estimate is generally an intermediary
vated the development of many nonlinear algorithms. In- sample that is not equal to any of the observed samples,
cluded in these classes of problems are the following: which is undesirable in some applications. These issues
are addressed by a broad class of nonlinear filters (re-
1. Suppression of Heavy-Tailed Noise Processes. Sim- ferred to as selection filters) that restrict their output
plifying approximations and the Central Limit Theorem to be one of input samples.
often lead to the assumption that corrupting noise pro-
cesses are Gaussian. However, many noise processes Weighted sum filters combine the benefits of linear filters
are decidedly heavy-tailed, or impulsive, in nature (i.e., with some strategically designed nonlinearity to provide the
have probability density functions with relatively high desired result. Selection filters tend to offer robustness from
valued tails). Linear filters often do a poor job sup- outliers, provided that a proper selection rule is implemented.
pressing such noise, necessitating the use of robust non- That is, as long as an outlier is not selected to be the output,
linear methods. the outlier is effectively removed and generally has little im-
2. Processing of Nonstationary Signals. Linear filters tend pact on the filter output. Furthermore, selection filters gener-
to be sensitive to nonstationarities (changes in local sig- ally do not blur edges in signals since the output is forced to
nal statistics), which are common in images and bio- be one of the input samples and no intermediate transition
medical signals, for example. In images and video se- samples are created by the filter. In the following analysis,
quences, nonstationarities in the form of edges and we show that many useful nonlinear filters can be placed into
scene changes are abundant. Linear processing of such these two broad categories. Signal and image processing ex-
data for restoration or enhancement may produce amples are included at the end of this article to illustrate the
blurred edges and/or ringing artifacts, which can seri- performance of selected filtering methods. Also, numerous ref-
ously degrade visually important features. erences are provided to allow the reader to pursue the study
3. Super-resolution Frequency Extension. Frequency anal- of the filters described in greater detail.
ysis shows that linear methods can be designed to ei- The organization of the remainder of this article is as fol-
ther amplify or attenuate signal power at selected fre- lows. In the section entitled ‘‘The Filtering Problem,’’ the fil-
quencies. However, linear filters are incapable of tering problem is described and much of the notation is de-
restoring frequency content to a signal from which it fined. The general class of nonlinear weighted sum filters is
has been completely eliminated. Such frequency content described in the section entitled ‘‘Nonlinear Weighted Sum
extension requires nonlinear methods and is important Filters.’’ The family of selection filters is described in the sec-
in applications such as the restoration of high-resolu- tion entitled ‘‘Selection Filters.’’ Illustrative filtering exam-
tion broad-band images from low-resolution narrow- ples are provided in the section entitled ‘‘Filtering Examples,’’
band realizations. where selected filters are applied to the restoration of an im-
age embedded in Gaussian noise, to the restoration of an im-
4. Modeling and Inversion of Nonlinear Physical Systems.
age contaminated by impulsive noise, and, finally, to edge en-
Signals are generally acquired through physical sys-
hancement. Some conclusions are provided in the section
tems (such as transducers or optics) that are inherently
entitled ‘‘Conclusions.’’
nonlinear. Both the accurate modeling of such systems
and the inversion of their effects on acquired signals
necessitate the use of nonlinear methods. THE FILTERING PROBLEM

Here we describe a variety of nonlinear filters and identify The goal in many filtering applications is to transform an ob-
some current areas of research on nonlinear methods. An ex- served signal into an approximation of a desired signal, where
tensive treatment of nonlinear filters can be found in the the transformation is designed to optimize some fidelity crite-
books by Astola and Kuosmanen (1) and by Pitas and Venet- rion. This scenario is illustrated in Fig. 1, where 兵x(n)其 and
sanopoulos (2). The fact that nonlinear methods lack a unify- 兵y(n)其 represent the observation (input) and approximation
ing framework makes presenting a general overview difficult. (output) sequences, respectively. In this representation,
However, we organize the presented filters into two general
methodologies:
{ d(n)}
1. Weighted Sum Filters. The output of a linear filter is
formed as a linear combination, or weighted sum, of ob- –
{ x(n)} { y(n)} { e(n)}
served samples. Nonlinearities can be introduced into Filter Σ
this general filtering methodology by transforming the +
observation samples, through reordering or nonlinear Figure 1. The filtering problem where an observed signal is trans-
warping for instance, prior to the weighting and sum- formed by the filtering operation to approximate a desired signal.
474 OVERVOLTAGE PROTECTION

OVERVOLTAGE PROTECTION

Most semiconductor devices are intolerant of overvoltage


transients in excess of their voltage ratings. Even a microsec-
ond overvoltage transient can cause a semiconductor to fail
catastrophically or may result in severe stress, reducing the
useful life of the equipment. Overvoltage transients in electri-
cal circuits result from the sudden release of previously stored
energy. Some transients may be created in the circuits by in-
ductive switching, commutation voltage spikes, and so on.
Other transients may be created outside the circuit and then
coupled into it. These can be caused by lightning, capacitor-
bank switching at the substation, or similar phenomena. This
article discusses overvoltage protection in terms of the follow-
ing three categories:

1. Overvoltage transients
2. Overvoltage protection devices
3. Overvoltage protection for switch-mode power supplies

OVERVOLTAGE TRANSIENTS

Overvoltage transients in a low-voltage (600 V or less) ac


power circuit originate from two major sources: system
switching transients and direct or indirect lightning strikes
on the power system. A sudden change in the electrical condi-
tion of any circuit will cause a transient voltage due to the
stored energy in the circuit inductance or capacitance.
Switching-induced transients are a good example of this; the
rate of change of current (di/dt) in an inductor (L) will gener-
ate a voltage

V = −Ldi/dt (1)

The transient energy is equal to

E = 1/2Li2 (2)

This energy exists as a high-power impulse for a relatively


short time (J ⫽ Pt). Consider an example as shown in Fig. 1.
If load 2 is shorted, load 1 and/or the diode rectifier will be
subjected to a voltage transient. As load 2 is shorted, the fuse
will open and interrupt the fault current. The power supply
will produce a voltage spike equal to Eq. (1) with an energy

J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
OVERVOLTAGE PROTECTION 475

Fuse
+ +
Power A
supply 0
Short
Load Load across VAB
B 1 2 Load 2
– Figure 1. Overvoltage transient due to
– change of current in an inductor.

content of Eq. (2). This transient may be beyond the voltage sulting collapse of the magnetic flux in the core, couples a
limitations of the diode rectifiers and/or load 1. Switching out high-voltage transient into the transformer’s secondary wind-
a high-current load will have a similar effect. ing, as shown in Fig. 3. Unless a voltage-limiting device is
provided, this high-voltage transient appears across the load.
Energizing a Transformer Primary. When a transformer pri-
mary is energized at the peak of the supply voltage, the cou-
pling of this voltage step function to the stray capacitance and Switch Arching. When the current in an inductive circuit,
inductance of the secondary winding can generate a transient such as a relay coil or a filter reactor, is interrupted by a
voltage with a peak amplitude up to twice the normal second- contactor, the inductance tries to maintain the current by
ary voltage. Figure 2 shows a circuit in which the secondary charging the stray capacitance. Similar behavior can occur
side is a part of the capacitive divider network in series with during closing if the contacts bounce open after the initial
the transformer interwinding capacitance (CS). This stray ca- closing, as shown in Fig. 4. During the opening and closing of
pacitance has no relation to the turns ratio of the trans- the electromechanical switches, the bouncing action of con-
former, and it is possible that the secondary circuit may see tacts can result in high-frequency overvoltage transients.
a substantial fraction of the applied primary peak voltage.

Deenergizing a Transformer Primary. The opening of the pri-


mary circuits of a transformer generates extreme voltage
Closing
transients in excess of ten times the normal voltage. Inter-
switch
rupting the transformer magnetizing current, and the re-
+ –

Closing CS
switch VP VS

IM

L
VP VS
C

CS C Load

;; ;;
;;;;
Line
voltage
Load
Line Vp
voltage
Vp Magnetizing
current iM

; ;
and flux
Switch

;
Switch
closed opened
Secondary
voltage Vs Voltage
Vs-PK transient
Secondary
voltage
Vs

Figure 2. Voltage transient caused by energizing transformer Figure 3. Voltage transient caused by interruption of transformer
primary. magnetizing current.
476 OVERVOLTAGE PROTECTION

stress current is relatively low, of the order of 200 A


VCAP VLINE
maximum.
Solid-state 2. Category B: Major Feeders and Short Branch Circuits.
VSUPPLY
equipment This category covers the highest-stress conditions likely
to be subjected to an equipment power supply. It applies
to distribution panel boards, bus and feeder systems in
industrial plants, heavy appliance outlets with ‘‘short’’
Figure 4. Voltage transients caused by switch arcing. connections to the service entrance, and lightning sys-
tems in commercial office buildings. Note that category
B locations are closer to the service entrance, so stress
Random Transients voltage of the order of 6 kV and stress current level of
up to 3000 A may be expected.
Overvoltage transients create the most confusion because it 3. Category C: Electrical Service Entrance and Outdoor Lo-
is difficult to define their amplitude, duration, and energy
cations. This location is defined as the power line be-
content. In general terms, the anticipated surge voltage level tween pole and electrical service entrance of a building.
depends on the location of the equipment to be protected. Very high stress conditions can occur. Since most of the
When it is inside a building, the stress depends on the dis-
sensitive electronic equipment will be in category A and
tance from the electrical service entrance to the equipment, B, within a partially protected environment inside the
the size and length of the connection wires, and the complex- building, only protection to categories A and B is nor-
ity of the branch circuits. IEEE Std. 587-1980 proposes three
mally required.
location categories for low-voltage ac power circuits that are
representative of a majority of locations from the electrical Rate of Occurrences
service entrance to the most remote wall outlet. These catego-
ries are shown in Fig. 5 and described as follows: The rate of occurrence of voltage transients varies over a wide
range, depending on a particular power system, although low-
1. Category A: Outlets and Long Branch Circuits. This is level surges are more prevalent than high-level transients.
the lowest-stress category in which outlets and branch Prediction of the rate of occurrence for a particular system is
circuits are ‘‘long distance’’ from electrical service en- always difficult and frequently impossible. Data collected
trance. This category includes all outlets more than 10 from various sources are the basis of the curves shown in
m (30 ft) from category B with #14 to #10 AWG wires. Fig. 6.
It also includes all outlets more than 20 m (60 ft) from
service entrance with #14 to #10 wires. In category A, 1. Low Exposure. These are systems with little load-
the stress voltage may be of the order of 6 kV, but the switching activity, which are located in geographical
areas of light lightning activity.
2. Medium Exposure. Medium-exposure systems are in
(a) (b) (c) areas of frequent lightning activity and severe switch-
ing transients problems.
3. High Exposure. These are rare but real systems sup-
plied by overhead lines and subject to reflections at line

103

High
2
exposure
10
Surge per year in excess

Medium
of crest kV of abscissa

exposure
101

1
Sparkover of
clearances
Figure 5. Location categories. (a) Outlets and Long Branch Circuits: (note)
10–1
All outlets at more than 10 m (30 ft) from Category B with wires #14 Low
to #10; All outlets at more than 20 m (60 ft) from Category C with exposure
wires #14 to #10. (b) Major Feeders and Short Branch Circuits: Distri-
10–2
bution panel devices; Bus and feeder systems in industrial plants; 0.3 0.35 1 2 5 10 20
Heavy appliance outlets with ‘‘short’’ connections to the service en- Surge crest (kV)
trance; Lighting systems in commercial. (c) Outside and Service En-
trance: Service drop from pole to building entrance; Run between me- Figure 6. Rate of surge occurrence versus voltage level at unpro-
ter and distribution panel; Overhead line to detached buildings; tected locations. Note: In some locations, sparkover of clearances may
Underground lines to well pumps. limit the overvoltages.
OVERVOLTAGE PROTECTION 477

Table 1. Surge Voltages and Current Deemed to Represent the Indoor Environment and Suggested for Consideration in
Designing Protective Systems
Energy (Joules) Deposited
Impulse in a Suppressor c
with Clamping Voltage of
Comparable Medium-
to IEC 664 Exposure Type of Specimen 500 V 1000 V
Location Category Category Waveform Amplitude on Load Circuit (120 V System) (240 V System)
A. Long branch circuits and II 0.5 ms–100 kHz 6 kV High impedance a — —
outlets 200 A Low impedance b 0.8 1.6
B. Major feeders short branch III 1.2/50 애s 6 kV High impedance a — —
circuits, and load center 8/20 애s 3 kA Low impedance b 40 80
0.5 ms–100 kHz 6 kV Low impedance a — —
500 A High impedance b 2 4
a
For high-impedance test specimens or load circuits, the voltage shown represents the surge voltage. In making simulation tests, use that value for the open-
circuit voltage of the test generator.
b
For low-impedance test specimens or load circuits, the current shown represents the discharge current of the surge (not the short-circuit current of the power
system). In making simulation tests, use that current for the short-circuit current of the test generator.
c
Other suppressors which have different damping voltages would receive different energy levels.

ends, where the characteristics of the installation pro- sentative of category I indoor low-voltage (ac lines less
duce high sparkover levels of the clearances. than 600 V) system transients. This 100 kHz ring wave
has a rise time of 0.5 애s (from 10% to 90% of its final
These data were taken from unprotected (no limiting volt- amplitude), with oscillatory decay at 100 kHz, each
age devices) circuits, meaning that the transient voltage is peak being 60% of the previous one. The rapid rate of
limited only by the sparkover distance of the wires in the dis- rise of the waveform can cause dv/dt problems in the
tribution system. semiconductors. The oscillating portion of the waveform
produces voltage polarity reversal effects. Some semi-
conductors are sensitive to polarity changes or can be
Overvoltage Transient Waveforms damaged when unintentionally turned on or off.
The definition of a transient waveform is critical for the de-
sign of overvoltage protection circuitry. An unrealistic voltage
V
waveform with long duration of the voltage or very low source
0.9 VPEAK VPEAK
impedance requires a high-energy protection device, resulting
a cost penalty to the end-user. IEEE Std. 587 defines two ov-
ervoltage current waveforms to represent the indoor environ-
ment recommended for use in designing protection devices. 0.5 VPEAK
Table 1 describes the waveforms, open circuit voltage, source 0.3 VPEAK
impedance, and energy stored in the protection circuitry.

1. Category I. The waveform shown in Fig. 7 is defined as T1 50 µ s


‘‘0.5 애s–100 kHz ring wave.’’ This waveform is repre-
T1 × 1.67 = 1.2 µ s

0.9 VPEAK VPEAK

IPEAK
0.9 IPEAK
T = 10 µ s (F = 100 kHz)

0.5 IPEAK
0.1 VPEAK
0.5 µ s
0.1 IPEAK

T2
20 µ s
60% of VPEAK T2 × 1.25 = 8 µ s

Figure 7. 0.5 애s to 100 kHz ring wave (open-circuit voltage). Figure 8. Unidirectional waveshapes.
478 OVERVOLTAGE PROTECTION

ZS 2. Category II. In this category, close to the service en-


trance, much larger energy levels are encountered. Both
oscillatory and unidirectional transients have been re-
corded in this outdoor environment. IEEE Std. 587 rec-
ZV
VOC ZV VZV = ( ( VOC ommends two unidirectional waveforms and an oscilla-
ZV + ZS tory waveform for category II. These two waveforms are
shown in Fig. 8. The various stress conditions are com-
puted in Table 1.
Figure 9. Voltage-clamping device.
OVERVOLTAGE PROTECTION DEVICES

There are two major categories of transient suppressors: (1)


those that attenuate transients, thus preventing their propa-

Table 2. Characteristics and Features of Transient Voltage Suppressor Technology


Follow Clamping Energy Response
V–I Characteristics Device Type Leakage on I Voltage Capability Capacitance Time Cost

V Ideal device Zero to No Low High Low or high Fast Low


Clamping voltage low

Working voltage
I
Transient current

V Zinc oxide varistor Low No Moderate to High Moderate to Fast Low


low high
Working
voltage
I

V Zener Low No Low Low Low Fast High


Max I limit
Working
voltage
I

Peak voltage Crowbar (Zener– Low Yes Low Medium Low Fast Moderate
V (ignition) SCR combination) (latching
Working holding I )
voltage
I

Peak voltage Spark gap Zero Yes High ignition High Low Slow Low to
V
(ignition) voltage high
Working Low clamp
voltage
I

V Peak voltage Triggered spark gap Zero Yes Lower ignition High Low Moderate High
(ignition) voltage
Working Low clamp
voltage
I

V Selenium Very No Moderate to Moderate High Fast High


high high to high

Working
voltage

V Silicon carbide High No High High High Fast Relative


varistor low
Working
voltage

I
OVERVOLTAGE PROTECTION 479

Line Fuse device as the voltage tends to rise. The apparent ‘‘clamping’’
+ of the voltage results from the increased voltage drop in the
source impedance due to the increased current. It must be
Ac R clearly understood that the device depends on the source im-
Rectifier Switch mode IC Load
input power supply pedance to produce clamping. One is seeing a voltage divider
SCR action at work, where the ratio of the division is nonlinear
– (Fig. 9). The voltage-clamping device cannot be effective with
zero source impedance. Table 2 lists various types of voltage-
clamping devices and their features and characteristics.
Figure 10. SCR crowbar overvoltage protection circuit for switching
power supplier. Crowbar Devices. Crowbar-type devices involve a switch-
ing action, either the breakdown of a gas between electrodes
or turn-on of a thyristor. After switching on, the crow-bar de-
gation into the sensitive circuit; and (2) those that divert vice offer a very low impedance path which diverts the tran-
transients away from sensitive loads and so limit residual sient away from the parallel-connected load. These crowbar
voltages. Attenuating a transient, that is, keeping it from devices have two limitations. The first is their delay time, typ-
propagating away from the source or keeping it from imping- ically microseconds, which leaves the load unprotected during
ing on a sensitive load, is accomplished with series filters initial voltage rise. The second limitation is that a power cur-
within a circuit. The filter, generally of low-pass type, attenu- rent from the steady-state voltage source will follow the tran-
ates the transients (high-frequency) and allows the signal or sient discharge current (called ‘‘follow current’’ or ‘‘power-
power flow (low-frequency) to continue undisturbed. Diverting follow’’).
a transient can be accomplished with a voltage-clamping de-
vice or with a ‘‘crowbar’’ type device.
OVERVOLTAGE PROTECTION FOR SWITCH-MODE
POWER SUPPLIES
Filters. The frequency of a transient is several orders of
magnitude above the power frequency (50/60 Hz) of an ac cir-
During fault conditions, most power supplies have the poten-
cuit. Therefore, an obvious solution is to install a low-pass
tial to deliver higher output voltages than those normally
filter between the source of transients and the sensitive load.
specified or required. If unprotected, the higher output volt-
The simplest form of filter is a capacitor placed across the
age can cause internal and external equipment damage. To
line. The impedance of the capacitor forms a voltage divider
protect the equipment under these abnormal conditions, it is
with the source impedance, resulting in attenuation of the
common practice to provide some means of overvoltage pro-
transients at high frequencies. This simple approach may
tection within the power supply. Overvoltage protection tech-
have undesirable effects, such as (1) unwanted resonance
niques for switch-mode power supplies fall broadly into
with inductive components located in the circuit resulting in
three categories:
high-peak voltages; (2) high capacitor in-rush current during
switching, and (3) excessive reactive load on the power system 1. Simple SCR ‘‘crowbar’’ overvoltage protection
voltage. These undesirable effects can be minimized by add-
2. Overvoltage protection by voltage-clamping techniques
ing a series resistor (RC snubber circuit).
3. Overvoltage protection by voltage-limiting techniques
Voltage-Clamping Devices. A voltage-clamping device is a
SCR ‘‘Crowbar’’ Overvoltage Protection
component having variable impedance depending on the cur-
rent flowing through the device or on the voltage across its Figure 10 shows the principle of a SCR (silicon-controlled rec-
terminal. These devices exhibit nonlinear impedance charac- tifier) ‘‘crowbar’’ overvoltage protection circuit connected to
teristics. Under steady-state, the circuit is unaffected by the the output of a switch-mode power supply. If the output volt-
presence of the voltage-clamping device. The voltage-clamp- age increases under a fault condition, the SCR is turned on
ing action results from increased current drawn through the and a short-circuit is imposed at the output terminals via the

Voltage (VH )
Q1

FS1
Q2
(fuse) ZD1
R1 R5
Ac
input – C0 Load
A1 R4
+ SCR
R2 R3 C1
RIF, V

Transformer
rectifier Series regulator Simple crowbar
and shooting circuit circuit Figure 11. A simple SCR crowbar circuit
circuit for linear regulators.
480 OVERVOLTAGE PROTECTION

+Output +Output

Dc Zener diode Dc Shunt regulator


supply voltage clamp supply voltage clamp

Figure 12. Shunt regulator type voltage – –


clamp circuits. (a) (b)

resistor R, and the overvoltage condition is prevented. With the unregulated dc input voltage through the shunt-connected
linear regulator-type dc power supplies, SCR crowbar overvol- SCR. To prevent overdissipation in the SCR, it is necessary
tage protection is the normal protection method, and the sim- to use a fuse, FS1, or circuit-breaker in the unregulated dc
ple circuit shown in Fig. 11 is often used. The linear regulator supply. If the series regulator device Q1 has failed, the fuse
and crowbar operate as follows: or circuit breaker now clears to disconnect the source from
The dc output voltage, VH, is regulated by a series transis- the output before the SCR is destroyed. This approach is pop-
tor, Q1, to provide a lower but regulated output voltage, Vout. ular for many noncritical applications. Although this circuit
Amplifier A1 and resistors R1 and R2 provide the regulator has the advantage of low cost and circuit simplicity, it has
voltage control, and transistor Q2 and current-limiting resis- ill-defined operating voltage, which can cause large operating
tor R1 provide the current-limiting protection. The worst case spreads. Design modifications can be incorporated to over-
overvoltage condition would be a short-circuit of the series- come these limitations.
regulating device Q1 so that the higher unregulated voltage,
VH, is now presented to the output terminals. Under such Overvoltage Clamping Technique
fault conditions, both voltage control and current limiting ac-
tions are lost, and the crowbar SCR must be activated to In low-power applications, overvoltage protection may be pro-
short-circuit the output terminals. vided by a simple clamp action. In many cases, a shunt-con-
In response to such a fault condition, the overvoltage pro- nected zener diode is sufficient to provide the required over-
tection circuitry in Fig. 11 responds as follows: As the voltage voltage protection [see Fig. 12(a)]. If higher current capability
across the output terminals rises above the voltage-limiting is required, a more powerful transistor shunt regulator may
threshold of the circuit, or zener diode ZD1 conducts the driv- be used [Fig. 12(b)]. It should be noted that when a voltage
ing current via R4 into SCR gate C1. After a short delay de- clamp device is employed, it is highly dissipative, and the
fined by the values of C1, R4, and the applied voltage, C1 source resistance must limit the current to acceptable levels.
charges will reach gate firing voltage (0.6 V), and SCR will Hence, shunt clamping action can be used only where the
conduct to short-circuit the output terminals via low-value source resistance (under failure conditions) is large. In many
limiting resistor R5. However, a large current now flows from cases, shunt protection of this type relies on the action of a

Dc
Converter
input

Opto
coupler


ZD1
Ramp
comparator SCR2
Overvoltage
protection cct

Figure 13. Typical overvoltage shutdown Voltage


protection circuit for switch mode power control cct
supplier.
OVERVOLTAGE PROTECTION 481

separate current or power-limiting circuit for its protective plications, where independent secondary limits or regulators
performance. An advantage of the clamp technique is that are provided, the voltage limit circuit may act upon the cur-
there is no delay in the voltage clamp action, and the circuit rent limit circuit to provide the overvoltage protection. Once
does not require resetting upon removal of the voltage con- again, the criterion is that a single component failure should
dition. not result in an overvoltage condition. Many techniques are
used solid are beyond the scope of this article.
Overvoltage Clamping with SCR Crowbar Backup
For low-power application, an SCR crowbar circuit can be Reading List
used in parallel with a zener clamp diode. In that case, the K. H. Billings, Switch Mode Power Supply Handbook, New York:
advantage of the fast-acting voltage clamp can be combined McGraw-Hill.
with the more powerful SCR crowbar. With this design, the M. Brown, Practical Switching Power Supply Design, Motorola Semi-
delay required to prevent spurious operation of the SCR will conductor, Inc. Series in Solid State Electronics.
not compromise the protection of the load, as the zener clamp S. Cherniak, A Review of Transients and Their Means of Suppression,
diode will provide protection during the SCR delay period. Motorola Application Note AN843, Motorola Semiconductor, Inc.
A. Greenwood, Electrical Transients in Power Systems, 2nd ed., New
Overvoltage Protection by Voltage-Limiting Technique York: Wiley, 1991.
IEEE Recommended Practice on Surge Voltages in Low-Voltage AC
Figure 13 shows a typical example of a voltage-limiting circuit
Power Circuits, IEEE C62.41-1991.
used in switch-mode power supplies. In this circuit, a sepa-
Transient Voltage Surge Suppression Devices, DB 450.4, Harris
rate optocoupler is energized in the event of an overvoltage
Semiconductor, 1995.
condition. This triggers a small-signal SCR on the primary
circuit to switch off the primary converter. The main criterion
ASIF JAKWANI
for such protection is that the protection loop is entirely inde- Current Technology, Inc.
pendent of the main voltage control loop. This may be impos-
P. ENJETI
sible to achieve if a single IC is employed for voltage control
Texas A & M University
and shut-off. Additional design modifications may become
necessary.
Voltage-limiting circuitry may either latch, requiring cy-
cling of the supply input to reset, or be self-recovering, de- OXIDE RAMP DIODES. See SCHOTTKY OXIDE RAMP
pending on application requirements. In multiple output ap- DIODES.
J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering
Copyright c 1999 John Wiley & Sons, Inc.

PHASE-LOCKED LOOPS, APPLICATIONS


Phase-locked loops (PLLs) are used for a variety of functions, all of which may be incorporated in a generic
PLL form. The fundamentals of PLL systems are actually rather straightforward, but are typically enshrouded
in mystery. This mystery stems in part from the difficulty of identifying the basic signal components in the
loop and of understanding the unit as a feedback system with the peculiarities related to its phase-detector
properties. This article provides a foundation of principles, including those of tracking and acquisition. From
this foundation, several applications will be explored with the intent of providing an approach toward extending
the concepts and not simply being able to replicate the analysis and design discussed.
The PLL has wide application. The majority of its applications fall into the four main categories frequency
synthesis, frequency (FM) and phase (PM) modulation and demodulation, data and carrier recovery, and
tracking filters. Applications for each category will be considered.

The Generic Phase-Locked Loop

Definition. The basic PLL is rather simple in concept. However, we extend it a bit to include most
of the features of interest in a loop. The generic loop is shown in Fig. 15. Features that have been included
are frequency synthesis, frequency offset, and modulation and detection. The phase detector is shown as
a multiplier, since many classic phase detectors actually use the process of multiplication to extract phase
information about a nominal phase shift of 90◦ . Many of the loop effects may be absorbed into the simpler
model of Fig. 1 without any loss of generality. This basic PLL includes all the behavioral blocks needed for
analysis of loops. The components of the basic loop are a phase detector (PD), a low-pass filter (LPF), and a
voltage-controlled oscillator (VCO). In reality, the oscillator may be current-controlled and may be preceeded
by an amplifier to adjust the range of performance of the oscillator or make a filter active. It is not uncommon
to have to allow for additional delays and for low-pass filter effects at the input of the oscillator. Such delays
and filtering increase the need for adequate phase and amplitude margins of the open-loop gain compared to
unity at −180◦ , in order to prevent oscillation of the feedback system. The latter would cause either a highly
undesired frequency modulation of the VCO or a total loss of frequency lock in the loop.
An aspect that causes much grief for newcomers to PLL systems is the varied notation. We will use typical
notation and explain the meaning of each item as we proceed through the concepts. Many of the terms lend
themselves to approximations that offer excellent predictions of system results.
A PLL is generally analyzed as a linear, feedback-control system with a transfer function H(s) representing
the relationship between the input phase θs and the VCO phase θo . This transfer function is one of the most
confusing features of PLL analysis to the newcomer. In the signal sense, we have phase as a signal, not voltage
or current amplitude. Thus, the frequency response is that of a time-varying phase, not of the amplitude
variations in a typical input signal. Once this dual-frequency concept is understood, the analysis of a PLL is
1
2 PHASE-LOCKED LOOPS, APPLICATIONS

Fig. 1. Basic PPL, offering the most basic structure of a PLL needed for analysis, and consisting of a VCO, phase detector,
loop filter, and possible amplifier.

rather straightforward. The transfer function just mentioned is written as

This transfer behavior is typically written in terms of the linear transfer characteristics of the control-
system open-loop transfer function G(s). From Fig. 1 we have

where the VCO is modeled as an integrator (the VCO converts the control voltage V c into an instantaneous
frequency, which is then integrated to obtain the phase). The gain terms provide the appropriate conversion,
such as voltage to frequency for the VCO. The overall gain K is found with a variety of subscripts in the
literature, which we have chosen not to use. In the control-theory context, the phase detector acts as a difference
block—comparing the phase of the incoming signal with that of the VCO. The units used throughout the loop
are the radian and the volt. In some cases, the ampere is appropriate for a particular device, simply requiring
a change of the appropriate terms.
The filter used in a PLL is basically a low-pass filter designed to reject harmonics and spurious frequencies
generated in the phase-detection process, a design requirement not typically found in control systems. This
cutoff of the filter should be greater than the bandwidth of the PLL. However, additional filter shaping may also
used to enhance the bandwidth and performance of the PLL. A simple lag–lead filter may be used to narrow
the loop bandwidth, followed by a lag (or low pass) to provide the out-of-band low-pass filtering. In discussing
filters, we will generally neglect this latter out-of-band lag filter, which should always be added to a PLL.
The use of integrators in the filter facilitates tracking of velocity and acceleration signals (velocity signals
have a constant offset frequency, while acceleration signals have a changing offset frequency over time, typical
of the Doppler shift in a low-earth-orbit satellite system). These integrators allow the steady-state phase error
to be zero for specific applications, an important feature for many phase-demodulation processes.
PHASE-LOCKED LOOPS, APPLICATIONS 3

Since the filters and the loop VCO response are generally monotonically decreasing in amplitude with
increasing frequency, it is obvious that H(s) may be simply approximated in two separate ranges as

where ω is the bandwidth of the loop. A major problem in PLL design is ensuring that G(s) does not approach
−1 near the bandwidth of the loop. If the latter occurs, then substantial ringing or possible instability of the loop
should be expected. To avoid this stability difficulty, the loop is specified with a sufficient damping factor, phase
margin, or gain margin at ω = ω. The analytical treatment of the stability must take account of possible stray
delays in the system caused by low-pass coupling of oscillator control lines and related connections needed
for circuit isolation. It is common to simply add some extra margin to allow for common stray effects, but
such actions should be taken carefully, since they may add substantial switching delays and performance
degradation in an operational system. It should be noted that the PLL bandwidth ω is not the bandwidth
of a filter inserted in the loop, but rather comes from an interaction of the loop filter with the entire loop,
particularly the feedback filter effects of the VCO.
A secondary transfer function that is important for evaluating the limitations of the system is the error
transfer function relating the phase error to the input phase. This function may be written as

This error function is critical in the determination of the limits beyond which the signal may cause the
loop to lose lock, or simply to exceed the linear range of the components of the loop—most commonly the
phase detector or active filters. Additional transfer functions may be defined to describe the modulation and
demodulation processes of both FM and PM systems, as will be considered with specific applications. For
FM, the product of the modulation signal and the error transfer function tends to peak at ω. This peak
substantially increases the probability of the phase range of the detector being exceeded, causing a loss of lock
in the vicinity of ω. This peaking suggests that ω would be a good frequency to be check during loop testing.
Simple Phase-Locked-Loop Analysis. The simple linear analysis of a PLL starts with Eq. (2) written
as

Thus the basic analysis and design stem from the choice of the open-loop gain K and the filter function F.
It is common to assume that F is monotonically decreasing in frequency, though this need not be the case. If
F = 1, then the transfer function is simply a low-pass filter defined by a radian bandwidth of K. In practice, an
additional filter pole is added above the loop bandwidth for rejection of spurious signals created by the phase-
detector process (generally a form of multiplication) and will only increase the rejection of higher frequencies
in the loop, not having a substantial effect on the in-lock performance. If this additional filtering is not used
with multivibrator VCOs, the resultant output of the VCO will have a duty cycle related to the phase error.
4 PHASE-LOCKED LOOPS, APPLICATIONS

Let us consider the poor choice of a lag filter to control the bandwidth by adjusting the filter bandwidth
relative to K. In this case we would have

The new transfer function is commonly written as

where ωn is called the natural frequency and ζ is the damping factor. In controls, ζ takes on an important role
in the stability of the system. Generally speaking, ζ should not be chosen any smaller than about 1/ . That
exact value leads to optimal coupling for the system, in the sense that smaller coupling values lead to transient
ringing, while larger values lead to longer transient times or slower responses. Actual choices of ζ must also
allow for spurious delays in the system. The corresponding response of such a transfer function to a step change
in phase is easily obtained using Laplace transforms and is given by Blanchard (1) as

where θo represents the transient phase equivalent of the output phase, o . For ζ< 0.707, substantial oscillatory
behavior near ωn is created that dies out at the rate 1/τ (τ being the filter time constant), slower than K. For
larger ζ, the trigonometric functions become hyperbolic and the solution becomes a double exponential in 1/τ
and K. This connection with the actual parameters being selected is sometimes scaled out of the problem,
leaving the designer in a bit of a quandary about how to make some of the choices. In this case, it is clear that
the decay at the rate of K is the limiting feature of the loop. If K is not adjustable, the only consideration with
a lag filter is setting τ for good rejection of the spurious output frequencies created by the detector outside of
the bandwidth of K.
A lag–lead filter offers a reasonable alternative to adjustment of the loop gain constant. The lag–lead
filter and response are given by

The step response for this loop is similar to the last case and is given by Blanchard as (1)
PHASE-LOCKED LOOPS, APPLICATIONS 5

Fig. 2. Bode plot of a second-order filter and the associated G and H magnitudes. The lag–lead properties of the filter
are seen in the two breakpoints of the filter response |F|. The filter behavior produces |G| as |F/s|, resulting in a transfer
function H with response of unity within the passband and G outside the passband.

where ωn = and ζ= (1 + Kτ2 ) / (2 ). This response has a key τ1 that was missing with the
simple lag filter. As τ1 is increased to narrow the filter response, the zero of the filter may also be changed. In
essence, the effective gain of the loop is changed to Kτ2 /τ1 , with a fundamental pole at − Kτ2 /τ1 and a second
pole at about − 1/τ2 as long as the response of F changes almost entirely within the bandwidth of the loop. This
is easily seen in a Bode plot of H along with the plots of |F| and |G|, as in Fig. 2 with τ1 = 0.1 s, τ2 = 1 s, and
K = 500 rad/s. In this instance, the bandwidth of the loop has been reduced to 50 rad/s, from 500 rad/s with no
filter. The inclusion of an additional pole in the filter at 200 rad/s (τ3 = 0.005 s) helps to filter out the spurious
output frequencies of the detector without much change to the in-band response of the PLL, as shown in Fig. 3.
There is a slight increase in the bandwidth due to the proximity of the pole to the 50-rad/s bandwidth, but with
improved rejection. The values of the other filter parameters may be adjusted slightly to bring the bandwidth
back to the desired value.
This Bode-plot viewpoint has been provided to emphasize the design information that is directly available
from the Bode plot for many PLL situations. The Bode plot often provides a faster approach to the design needs
while still maintaining reasonable accuracy. Evaluating the phase margin (phase of G relative to −180◦ when
|G| = 1) is an alternate method for estimating the stability of the loop. With the extra pole, the margin in this
example becomes 65.8◦ rather than 80◦ . The advantage to this approach is a quick look at a design based on
the physical system rather than the scaled control system variables. In addition, once the Bode-plot approach
is adopted, the extension to higher-order systems is straightforward, not requiring information for Nyquist or
root-locus plots. The latter are useful tools, but do not substantially speed the design of a well-designed PLL.
The criteria for the Bode design is that the slope of G about the bandwidth should be approximately
20 dB/decade, similar to a simple integrator. A phase margin of 90◦ is desirable to minimize peaking in the
passband response. A 65.6◦ phase margin corresponds to a damping factor of 1/ , often
found to give the fastest settling of transient responses with no ringing. A damping factor of 1/2 creates a phase
margin of 52◦ with a peaking at the band edge of about 1.2 dB above the nominal passband response. This
slight peaking in the response is often a reasonable compromise for faster transient response times, though
with some damped ringing. Additional filtering may be added above the bandwidth to reject spurious detector
6 PHASE-LOCKED LOOPS, APPLICATIONS

Fig. 3. Bode plot of the second-order filter with an additional rejection pole. The performance within the passband is
equivalent to the filter of Fig. 2, but with additional rejection for higher frequencies.

output. Such filtering may even include notch filters. With the additional filtering design guidelines placed
above the loop bandwidth, the transient response of the system approaches that of a simple low-pass filter with
a bandwidth set from the Bode analysis. If a designer hedges on the phase margin by bringing these higher-
frequency filter poles closer to the passband, the PLL response peaks at the bandwidth limit as suggested.
Such a slight peak was seen in the response of Fig. 3 and is considered acceptable for many applications if kept
below 1 dB. A large collection of typical transient response plots is provided by Blanchard (1).

Components

Phase Detector. The critical component of a PLL is the phase detector. In control theory, it becomes
simply a difference block as previously suggested. However, the difference in phase between the two incoming
signals or frequencies is typically obtained by multiplying the two signals together and filtering the resulting
frequency-difference product. A multiplier is an ideal mixer that produces a phase detector whose output
voltage is proportional to the sine of the phase difference θe . The multiplier-type phase detector is one of four
basic forms. The basic detector forms give error output voltages as

which are depicted graphically in Fig. 4. The arrows in Fig. 4(d) emphasize that the transitions at the voltage
extremes are directed back to the zero value as a one-way transition, not to the opposite extreme as with
PHASE-LOCKED LOOPS, APPLICATIONS 7

Fig. 4. Phase detector characteristics: (a) sinusoidal, (b) triangular, (c) ramp, and (d) extended ramp. The arrows of (d)
are to emphasize the one-way transition to the zero output state rather than the opposite extreme of the output.

the two-way transition of the ramp detector. The one-way transition of the extended ramp is critical to the
development of a dc offset level to aid in acquisition when the loop is out of lock.
How are these results obtained? They are obtained through basic mixing processes creating a product of
the two signals in question. Consider the input frequencies to be given by

where φ has been used to represent the phase difference from a reference oscillator at frequency ωf . This
frequency ωf is often called the free-running frequency and is indeed just that for several of the detectors. For
the other detectors, which use integrating filters, it is typically chosen as the center of the frequency range.
8 PHASE-LOCKED LOOPS, APPLICATIONS

The corresponding input signals are

If we use an ideal multiplier followed by a low-pass filter, we obtain

Using a low-pass rejection filter for the second harmonic, we take the output as

To obtain the sinusoidal form we have to include a π/2 phase shift, θoffset . Thus if we define θs = φs and
θo = φo − π/2, we have the sinusoidal error form. An important aspect of the sinusoidal detector is the need for
amplitude control of the input signals to avoid gain variations due to the signal amplitude changes. The needed
product may be obtained using a variety of mixer configurations. See Egan (2) for an analysis of the various
types of mixers used as phase detectors.
The simplest way of considering the triangular detector is to replace the cosine inputs of the sinusoidal
detector with pure square waves. Then the phase-detector response is simply the convolution of two square
waves, providing the triangular response after filtering. Due to the multiplication process, the same π/2 phase
shift is required as used for the sinusoidal detector. A simple square-wave phase detector is a logic AND, OR, or
exclusive-OR gate. If we filter the output of the gate, we obtain the logical product with a dc voltage offset, the
latter describing the linear variation of the two waves from in phase to totally out of phase. For the AND gate,
the dc offset is 14 the maximum output voltage, the variation between between 0 and one-half the maximum
output voltage. The OR gate simply raises the average voltage of the AND gate to three-fourths the maximum
output voltage of the gate. Relative to the center of this voltage variation, we have triangular-wave performance
of the offset voltage with respect to the phase difference of the two signals.
A ramp detector has a phase offset of 180◦ (π rad). This form of detector is obtained by using the two input
signals to set and reset an edge-triggered RS flip-flop, followed by appropriate low-pass filtering.
The extended ramp requires no phase offset and obtains its output from a tristate logic circuit that has a
cycle memory to extend the range beyond a single cycle. This detector is one of the most commonly used phase
detectors in integrated systems. The extended ramp is simply a transient-logic combination of ramp-type
detectors and is commonly called a phase–frequency detector. A fundamental problem that has been overcome
in recent years is its zero-phase residual error. The transition through the zero-phase crossing involves several
state changes, which may cause random behavior. The frequency-detection properties of the detector occur
when the PLL is not in lock, creating a high or low triangular waveform with one-way transitions shown by
the arrows of Fig. 4(d). This high–low feature causes an additional dc offset that aids in frequency acquisition.
The detector is generally followed by an integrating low-pass filter in order to reach a zero steady-state error
with no frequency or phase error. Further information on these detectors are given by Egan (2), Best (3), and
Rohde (4).
PHASE-LOCKED LOOPS, APPLICATIONS 9

Fig. 5. Filter for spurious-output rejection. This simple low-pass filter is the minimum filtering that should be used with
a PLL to rejected unwanted frequency products produced in the phase-detection process.

A final phase detector that should be noted is the sample-and-hold. The classic sample-and-hold extracts a
sample of an oscillator signal and holds it until the next sample, at which time the hold is simply updated. This
technique operates much like a sinusoidal detector for a sinusoidal input signal, having the digital equivalent
of a D flip-flop phase detector. The sample-and-hold can offer a major advantage in feedthrough reduction for
the pulsed phase–frequency detector. To take advantage of such a use in a synthesizer, the sample is held
off one or more clock cycles of the VCO frequency. Thus, the sample is taken after the integrated output of
the phase–frequency detector has settled. In so doing, the feedthrough signal is reduced to a correction step
rather than a short pulse, substantially reducing the sideband generation in the system. The sample-and-hold
detector is not restricted to following another detector, but may be used directly as the phase detector. It is
sometimes advantageous in a synthesizer application to cascade two sample-and-hold phase detectors to obtain
even further sideband reduction. Further filtering should still be used, but with reduced rejection requirements
on the filter.
A second significant use of the sample-and-hold detector is in microwave frequency synthesis and stabi-
lization. For this application, the sample is taken on every N th cycle of an input sinusoidal waveform from the
VCO. The result is to effectively treat the input signal as though it were N times smaller, acting as a synthesizer
with a frequency that is N times the reference frequency. For many applications, the primary purpose of this
multiplying loop is to stabilize a microwave oscillator that may otherwise drift in frequency with temperature
and power.
Filters. The filters of the phase-locked loop provide two vital functions. First, the PLL filter provides the
necessary feedback frequency response to control the gain and bandwidth of the system. An additional low-pass
characteristic that should always be included provides the needed spurious rejection of mixing products that
result from the phase-detector operation. In frequency synthesis applications, the feedthrough of the spurious
frequencies of the phase detector is the major cause of sideband modulation components in the oscillator output.
These sidebands are usually severely restricted by governmental regulation to prevent interference with other
spectrum users. Thus the regulatory issue is a major concern that must be added to the problem, where the
spurious products usually have little effect on the PLL performance other than the sideband generation. On
reception, interference rejection of adjacent-channel signals, produced by the conversion with the spurious
sidebands generated in the VCO, is also a potential problem.
It is a good rule to make the filter only as sophisticated as needed for the particular application. If no
bandwidth control is needed, then a simple low-pass filter for eliminating the spurious frequencies may be all
that is used. In such a case, the filter bandwidth will be above the PLL bandwidth and may be obtained with
a simple RC low-pass filter. In such a case, the PLL operates in a first-order mode within the bandwidth of
operation.
The filters used in the PLL define the system type and order. The order refers to the degree of the system
polynomial in the denominator of the transfer function, while the type refers to the number of integrators in
the loop. By default, a PLL is at least a type-I loop. An ideal first-order loop would have no filter. In reality,
we use a filter (Fig. 5) to eliminate the spurious outputs of the phase detectors. However, as long as the filter
bandwidth is much greater that the loop bandwidth (which is K for this case), the linear system analysis of the
loop is closely approximated by a first-order loop with no filter.
10 PHASE-LOCKED LOOPS, APPLICATIONS

Fig. 6. Lag–lead passive filter with spurious-frequency rejection. The lead produced by R2 returns the filter response to
a constant for transition through the bandwidth limit, reducing the potential instability due to a small phase margin. The
capacitor C3 is added to provide high-frequency rejection of the undesired phase-detection products.

The lag–lead filter is easily implemented in discrete form with the addition of a single resistor as shown
in Fig. 6. The extra capacitor, C3 , provides the spurious-sideband rejection and is not directly a part of the
lag–lead circuit. This filter is described by

where the approximation occurs when the extra capacitor is ignored. A second lag–lead filter may be cascaded
with this filter to obtain an approximation to a double-pole, double-zero filter for use in a third-order system.
Third-order systems find use in tracking of low-earth-orbit satellites, which have an acceleration profile that
causes a continuously changing frequency. The third-order system is necessary to minimize the steady-state
phase error in the received signal and is typically implemented as a type-II loop.
Active filter systems are useful for implementing many filter functions. A major advantage of active filters
is their ability to make the denominator of the filter function a simple s and thus yield an integrator. Such a
configuration is shown in Fig. 7, giving

The new difficulty added by active filters is a phase inversion in the path that must be correctly taken
into account in the feedback analysis. An additional filter is simply cascaded if needed.
One must take care that the high-frequency response of the operational amplifier used does not allow
undesired pulse streams through the device. It is often wise to add passive rejection filters within the active-
filter design to guard against such problems. In practice, the frequency response of the operational amplifier
limits the ability to reject the transmission of the higher-frequency spurious signals, for which C3 is added. To
aid in the rejection and reduce the potential for overdrive of the operational amplifier, C3 may be relocated as
part of an input T network as shown in Fig. 8, giving

Additional factors that must often be adjusted in practical systems are offset voltages within the filters
and other components.
PHASE-LOCKED LOOPS, APPLICATIONS 11

Fig. 7. Integrating operational-amplifier filter with spurious-signal rejection, providing the desired zero to control the
phase margin while still providing high-frequency rejection with C3 .

Fig. 8. Modified filter for improved spurious-signal rejection. This filter is a modified version of Fig. 7, designed to reduce
possible high-frequency feedthrough in the filter due to inadequate operational-amplifier performance and to also avoid
overdrive of the amplifier.

Oscillators. The type of oscillator depends strongly on the application at hand. For low-frequency
applications, the oscillator that forms the VCO in the loop is often a simple multivibrator, typically constructed
with a balanced pair of devices and current-controlled in frequency. As frequencies are increased into the radio
and microwave realms, the oscillators are generally tuned circuits (resonant LC combination, cavity, or YIG
structure) with varactor frequency adjustment. The circuits are often balanced to reduce harmonic content, and
varactors are often used in pairs to reduce noise effects. Care must be used in providing the bias to varactors.
These units are part of a tuned circuit that effectively forms a low-pass filter with the bias resistor to the
control signal, producing an unwanted lag filter in the loop. In addition, the resistor must be sufficiently large
not to reduce the Q (or bandwidth) of the tuned circuit and yet not so large as to become a substantial noise
source in the loop. Noise is a critical item of concern in the design of synthesizer systems.
The tuning of the VCO is often nonlinear, even with some multivibrators. It is sometimes desirable
to linearize the voltage-to-frequency response, improving the linear system performance of the loop. Such
linearization is generally done with a diode switching network for selective loading that creates a piecewise
compensation of the VCO transfer function to obtain an effective linearization of the transfer. One drawback
to such piecewise loading systems is the inherent noise that may be created in the process.
In power and related applications, the oscillator may not always be obvious. The effective oscillator may
be a variable-speed motor that must be controlled or be a fully mechanical system such as the combustion
engine of an automobile with a speed sensor on the shaft. The specific design of oscillators is not the goal of
12 PHASE-LOCKED LOOPS, APPLICATIONS

this section, but rather a brief consideration of the types of units that might compose an oscillator in a PLL
system. For the purposes of analysis, the oscillator, frequency divider, and offset oscillator to be considered in
the applications may be considered as a single oscillator. Keep your mind open, and you may be surprised at
the systems that might be considered as oscillators in a PLL.

Acquisition

Analytic Approach. The first step in the operation of a PLL is the acquisition phase. In the previous
sections we considered the PLL as a linear system, always in lock. We begin the consideration of achieving
this locked state by assuming the VCO is running at a free-running frequency and the input is offset from the
free-running frequency by an amount 0 . The analysis that follows provides a basic viewpoint of acquisition
for the generic loop with a sinusoidal phase detector. If the detector is a triangular or ramp type, the same
basic mechanism occurs with a slight increase in the effective detector gain for the beat-frequency component
of the system. The analysis for the phase-frequency detector is a bit simpler due to the built-in offset voltage
when the frequencies are different. Other considerations must also be taken if the filter is an integrator that
is likely sitting on a power-supply rail when out of lock.
This analytical derivation is approximate, but gives surprisingly useful guidelines to the acquisition
process of phase-locked loops with lag–lead filters. We assume that only a sinusoidal term plus a slowly
varying offset need be considered at the control terminal of the VCO. With this in mind, we will look at the
operation of the loop for frequency differences in the various frequency ranges of the loop filter in order to
obtain limits on acquisition as well as an estimate of the time for acquisition.
For the nonintegrating lag–lead filter used with nearly all but the phase–frequency detector, the output of
the detector will be dominated by a beat frequency between the two detector inputs and a small low-frequency
(approximately dc) part that provides the locking mechanism. For such an output, we may write the control
voltage of the loop as approximately

From this voltage we may write the output of a sinusoidal detector as


PHASE-LOCKED LOOPS, APPLICATIONS 13

The quantity θ is an unknown phase offset of the VCO. We have chosen to use simple Taylor expansions
of the trigonometric functions rather than taking the additional step of using Bessel functions before taking a
Taylor series.
For the analysis we will assume the first term of Eq. (20) is above the filter breakpoints and is simply
reduced in amplitude by the high-frequency response of τ2 /τ1 of the filter. The second term is considered slowly
varying and is the term we shall consider for the filter interaction. Thus we have

where the ⊗ denotes convolution in time. Assuming the second term is a low-frequency term as previously
mentioned, we can determine coefficients by comparison with the original vc to give

Thus we may write a summary equation as

Rather than work with the full f (t), we may consider each frequency range of the filter separately. The
purpose of checking each region to search for a possible solution and or limit on the operation. First, consider
f (t) ≈ τ2 / τ1 (the high-frequency response). Equation (23) becomes

with solution

There are two cases of interest. First, if o ≥ K τ2 /τ1 , the solution provides
for a constant difference frequency as well as a low-frequency term at zero frequency, not above 1/τ2 , which

is an invalid solution. If o ≤ K τ2 /τ1 , the solution is nonphysical and we have


14 PHASE-LOCKED LOOPS, APPLICATIONS

chosen the wrong region of the filter for the analysis. Thus this frequency range of operation is not possible
within the constraints of the model.
For the second low-frequency, unity-transmission region, we have

or

Again there are two cases of interest. First, if o ≥ , the solution is


a constant difference frequency along with a low-frequency term at zero frequency, an out-of-lock condition.
This limit becomes our basic limit of frequency pull-in, ωp , the range within which the PLL is able to lock
eventually. If o ≤ , the solution is nonphysical and we have again chosen
the wrong region of the filter for the analysis.
The third midrange frequency region gives an integration of the detector output that is needed for
acquisition as

with a solution given by

In this analysis we have used the smallness restriction that

At the limit of this restriction, the PLL has reached the system bandwidth and ceases the pull-in process
of acquiring lock we have just identified, locking in without further cycle slippage. This latter process is the
basic transient response of the system and is referred to as lock-in; it occurs over a range ωL . The time it
takes for this process to move the VCO from the starting offset frequency to the loop bandwidth comes from
Eq. (29) as
PHASE-LOCKED LOOPS, APPLICATIONS 15

The pull-in transient occurs in about τ2 seconds, giving a total acquisition time of

Thus τ2 has a major role in setting the acquisition time of a loop. For the largest frequency of pull-in,
called the pull-in range, we have

If the frequency difference of the loop is within this range, the loop will eventually pull in to the locked
condition. After the pull-in process error reaches the loop bandwidth, the transient effects of a well-designed
loop will take over and complete the locking process with no further cycle slippage. The smaller range over
which this occurs is the lock-in range; it is approximately equal to the loop bandwidth and given by

The integrating filter does not have a low-frequency, unity-gain region. Thus the pull-in range for the
integrating filter is not limited by the detector, but rather by the filter, amplifier, or VCO. Unfortunately, an
integrator also tends to send an unlocked PLL to a supply limit of one of the components rather than to the
free-running frequency for an initial value, so that it requires an acquisition-process initialization. With active
filters, the initialization may simply be a Schmidt trigger arrangement that reverses the bias voltage on the
reference terminal to begin integration back across the active region of the device. As long as the desired
frequency is within the tuning range, this integration process should pick up the locking process. In the case
of the phase–frequency detector, the detector has a built-in bias that is added to the loop-filter input if the
frequencies of the inputs differ. This bias results from the phase–frequency detector being reset to the center
of the phase range rather than the opposite phase limit when the range is exceeded [refer to Fig. 4(d)].
Phase-Plane Approach. In the phase-plane approach, it is desired to relate the time derivative of
the phase error to the instantaneous phase error. In relating these two properties, a plot may be made of the
phase error versus time. In a numerical sense, you would simply start with the current phase error, determine
the time derivative, and estimate the new phase error at the next time step. In stepping through the process,
acquisition will occur if the process converges to smaller phase errors as time progresses and the phase error
cycles through multiple cycles. These plots are interesting, and the reader is referred to Blanchard (1) for
further information.

Noise Fundamentals

Noise can be a problem in PLL systems, though it can be alleviated by the proper use of PLLs. Slightly
different problems are encountered for low and for high signal-to-noise ratios. For the small-signal case, many
of the small-signal approximations relating amplitude and phase may be used. For the large-signal case, the
nonlinearities of the system must often be considered, particularly with respect to the the potential for loss
16 PHASE-LOCKED LOOPS, APPLICATIONS

Fig. 9. Simple noise model of a PLL, with a generic noise being added at a single point just after the detector. This location
is most suited to the analysis of the noise in a PLL used as a receiving system, yet represents the fundamental concepts of
general noise analysis.

of lock and cycle slipping. This overview of noise will provide a basic knowledge of the noise effects in PLL
systems, and further comments will be made in other sections.
A Simple Noise Model. The basic model typically adds a noise source in summation with the output
of the phase detector as shown in Fig. 9. Both Gardner (5) and Blanchard (1) discuss the case of additive
Gaussian noise at the model input. Rohde (4) adds substantial information on noise sources within the PLL
itself. Manassewitsch (6) concentrates on the determination of noise bandwidth. Approaches to high-level noise
are built around nonlinear system descriptions, often incorporating Monto Carlo techniques for analysis of the
noise statistics and determination of the potential for loss of lock. We refer the reader to the classic papers by
La Frieda and Lindsey (7) and by Viterbi (8) for details on high-level noise problems.
The level of noise in the model is determined by the translation of the input statistics due to the additive
noise conversion in the detector process and by the noise figures of the remaining components in the loop,
referenced to the detector output. We may typically treat the noise as a broadband noise voltage and simply
evaluate the transfer of this noise to the appropriate point of the loop. This explanation is slightly oversimplified,
but contains all of the basic analysis needs of the problem. If we consider the output point of interest to be the
θo at the output of the VCO, the appropriate transfer function is simply H(s)/K d . Thus the noise properties are
determined by the amplitude of the noise-source power density times the noise bandwidth. The latter is not
necessarily the 3 dB bandwidth; is often found to be 1.5 times larger than the 3 dB bandwidth, and is obtained
through integration of the transfer function.
A major source of noise is semiconductor junctions, which create partition and shot noise. Any resistive
component in the loop is a source of wideband thermal noise proportional to kTB (k being Boltzmann’s constant,
1.38 × 10 − 23 J/K; T being the absolute temperature, often taken as 290 K; and B being the bandwidth in hertz).
Such thermal noise was mentioned in connection with the choice of the isolation resistor used with varactors.
The spurious output of the detector may also be treated as noise, though by no means Gaussian. Other
low-frequency noise results from active device flicker (a low-frequency noise particularly important in the
phase detector, filter, and amplifier) and poorly chosen feedback levels in the VCO. Stability and microphonics
(frequency variations due to mechanical vibrations of the VCO components) are also critical aspects of the
VCO. People often forget that a VCO is mounted in a hostile environment where it may be subject to severe
vibration, particularly in the 1000 Hz range for automobiles and aircraft. Such periodic noise can cause havoc
through unwanted modulation on the VCO and is not corrected by the PLL for higher frequencies.
PHASE-LOCKED LOOPS, APPLICATIONS 17

Fig. 10. PLL with a frequency divider in the feedback loop, forming a basic, divider-type frequency synthesizer.

All of these sources, as suggested, may typically be lumped together as a single source at the output of
the detector and the noise analysis done by simply considering each of them as independent and feeding the
system transfer function. Improvement in noise performance involves improving the noise filtering properties
of the transfer function or reducing the noise contribution of individual components to the system. Though
simple in concept, this noise reduction process is often tedious and is considered by many to be an art.
Though this noise discussion has been brief, it should point to the potential sources of noise and the
fundamental analysis process for determining their contributions to the system noise. It is also wise to remind
the reader that the uncorrelated noise power adds, not the noise voltage. Pure random noise has zero correlation
with other noise sources. A few comments are offered on noise throughout the applications, but to only suggest
the correct path to consider in design.

Applications of Phase-Locked Loops

The PLL has wide application. The majority of its applications fall into four main categories:

• Frequency synthesis
• Frequency (FM) and phase (PM) modulation and demodulation
• Data and carrier recovery
• Tracking filters

Frequency Synthesis. Frequency synthesis is one of the most widely used applications for PLLs. The
local oscillators in most cell phones, land mobile radios, television sets, and broadcast radios are built around
PLLs. Frequency-synthesizer integrated circuits are available from a number of manufacturers (3). The basic
topology of the PLL frequency synthesizer is shown in Fig. 10.
The phase difference between a reference signal, ωref , and a divided sample of the output frequency, ω o ,
is measured at the phase detector. In a synthesizer, the signal frequency previously used is replaced by a
frequency standard referred to as the reference signal. When phase lock is achieved, the output frequency from
the divider must be equal to

where N is the divider ratio and ωref is the reference frequency. The basic frequency synthesizer acts as a
frequency multiplier. Since the phase comparison is done at the reference frequency, the effective VCO gain is
18 PHASE-LOCKED LOOPS, APPLICATIONS

given by

A synthesizer loop can be analyzed as a conventional loop where the VCO gain above is substituted into
the calculations.
The value of N is often made programmable. N is an integer; so changing it has the effect of increasing or
decreasing the output frequency, ω o , in steps that are a multiple of ωref . It is also important to recognize that
changing the value of N has the effect of changing the loop dynamics. Since the VCO gain K o is a function of N
in a synthesizer, changing N will change the critical parameters ωn and ζ in second-order loops and the phase
margin in third and higher-order loops.
The value of N influences the loop transfer function H(s). Consider the situation where s = jωm and ωm is
a modulating frequency:

or

Any phase or frequency deviation on the reference is multiplied N times for the output by the synthesizer.
Figure 10 shows a simple synthesizer with a divider in the loop. It is also possible to put a mixer in the
loop. This configuration is called an offset loop, and it is shown in Fig. 11. In order for the loop to be locked, the
following must be true:

or

The offset oscillator can operate either above or below the output oscillator frequency, and the filter can
select either the sum or the difference of the two oscillator frequencies. In the case of the offset loop, the loop
gain is unaffected by the frequency translation, expect for a possible phase inversion. The divider in Fig. 11
can be bypassed. In such a case N is set to one.
In most synthesizers, the reference frequency ωref must be significantly higher than the loop bandwidth.
The reason for this requirement is that the output of most phase detectors is not a pure dc signal. The signal
is pulses whose duty cycle and/or polarity are proportional to the phase difference. The loop filter not only sets
loop dynamics, but it must reject the ac component of the phase-detector output, passing only the dc value to the
VCO. The attenuation of the loop filter is finite, so some of the ac component does make its way to the VCO. The
PHASE-LOCKED LOOPS, APPLICATIONS 19

Fig. 11. Offset-loop frequency synthesizer. The offset oscillator is often used to lower the frequency requirements on the
divider.

result is undesired phase modulation sidebands at the reference frequency on the output signal. Narrow-band
loop filters provide better suppression of this reference feedthrough, but narrow-band filters exact a cost. Notch
filters are also used to filter out the reference frequency components.
Consider this example: The channel spacing in the FM broadcast band is 200 kHz. A synthesizer with a
reference frequency of 200 kHz will produce steps at the channel spacing. A second-order type-II synthesizer
loop, ζ= 1, ωn = 2π (200 Hz), will settle in approximately 10 ms to within 100 Hz of its target frequency after a
200 kHz step. A similar loop with a reference frequency of 200 Hz will produce 200 Hz steps but, everything
else being the same, will require that ωn = 2π (0.2 Hz) to produce the same level of reference suppression. This
loop will take almost 8 s to settle to the same accuracy after being commanded to change frequency by 200
kHz.
Most cell-phone, television, and broadcast radio receivers employ simple offset or divider-type synthesiz-
ers. For these applications, the required step size is usually much greater then the loop bandwidth required
for the desired dynamics. In order to produce small steps and at the same time obtain acceptable reference
suppression and settling time, it is often necessary to resort to multiloop synthesizers.
An example of a multiloop synthesizer is shown in Fig. 12. It was designed for an upconverting HF (0 to
30 MHz) in a communications receiver with a 75 MHz IF (4). This synthesizer will produce 10 Hz steps, but it is
much more complex than a simple offset or divider-type synthesizer. Loop 1 in Fig. 12 is a simple divider-type
synthesizer. It tunes from 50 MHz to 60 MHz in 1 kHz steps. The output of this loop is divided by 100, and
the resulting 0.5 MHz to 0.6 MHz output changes by 10 Hz each time the divider in loop 1 is incremented or
decremented by 1. Loop 2 is also a divider synthesizer, but it tunes from 75.5 MHz to 106.5 MHz in 100 kHz
steps. Loop 3 is an offset loop without a divider. This loop forces the difference between the loop-3 VCO and
the loop-2 VCO to be equal to the divided output of loop 1. Loop 1 can tune loop 3 over a 100 kHz range in
10 Hz steps, while loop 2 tunes loop 3 from 75 MHz to 105 MHz in 100 kHz steps. By proper choice of divider
programming, it is possible to continuously tune the loop-3 VCO from 75 MHz to 105.1 MHz in 10 Hz steps.
The multiloop synthesizer in Fig. 12 employs a combination of divider and offset loops. Another technique,
called fractional N, permits a synthesizer to produce steps smaller than the reference frequency. Details on
fractional-N synthesizers can be found in Refs. 9 and 10. A particularly simple implementation of a fractional-N
synthesizer can be found in Ref. 11.
The design of frequency synthesizers is often a careful balancing act that requires minimizing the lock
time, the reference feedthrough, and the spurious outputs generated by mixing and dividing, while at the same
20 PHASE-LOCKED LOOPS, APPLICATIONS

Fig. 12. This triple-loop frequency synthesizer of Rohde can tune from 75 MHz to 105.1 MHz in 10 Hz steps (4). Loop 1
is used to obtain a stable 10 Hz step interval for use in locking loop 3 to 10 Hz steps.

Fig. 13. PLL configured as a frequency or phase demodulator. The outputs at u1 and u2 offer tracking alternatives for
demodulation, allowing output for frequencies both above and below the loop bandwidth.

time meeting power, size, frequency, and step-size requirements. The literature contains additional information
on these design tradeoffs (4,9,10,12).
Modulation/Demodulation. A PLL can be used for phase or frequency modulation and demodulation.
Before presenting the analysis, it maybe valuable to obtain an intuitive understanding of the modulation–
demodulation process.
Figure 13 contains the block diagram of a PLL configured as a demodulator. If the modulating frequency
ωm is inside the loop bandwidth, the loop follows the phase and frequency of the incoming signal with little
error. The phase (and thus the instantaneous frequency) of the VCO follows that of the input signal. The VCO
control voltage u2 must be an exact replica of the modulating voltage for this to be true. It is generally assumed
that there is a linear relation between the control voltage and the VCO frequency. If the relation is nonlinear,
the VCO will still track the input frequency, but the control voltage will be a distorted replica of the modulation
voltage.
PHASE-LOCKED LOOPS, APPLICATIONS 21

Fig. 14. PLL configured as a frequency/phase modulator. As in Fig. 13, this configurations offers alternative modulation
inputs for modulation frequencies both above and below the loop bandwidth.

When the modulation frequency is outside the loop bandwidth, the VCO phase will no longer track the
instantaneous input signal phase. The loop can only track the average frequency of the incoming signal. This
causes the average VCO phase to remain constant. The instantaneous output voltage of the phase detector will
be a function of the difference between the applied phase modulation and the average VCO phase. Thus, the
phase-detector output voltage will be a replica of the source modulating voltage.
The output voltage for a FM demodulator is given by

Here ωs (ωm ) is the frequency deviation of the input signal and ωm is the modulating frequency. Recall
that H(jωm ) ≈ 1 for ωm less than the loop bandwidth. For the phase demodulator, the output voltage can be
obtained at u1 as

where φs (ωm ) is the phase deviation of the input signal. Note that

The situation is reversed for the PLL modulator shown in Fig. 14. Here a modulating voltage is added to
the loop. In the case of frequency modulation, the VCO control voltage is the sum of the voltage from the loop
filter, F(s), and the modulating voltage, u2 . If the modulating frequency is less than the loop bandwidth, the
loop will interpret any change of frequency due to u2 as an error and produce an equal and opposite correction
voltage at the loop filter output. The result is no change in the output frequency. If, however, the modulating
frequency is greater then the loop bandwidth, the loop can only follow the average frequency. The instantaneous
frequency will be controlled by u2 , and frequency modulation will result.
Phase modulation can be generated by introducing the modulation voltage at u1 . When the modulating
frequency is less than the loop bandwidth, the modulating voltage will cause a phase change at the VCO, which
the loop will track. The VCO phase must be adjusted to produce a phase difference at the phase detector that
cancels u1 . The VCO phase is now forced to track u1 ; thus the VCO output is phase-modulated. Beyond the
loop bandwidth, the loop can no longer track the error introduced by u1 and no modulation will occur.
22 PHASE-LOCKED LOOPS, APPLICATIONS

Fig. 15. Generic phase-locked loop with options. The options include frequency offset, frequency division, and modulation
and demodulation terminals.

The output deviation of the FM modulator is given by

Here ωo (ωm ) is the frequency deviation of the input signal and f m is the modulating frequency. We have

For the phase modulator, the output phase deviation can be obtained by

where φo (ωm ) is the phase deviation of the output signal and H(jωm ) ≈ 1 for ωm less than the loop bandwidth.
For FM the loop acts as a high-pass filter, and for PM the loop acts as a low-pass filter. Loop-bandwidth
considerations driven by loop dynamics and reference suppression may prevent FM at low modulating frequen-
cies or PM at high modulating frequencies. It is possible to overcome some of this limitation by applying the
modulating voltage at both u1 and u2 . Low-frequency FM can be generated by applying the modulating signal
to u2 in the conventional manner and applying an integrated version of the modulating voltage to u1 . Details
of this process can be found in Ref. 2.
It is also possible to obtain low-frequency FM by modulating the reference. For frequencies less than the
loop bandwidth the instantaneous phase and frequency are transferred to the output. This technique is used
PHASE-LOCKED LOOPS, APPLICATIONS 23

Fig. 16. The Costas loop for BPSK demodulation specifically locks to the carrier so that the modulation data may be
recovered. In this role, it is a carrier recovery loop.

in land mobile and cellular phone transmitters in conjunction with divider-type synthesizers. The synthesizer
acts as a multiplier, and a small amount of modulation applied to the reference is multiplied at the output.
All real oscillators are phase-modulated with noise. This noise modulation results from the finite-Q
resonators in the oscillators and the inherent noise of the active devices used in oscillators. While a full noise
analysis is beyond the scope of this article, combining the multiplier effect with the loop modulation response
gives insight into the noise performance of synthesizers. A more complete analysis can be found in the literature
(6,10,13).
Phase noise in oscillators is often described by a single-sided power spectral density Lφ . Below the loop
bandwidth, the loop follows a multiplied replica of the noise on the reference signal. The phase noise on the
VCO is suppressed, as the VCO phase is forced to follow the reference phase. Beyond the loop bandwidth, the
VCO phase no longer follows the reference phase, the error between the VCO and the reference increases, and
the noise output becomes the noise of the VCO. This effect can be expressed by

A careful choice of loop bandwidth can minimize the total noise output. An example of this can be seen
in many microwave synthesizers. Well-designed microwave oscillators such as YIG oscillators have very low
phase noise at large offsets ωm from the center frequency, but close to the center frequency their phase noise
24 PHASE-LOCKED LOOPS, APPLICATIONS

Fig. 17. Early–late data synchronizer that offers the ability to synchronize with a data stream in order to have reliable
recovery of the data stream in a sampled detection system.

is relatively high. Crystal oscillators multiplied into the microwave region, on the other hand, have very low
noise close to the center frequency, but the multiplication process tends to bring up the noise far removed from
the center frequency. The loop bandwidth can be chosen so the crystal-oscillator noise dominates close to the
center and the microwave oscillator noise dominates at large offsets from the center frequency. Such a loop is
referred to as a cleanup loop.
Data and Carrier Phase Recovery. Synchronization is probably one of the oldest applications for the
PLL. The timing and phase synchronization of 60 Hz power generating plants can be analyzed as a kind of
PLL. Television, and particularly color TV, would not be possible without PLLs to extract timing and phase
information from the TV signal. The growth of digital systems that employ various types of phase and phase-
difference modulation has brought renewed interest in PLLs to extract phase and timing information from a
data stream.
An example of a carrier phase recovery loop is the Costas loop. A Costas loop is shown in Fig. 16. The
Costas loop can coherently demodulate binary phase-shift keying (BPSK) signals. With a BPSK signal, a phase
shift of − π/2 or π/2 rad represents a data 0 or 1.
The input to the loop is 2 cos(ωc + φi ± π/2) = ± 2 sin(ωc + φi ), where ωc is the carrier frequency and
φi is the carrier phase. The ± π/2 represents the data modulation. The input is equally split and multiplied
by the quadrature of a locally generated carrier, cos (ωc t + φo ), in multipliers A and B. The low-pass filters
extract the difference signals from the product. The result is a quadrature of the phase difference between the
locally generated carrier and the incoming signal, ± cos(φi − φo ) and ± sin (φi − φo ). These quadrature outputs
are multiplied together in multiplier C. The result is a doubling of the quadrature component arguments as
0.5 sin [2 (φi − φo )]. The modulation disappears, and the resulting phase difference between the input and the
output is used to steer the VCO. While this example is for simple BPSK, it is possible to design similar loops
for N-phase modulation (5).
Another data synchronizer is the early–late gate (5). The circuit works well for rectangular pulses. Figure
17 contains a block diagram of an early–late gate synchronizer. Figure 18 shows the timing.
Integration from + T bit /4 to the data transition is controlled by the late timing. This integration will
produce an equal and opposite value for the integration controlled by the early timing from − T bit /4 to the data
transition only if the data transition occurs halfway between + T bit /4 and − T bit /4. The VCO is steered to this
point by the difference of the absolute values of the integration products.
PHASE-LOCKED LOOPS, APPLICATIONS 25

Fig. 18. Early–late data synchronizer timing, showing the balance of the early and late pulses used to center the data
stream.

Fig. 19. Bandpass and low-pass representation of a PLL, emphasizing the relationship between the transmitted signal
and the baseband representation of the signal used for detection.

Tracking Filters. Tracking filters are another early application for the PLL. The early space program
used PLL receivers to track weak satellite signals that were accompanied by changing Doppler shift.
The loop transfer function, H(jωm ), is almost always represented by its baseband representation, but
phase measurement is actually accomplished at some carrier frequency. The loop appears as a bandpass filter
centered at ωc with bandwidth twice that of H(jωm ). See Fig. 19. The unique property of this filter is that it can
track ωc as it changes. A signal with large frequency excursions can be passed through a filter that would be
otherwise too narrow to accommodate the frequency changes by using PLL tracking-filter technology.
Since it is desired to follow Doppler-shifted signals or signals that change frequency, loop dynamics
are an important consideration. The loop dynamics are related to the loop order and loop type. Loop type is
determined by the number of poles at zero in the open-loop transfer function. Table 1 shows the dynamic
behavior of various loops. The table shows the loop phase error after the loop reaches steady state following
a phase step, a frequency step, or a frequency ramp. The frequency ramp can be used to describe the loop’s
performance in tracking a satellite signal as the satellite passed from horizon to horizon.
26 PHASE-LOCKED LOOPS, APPLICATIONS

Conclusions

This article has provided the fundamentals of PLL analysis and several examples to illustrate typical appli-
cations. There are many extensions that may be made to a PLL for a particular application. The emphasis of
this article has been to highlight the process of the analysis and operation so that such extensions are easily
handled. The examples of frequency synthesis, frequency and phase modulation and demodulation, data and
carrier recovery, and tracking filters have provided extensions to suggest the variety of configurations that may
be considered. In all cases, the extensions may be brought back to the form of the basic PLL for analysis, giving
the reader the fundamental tools needed to design effective phase-locked systems.

BIBLIOGRAPHY

1. A. Blanchard Phase-Locked Loops: Application to Coherent Receiver Design, New York: Wiley, 1976.
2. W. F. Egan Phase-Lock Basics, New York: Wiley, 1998.
3. R. E. Best Phase-Locked Loops: Theory, Design, and Applications, 3rd ed., New York: McGraw-Hill, 1997.
4. U. L. Rohde Digital PLL Frequency Synthesizers: Theory and Design, Englewood Cliffs, N J: Prentice-Hall, 1983.
5. F. M. Gardner Phaselock Techniques, 2nd ed., New York: Wiley, 1979.
6. V. Manassewitsch Frequency Synthesizers: Theory and Design, 3rd ed, New York: Wiley, 1987.
7. J. R. La Frieda W. C. Lindsey Transient analysis of phase-locked tracking systems in the presence of noise, IEEE
Trans. Inf. Theory, IT-19: 155–165, 1973; in Lindsey and Simon (eds.), Phase-Locked Loops & Their Application, New
York: IEEE Press, 1978.
8. A. J. Viterbi Phase-locked loop dynamics in the presence of noise by Fokker–Planck techniques, Proc. IEEE, 51,
1737–1753, 1963; in Lindsey and Simon, (eds.), Phase-Locked Loops & Their Application, New York: IEEE Press, 1978.
9. W. F. Egan Frequency Synthesis by Phase Lock, 2nd ed., New York: Wiley, 2000.
10. U. L. Rohde Wireless and Microwave Frequency Synthesizers, Englewood Cliffs, N J: Prentice-Hall, 1997.
11. S. D. Marshall Extending the flexibility of a RFIC transceiver through modifications to the external circuit, MS Thesis,
Virginia Tech, Blacksburg, VA, 1999; http://scholar.lib.vt.edu/theses/available/etd-052599-165152/
12. J. A. Crawford Frequency Synthesizer Design Handbook, Boston: Artech House, 1994.
13. W. P. Robins Phase Noise in Signal Sources, IEE Telecommunications Series 9, London: Peregrinus, 1982.

WILLIAM A. DAVIS
DENNIS G. SWEENEY
Virginia Tech
200 PHASE SHIFTERS

The attenuation of the microwave signal due to the presence


of the phase shifter can be calculated from its S parameters,
and it is expressed in decibels as

(Insertion loss)1 = 20 log |S21 | (3)


(Insertion loss)2 = 20 log |S12 | (4)

The subscripts 1 and 2, respectively, refer to the phase shifter


when the input signal is at port 1 or port 2. The mismatch is
expressed as standing wave ratio (VSWR) at each port and is
given by

1 + |S11 |
(VSWR)1 = (5)
1 − |S11 |
1 + |S22 |
(VSWR)2 = (6)
1 − |S22 |

In order to evaluate the performance of a phase shifter, it is


necessary to introduce a quality factor. For a phase shifter
PHASE SHIFTERS operating at a specific frequency we can define a figure of
merit as the ratio between the maximum phase shift (in de-
A microwave phase shifter is a two-port device capable of pro- grees) and the corresponding attenuation in dB at that fre-
ducing a true delay of a microwave signal flowing through it. quency. This parameter can be expressed as
The produced time delay can be fixed or adjustable, and the
(phase S21 )
phase shifter is accordingly called fixed phase shifter or tun- figure of merit = (7)
able phase shifter. The geometry of the phase shifter is inti- |S21 |
mately related to the guiding structure which is used to de-
The performance of a phase shifter can be measured using a
sign it and is also related to the operational frequency. Most
phase shifters are realized in waveguides or in planar struc- standard S parameter setup, including a network analyzer
tures. Electrically, a phase shifter can be characterized by its and a test-set of calibration standards suitable for the specific
guiding structure (1).
scattering parameters matrix. The scattering matrix for an
ideal phase shifter (see Fig. 1) takes the form
 PHASE SHIFTER CLASSIFICATION
0 e− jφ 1
S = − jφ (1) A first classification of a phase shifter can be based on its
e 2 0
phase shifting capability, according to which it can be identi-
fied as fixed or adjustable. A fixed phase shifter will provide
The signal arriving at port 1 will appear at port 2 with a
phase shift ␾1 without being reflected at port 1 and with no a constant phase change between the two ports, while an ad-
attenuation, while the signal arriving at port 2 will appear at justable phase shifter will provide a phase change between
port 1 with phase shift ␾2 and without reflection or attenu- the ports which can be controlled mechanically or electrically.
ation. Further classification for the adjustable type is based on elec-
In general, ␾1 ⬆ ␾2 and the phase shifter is called nonrecip- trical performance and operational principle. Within the ad-
rocal, and if ␾1 ⫽ ␾2 the phase shifter is called reciprocal. In justable phase shifters we can distinguish between those
practice it is impossible to achieve perfect matching at the where the phase change is achieved through a mechanical
tuning and those where the change is obtained with an elec-
two ports (no reflection) and to avoid some attenuation while
the signal flows through the phase shifter. For these reasons trical signal. Furthermore, for the electrically tunable type we
the scattering matrix of a real phase shifter can be written in can distinguish between those where the phase can be
general as changed continuously (analog) and those where the phase can
only be changed by discrete steps (digital). Figure 2 shows a

S11 |S21 |e− jφ 1 graphical classification of different types of phase shifters.
S= (2)
|S12 |e− jφ 2 S22
PHASE SHIFTER PERFORMANCE

In the evaluation of a phase shifter performance, besides the


b1 = S11a1 + S12a2 a2 quantities derived from its S parameters such as insertion
S11 S12 loss, quality factor, and VSWR, other quantities are impor-
S21 S22 tant for practical design. Below we discuss such parameters
a1 b2 = S21a1 + S22a2 and their corresponding meaning.
Port 1 Port 2
• Operational Bandwidth. This is defined as the 3 dB
Figure 1. Phase shifter viewed as a two-port device. bandwidth (2), which is expressed as the frequency range

J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
PHASE SHIFTERS 201

Phase shifters

Tunable Fixed

Mechanical Electrical Waveguide Coaxial Planar

Waveguide Coaxial Planar Digital Analog

Ferrite Semiconductor Ferrite Semiconductor Ferroelectric

Waveguide Planar Planar Waveguide Planar Planar Monolithic Waveguide Planar

Figure 2. Phase shifter classification chart.

in which the insertion loss is contained within 3 dB be used as a fixed phase shifter, while in the Ku band a wave-
change. guide can be employed for the same purpose. In many applica-
• Power Handling Capabilities. This is expressed as the tions, it is desirable to achieve a differential phase shift be-
maximum power which can flow in the phase shifter tween two lines having the same length. For this purpose,
without overheating its components or without introduc- lines with different time delay must be used. A possible ap-
ing nonlinear phenomena due to the amplitude of the mi- proach to this problem is to change the propagation constant
crowave field. This second limitation is particularly im- of the line, loading it with lumped or distributed elements. So
portant for phase shifters which employ discrete devices if 웁1 is the propagation constant of the unloaded line and 웁2
such as field-effect transistors (FETs) or diodes. is the propagation constant of the loaded one, the achieved
• Switching Speed. This is the time needed by the phase differential phase shift will be given by (3)
shifter to switch between two different states, usually at
φ = (β1 − β2 )x (8)
the two ends of the achievable phase shift (larger allow-
able jump).
where x is the length of the line. So the basic idea in the
• Temperature Sensitivity. This expresses the sensitivity realization of this type of phase shifter is to change the propa-
in terms of degree of phase shift degradation per ⬚C gation constant of the transmission line by properly loading
change. This parameter should be small to avoid the ne- it. As an example, consider the realization of a fixed phase
cessity to adopt thermal compensation. shifter in circular waveguide geometry. The waveguide is
• Physical Size. This parameter can be very important, es- loaded with metal inserts as shown in Fig. 4. The equivalent
pecially when the phase shifter is employed in a radar circuits for the loaded and unloaded cases, assuming that the
system where thousands of units are required. Physical

;;;;
guide is operating with the fundamental mode TE11 (4), are
dimensions and weight must be minimized even at the reported in Fig. 5. Both lines have the same length, and the
cost of other parameters. As an example, think of a radar differential phase shift between the two TE11 modes is related
system which needs to be mounted on the front side of a
jet fighter.

FIXED PHASE SHIFTERS

;;;;
A fixed phase shifter must provide a constant phase change
between its two ports. Theoretically any transmission line
would be suitable for producing such a function as illustrated
in Fig. 3. For instance, in the X band (1) a coaxial cable could

E E
x

V1 β V2 = V1e–jβ x
45°
Port 1 Port 2 Unloaded line Loaded line
Figure 3. Transmission line acting as a phase shifter. Figure 4. Loaded circular waveguide.
202 PHASE SHIFTERS

x x

Y0 Y0 jBA jBB jBA Y0

Figure 5. Equivalent circuits for loaded Port 1 Port 2 Port 1 Port 2


and unloaded circular waveguides op- β1 β2
erating with the fundamental mode. Unloaded Loaded

to the normalized susceptance of the loads (5) by the following parts. The specific geometry depends on the operational fre-
equations: quency and on the guiding structure. As an example, three
classical implementations—a coaxial cable, a waveguide, and
BB sin 2βx − sin(2βx + φ) a microstrip line, respectively—are outlined below.
BB = = (9)
Y0 sin2 βx
Coaxial Cable Phase Shifter
BA sin φ cos βx − (1 − cos φ) sin βx
BA = = (10)
Y0 sin βx sin(2βx + φ) In a coaxial cable the dominant mode is TEM (see ELECTRO-
MAGNETIC FIELD MEASUREMENT) (6) so the phase of the signal
The use of Eqs. (9) and (10) allows one to design the loads propagating over a length between two cable ends points is
necessary to achieve a desired phase shift. Using a similar given by
concept, depending on the transmission line geometry, differ- √
ent type of loads can be devised as shown in Fig. 6. A quarter- ω r
φ= x (11)
wave transformer is used to avoid reflection at the load in- c
terface. Figures 6(a) and 6(b) show realization in circular
waveguide geometry using dielectric or metallic loads, while where x is the cable length, 웆 is the operating frequency, ⑀r is
Figs. 6(c) and Fig. 6(d) are rectangular waveguide geometry the dielectric constant of the inner core of the cable, and c is
using dielectric loads. the speed of light in free space. A ⌬x change in its length will
produce a change in phase (⌬␾) between the two cable points
end expressed by
MECHANICALLY TUNED PHASE SHIFTERS

;;;;;;
;;;;;;

ω r
Mechanically tunable phase shifters are capable of varying φ = x (12)
c
the signal delay in a transmission line using some moving
Figure 7 illustrates a section view of this type of phase
shifter. To allow for the stretch, the coaxial cable has concen-
tric air lines which can slide one into another, maintaining
the characteristic impedance of the cable constant while
changing length.

Waveguide Phase Shifter


In waveguide geometry, one way of obtaining a tunable phase
shift without changing its length is to change the effective

;;
yy ;;
yy ;;;
dielectric constant in some region of the guide, inserting a
Metal
Dielectric plates movable dielectric slab. Figure 8 illustrates one version of this
slab mechanical tunable phase shifter. The insertion of the flap in
Impedance Impedance
transformer transformer
(a) (b) Z0 Z0 Z0 Movable part

;;yy
yy ;; Dielectric
Impedance slab
transformer
(c)
Dielectric load

(d)

Figure 6. Different types of loaded transmission line.


Coaxial section
Sided in

Side view
Coaxial section

Figure 7. Mechanically tuned coaxial phase shifter.


Section view
yy
;;
PHASE SHIFTERS 203

Dielectric slab These are just a few examples of mechanically tunable


Narrow slot
phase shifters; of course, many others are possible, but the
basic concept on which they operate is the same and can be
summarized as follows. In order to obtain a phase shift, it is
t necessary to delay the electric signal independently from the
TE10 type of guiding structure used. This can be achieved in two
ways: One way is to change the physical length of the trans-
b mission line ⌬x which produces a delay of the signal at the
output port, inducing a phase shift change given by ⌬␾ ⫽
웁⌬x. In the second case, a change of the wave propagation
a
velocity obtained by changing the propagation constant of the
Figure 8. Mechanically tuned waveguide phase shifter. line ⌬웁 will produce a phase shift at the output port given by
⌬␾ ⫽ ⌬웁x.
the center of the waveguide, where the electric field is maxi-
mum assuming that the fundamental mode is propagating, ELECTRICALLY TUNED PHASE SHIFTERS
will delay the signal, producing a phase shift. This type of
device is only usable with some restrictions, since the thick- In electrically tuned phase shifters the phase change is con-
ness of the flap and its dielectric constant must be calculated trolled by an electric signal (driving signal) such as a voltage
to avoid the propagation of higher-order modes (i.e., TE30). A or a current. Since no moving parts are involved in the phase
simple equation for the design of this type of phase shifter, control process, electrically controlled phase shifters can
which avoids higher modes, was proposed by Gardiol (7) and achieve faster phase shift compared to mechanical ones. They
leads to the following relation: can be subdivided into two major categories—digital and ana-
√ √ log—depending on the type of control on the phase shift they
r tan[π (a − d)/λc ] = cot(π r d/λc ) (13) provide. One of the most important application of electrically
tuned phase shifters is the so-called phased array system. A
Based on the same concept, it is possible to have a movable phased array system is an array of antennas of which by elec-
dielectric inside a rectangular waveguide operating with the tronically controlling the phase of the electromagnetic signal
fundamental mode TE10 as shown in Fig. 9, where the interac- at each antenna element one can change its pointing direc-
tion of the field with the dielectric will be maximum when the tion. As an example, let us consider a linear array of antenna
plate is in the center of the guide (maximum delay corre- as illustrated in Fig. 11. If all the elements are excited with
sponding to maximum phase shift) and minimum when it is the same phase signal, the radiated signal adds coherently
on the side walls. Proper design of the ␭ /4 transformer (8) is and forms a wave front parallel to the array direction (line
necessary to avoid reflections at the phase shifter interface. joining all the elements). The beam pointing direction is per-
pendicular to the wave front, so the radiated beam will point
Microstrip Phase Shifter
in a broadside direction. In a phased array, this direction is
For the microstrip geometry a mechanically tuned phase adjustable by acting on the phase of the electromagnetic sig-
shifter was proposed by Joines (9). In this geometry it is pos- nal at the aperture of each radiating element. In a linear
sible to achieve a phase shift by changing the dielectric con- array with equispaced elements the beam can be steered by
stant of the substrate above and below the strip as depicted introducing a progressive phase shift between successive ele-
in Fig. 10. ments. If ␪0 is the scan angle with respect to the broadside
The change in the dielectric constant will induce a change direction, then the phase delay to be introduced between adja-
in the propagation constant, and consequently different phase cent antenna apertures can be calculated from
shifts will be achieved. This structure is attractive because it
ω
yields a continuous phase shift while maintaining the charac- φ = d sin θ0 (14)

yy
;;
teristic impedance constant. If we observe its section view de- c
picted in Fig. 10, we notice that by a proper design of the
thicknesses t1 and t2, accordingly with the dielectric constant where d is the element spacing, and c is the free space light
(9), it is possible to keep the characteristic impedance of the speed. For scanning the beam continuously, ⌬␾ is varied by
strip constant while changing its propagation constant. analog phase shifters; and for switching the beam from one
scan angle to another, ⌬␾ is varied in discrete steps by digital
phase shifters. The same principle applies to planar array for

;;
yy
achieving three-dimensional scanning and switching.

Digital Phase Shifters


Digital phase shifters use electronic devices such as pin di-
odes or FETs as switching elements; this allows the digital
Moving screw phase shifter to direct the microwave signal through paths of
different length, obtaining in this way the phase shift. The
use of a pin diode as a switching circuit allows biasing of the
Movable slab
diode forward (to obtain a trough) or reverse (to obtain a open
Figure 9. Dielectric loaded rectangular waveguide phase shifter. circuit) by means of a dedicated bias circuitry. In a similar
;;
204 PHASE SHIFTERS

;;
t

C r C'
GND
r

B' Section CC'

;
;;
t2 Rotation axis

r2
A
r(2)
r2
Rotation disk

;;;
r(1)
r1

r1
A' Section AA'

t2 Rotation axis

;;
Rotating
dielectric
r2
B disk

Rotation disk

r1
Microstrip line Section BB'
Dielectric slab
Figure 10. Microstrip mechanical tuned
phase shifter. Top view Side view

way, an FET channel can be switched on or off by proper bias trated in Fig. 14. In this case the differential phase shift ob-
(10). The use of FETs and diodes allows four basic designs. tained between the biased and unbiased condition is given by
The simplest one is shown in Fig. 12, where the shift is ob- x
tained by switching the signal between two different length φ = β (17)
2
transmission lines; Fig. 12 also shows a microstrip implemen-
tation of this type. The phase shift is proportional to the dif- The bias circuit must be designed carefully here, in order to
ference between the length of the two lines and is given by avoid degradation of performance and direct-current (dc)
⌬␾ ⫽ ⌬x웁. In a similar way, as shown in Fig. 13, the use of leaks. The bias circuit must allow biasing of all the active
different loads on the transmission lines, which are switched devices independently, while insulating the dc biasing signal
on and off by the diodes, allows a phase change between the from the radio-frequency (RF) signal. A simple design for a
biased and unbiased condition (⌬␾) related to the impedance microstrip topology is illustrated in Fig. 15. The two dc blocks
of the line (Z0) and to the susceptance of the loads (B) ac- are acting as series capacitors for the RF signal, allowing the
cording to the relation (5) RF to go through the diode while stopping the dc component
 Bn
 from the rest of the circuit. The two ␭ /2 high-impedance mi-
φ = 2 arctan (15) crostrip lines are operating as an open stub (11). At the mi-
1 − B2n /2 crostrip junction they will result in an open circuit transpar-
ent to the microwave signal while allowing the dc signal to
where Bn ⫽ Z0B. A microstrip implementation of this circuit provide the necessary bias for the diode. The high impedance
is also shown in Fig. 13; the reactive and inductive loads are of the open stub makes it look like an open circuit for a larger
obtained using open stubs of different length, and their values bandwidth (11).
can be calculated for a given susceptance using (11) The use of FETs as a switching element is similar to that
 2π f √  of the pin diode: The source and drain are grounded (only for
B = ZS cot
r
lS (16) the dc signal). In the off state, the gate-source and the gate-
c drain capacitances are equal. Because of this, the drain is not
isolated from the gate terminal. In real circuits, the bias net-
where Zs is the stub impedance, f is the operation frequency, work is configured so as to provide high impedance for the RF
⑀r is the relative dielectric constant, ls is the stub length, and at the gate terminal. This is achieved by using a low-pass
c is the speed of light in free space. Another design using a filter such that it presents an effective RF open at the gate.
pin diode in combination with a 90⬚ hybrid circuit is illus- This arrangement is shown in Fig. 16.
PHASE SHIFTERS 205

Beam direction

θ00

Equiphase front

7∆φ 6∆φ 5∆φ 4∆φ 3∆φ 2∆φ ∆φ 0° Antenna

Phase
shifter
Power
splitter RF signal Figure 11. Beam-steering concept using
input a phase shifter at each radiating element.

x1

Bias line

;;;
RF input RF output

;;;;;;
;;;;
x2

x1

x2 RF output

Pin diode Figure 12. Pin diode type of electrically


Bias line controlled digital phase shifter.
206 PHASE SHIFTERS

λ /4
Common bias

;;;; ;;
Bias line

RF input RF output
—jB jB —jB jB

;;;;;
Bias line

;;;;;
RF input Pin diode RF output

λ /4
Figure 13. Loaded pin diode electrically Dc blocking
capacitor
tuned digital phase shifter. Common bias

3 dB, 90°
Hybrid coupler
RF input x/2

0° Bias line RF GND

90°
x/2
90°

;; ;;
;;;
RF output
Figure 14. Pin diode and 90⬚ hybrid cir- Bias line RF GND
cuit type of electrically controlled digital
phase shifter.

;;;;
Pin diode

RF input RF output

Dc block λ /2

2 kΩ
Ω 2 kΩ

Figure 15. Bias circuit for pin diode type


of phase shifter. Bias
;;;;
PHASE SHIFTERS 207

Analog Phase Shifters


Analog phase shifters allow time delay control of a microwave
signal by using an electric driving signal. They differ from
digital phase shifters due to their capability to provide contin-
RF input RF output
uous phase delay control. This characteristic is very attractive
S D when a fixed phase resolution is impractical to use. Consider
as an example the received signal coming from a broadcast
G
TV, as illustrated in Fig. 18. Because a multiple reflection
path exist, a double image is received. One possible solution
to overcome the problem is to use two receiving antennas and
by proper adjustment of the phase difference between them
Low-pass eliminate the reflected signal. Because of the random nature
filter of the delay, only a continuous adjustable phase shifter can
be employed.
Electrically analog tunable phase shifters can be subdi-
vided in three major subcategories as illustrated in Fig. 2. A
Bias description of the operational principle for each of them is
provided below.
Figure 16. Bias circuit for an FET type of phase shifter.
Ferrite Phase Shifters
Because digital phase shifters only allow discrete phase Ferrite phase shifters are employed in a waveguide or a pla-
jumps, a cascade of them must be used when high resolution nar structure. They operate using the ferrite property of non-
in the phase change is desired. Figure 17 shows a typical ar- linear dependence between magnetization (B) and magnetic
rangement and the corresponding phase shift states for a field (H). Ferrites are nonlinear and nonreciprocal magnetic
4-bit phase shifter, capable of giving phase jumps with an
materials composed of a mixture of divalent metal and iron
increment of 22.5⬚ and a maximum phase shift of 360⬚. By
oxide having the general chemical structure
increasing the number of phase shifters, higher resolution is
achievable. The maximum achievable resolution will obvi- MO + Fe2 O3 (19)
ously depend on the number of phase shifters and is ex-
pressed by the relation where M is a divalent metal such as manganese, magnesium,
2π nickel, or iron. They exhibit a hysteresis B–H dependence as
φmin = n (18) reported in Fig. 19. To explain how ferrites are used in phase
2
shifters, it is not necessary to describe in detail the material
where n is the number of discrete phase shifters. properties which are well documented in Refs. 12 and 13. The

22.5° 45° 90° 180°


Input Output
signal 1 1 1 1 signal

0 0 0 0
0° 0° 0° 0°
S1 S2 S3 S4 S2

Switch state Phase shift


S1 S2 S3 S4
0 0 0 0 0°
1 0 0 0 22.5°
0 1 0 0 45°
1 1 0 0 67.5°
0 0 1 0 90°
1 0 1 0 112.5°
0 1 1 0 135°
1 1 1 0 157.5°
0 0 0 1 180°
1 0 0 1 202.5°
0 1 0 1 225°
1 1 0 1 247.5°
0 0 1 1 270°
1 0 1 1 292.5°
0 1 1 1 315°
1 1 1 1 337.5°

Figure 17. Diagram of 4-bit phase shifter and corresponding switching scheme.
208 PHASE SHIFTERS

Broadcast TV
;;
;
H

Direct ray
Magnetic Ferrite Biasing
Multiple path field lines slabs wire
reflection
Figure 20. Nonreciprocal waveguide ferrite phase shifter.

achieved using a current loop around the ferrite slab (with


the aid of a biasing wire). The ferrite is placed in the wave-
guide in such a way to maximize the interaction with the ex-
Φ isting magnetic field in the guide. For waveguide operating
with the fundamental mode (TE10), the magnetic field will be
Σ maximum at  and  of the longitudinal section of the guide
(6) as illustrated in Fig. 20. Because the magnetic field has
opposite direction at those sections, an asymmetrical bias (see
Fig. 20) will be necessary in order to obtain a phase shift.
This is done using a current flowing in the two wires in oppo-
Multiple image Single image
site direction. Another concept is to use different geometries
Figure 18. Phase shift recovering for a multiple path reflected as illustrated in Fig. 21. The cross section depicted in Fig.
signal. 21(a) is an extension of the one shown in Fig. 20, with the
difference being that the ferrite is placed where the maximum
magnetic field exists at the bottom and top of the guide. This
allows a reduction of the mismatch with the empty wave-
nonlinear H–애 dependence will be exhibited as shown in Fig.
guide, making easier the design of the matching circuit. Also
19. The permeability at specific magnetization value (H*) can

;;
yy
in this case as illustrated in the figure, a differential bias of
therefore be calculated as
the ferrite must be used. Figure 21(b) is also based on a simi-
∂B
B
µ = µ0 + (20)
∂H
H H=H ∗
Ferrite
In general the ferrite permeability takes the form of a tensor

yy
;;
because of the nonreciprocal behavior. The elements of this H H
tensor are a function of the applied magnetic field. When the External
magnitude or direction of the magnetic field is changed, the magnetization
permeability of the ferrite changes, thereby changing the
propagation constant of the electromagnetic wave. Phase shift
(a)
is a consequence of the change in the propagation constant
brought about by electronically controlling the applied mag- Ferrite

;;
yy
netic field. For a more extensive and complete treatment of
ferrite properties at microwave frequencies, see Refs. 14 and
15. As direct application of this concept, a waveguide ferrite
H
loaded phase shifter is described. The geometry of the device
is shown in Fig. 20, the magnetization of the ferrite is

(b)

;;
yy
B µ δB Ferrite
µ* = µ 0 +
δH H = H*

H H
H* 0 H*

(c)

Figure 19. Nonlinear B–H dependence and correspondent 애–H de- Figure 21. Different types of nonreciprocal waveguide ferrite phase
pendence. shifter.
yy
;;
PHASE SHIFTERS 209

Biasing wires field is applied perpendicularly to the direction of propagation


of the electromagnetic signal, the propagation constant (웁 ⫽

;;
yy
2앟/ ␭) of the signal will depend upon the bias field since 웁 ⫽
Ferrite
rod 2앟兹⑀r / ␭0 and ⑀r ⫽ ⑀r(Vbias). The total wave delay will become a
function of the bias field, and therefore this will produce a
phase shift ⌬␾ ⫽ ⌬웁l, where l is the length of the line. Two
major implementations of a ferroelectric phase shifter have
Dielectric been used: waveguide geometry and planar structures. In

;y;y
yyy
;;;
support waveguide geometry the ferroelectric material is placed inside
Impedance a waveguide as illustrated in Fig. 23. A voltage is applied to
transformer the center conductor, creating a vertical electric field to the
grounded flange. The matching layer must be placed on either
Ferrite rod Biasing wires side of the sample to couple the RF energy in and out of the
material. These rectangular layers of dielectric are needed in
the design of the phase shifter because of the impedance mis-
match between air and the high permittivity ferroelectric.
One problem in the use of this type of setup is the high bias
required (typically 1 kV to 2 kV) due to the thickness of the
material. Ferroelectrics require a bias voltage of the order 2
Dielectric support
V/애m to 4 V/애m in order to change significantly their dielec-
Figure 22. Reggia–Spencer reciprocal ferrite phase shifter. tric constant (25,26).
Use of the planar type of ferroelectric material in micro-
strip geometry avoids this problem as demonstrated in Ref.
27. The geometry is illustrated in Fig. 24. The active part of
lar concept; but the asymmetrical bias is replaced by an
the device consists of a microstrip line printed on a ferroelec-
asymmetric geometry, so the ferrite is only placed on one side
tric substrate whose dielectric constant is changed by bias.
of the guide. Further improvement toward the matching for
The length of the strip determines the maximum phase shift
this structure is obtained in the case of Fig. 21(c). Several
achievable for a fixed change of the propagation constant
versions of a planar structure which employ ferrites as tun-
(⌬웁), associated with the maximum bias voltage. The com-
able elements have been proposed (16–18). Even though in
plete design of this type of phase shifter is reported in Ref.
principle those structures work, most ferrite phase shifters
28. To reduce the required bias the ferroelectric material has
currently constructed use waveguide geometry.
a thickness of the order of 0.1 mm to 0.2 mm, allowing bias
Another operational principle for the ferrite reciprocal
voltage of a few hundred volts. As in the case of the pin diode
phase shifters is to use Faraday rotation to produce time de-
phase shifter, attention must be dedicated to the design of
lay of microwave signal. This type of phase shifter was at first
the biasing circuit, to avoid leakage of the dc voltage in the
proposed by Reggia and Spencer (19) and is illustrated in Fig.
RF circuit.
22. Several modifications of the original form were proposed
later, but the basic operative principle remains the same. In
Varactor Diode Phase Shifter
this phase shifter a longitudinal ferrite toroid is placed in the
longitudinal section of a rectangular waveguide (see Fig. 22). In varactor diode phase shifters a varactor diode is used as
The magnetic biasing field is produced by an external magne- a variable-capacitance element. This variable capacitance is
tization circuit. It is well known that when a linearly polar- obtained through a voltage-tuned capacitance of the diode un-
ized wave propagates in a ferromagnetic rod, the plane of po- der a reverse-bias condition (24). The varactor diode is used
larization of the wave in the rod rotates. Now if the rod is in combination with a hybrid coupled circuit as illustrated in
placed inside a rectangular waveguide with one of its dimen- Fig. 25(a). The 3 dB 90⬚ hybrid circuit is symmetrically termi-
sion at cut-off, then the rotational effect is suppressed (for nated with the diodes. If X is the reactance of the diode, the
small size rod). Reggia and Spencer have demonstrated large reflection coefficient can be calculated as (11)
changes in insertion phase with external magnetic field bias
for the transmitted power. They also demonstrated that the jX /Z0 − 1

= (21)
phase variations are independent of the propagation direc- jX /Z0 + 1
tion. Many other authors investigated the theory beyond this
effect (20,21). Practical design of the Reggia–Spencer phase and the corresponding phase of the reflection coefficient is
shifter is mostly based on approximate equations (22) which given by
consider the phase shift as a consequence of a small perturba-
φ = π − 2 arctan(X /Z) (22)
tion in the effective permeability.
where Z0 is the characteristic impedance of the transmission
Ferroelectric Phase Shifters
line (50 ⍀ typically). We notice that in order to obtain a phase
In ferroelectric phase shifters the phase-shift capability of fer- variation in the range going from 0 to 2앟, the reactance of the
roelectric materials results from the fact that if we are below diode must go from ⫺앝 to 0 to ⫹앝 and the maximum change
their Curie temperature (23,24) (see FERROELECTRICS), the di- of phase is obtained when X ⫽ 0. Hence, in order to obtain a
electric constant of such a material can be modulated under maximum phase shift, the diode must be connected in series
the effect of an electric bias field. Particularly, if the electric with an inductive load to allow resonance (X ⫽ 0); this can be
210 PHASE SHIFTERS

yyy
;;; ;;;
yyy
;;;yyy
yyy ;;;
Figure 23. Waveguide ferroelectric type
of analog phase shifter.
Ferroelectric
material

achieved with a stub as illustrated in Fig. 25(b) for a micro-


strip realization. The impedance of the reflecting termination
(diode and stub) is given by
Section view
Biasing
electrode
Matching
layer
Side view

Also at X ⫽ 0 (resonance condition) a maximum insertion loss


due to Rd will occur. The corresponding attenuation in this
circumstance is
 1
 1 + R 
Z = Rd + j ZS tan βlS − (23) d /Z0
ωCd αdB = 20 log10 (27)
1 − Rd /Z0

where Rd and Cd are the equivalent parameters of the diode,


and Zs and ls are the stub characteristic impedance and The figure of merit (F) for the analyzed structure is calculated
length, respectively. The associated reflection coefficient is as
calculated from
|φ|deg
R − Z0 + jX F= (28)

= d (24) αdB
Rd + Z0 + jX
A possible improvement of the presented structure can be ob-
As the bias voltage changes from 0 to a negative value, Cd
tained using two series varactor diodes as presented in Ref.
goes from Cdmax to Cdmin, giving a change of X expressed by
29. The operational principle remains the same, but a larger
1 1 change in the capacitance is obtained.
X = − (25)
ωCdmin ωCdmax

For such change of X the correspondent phase change can be


Γ
obtained as RF output
 X 
|φ| = 4 arctan (26) jX
2Z0

;;
yy
3 dB, 90°
Hybrid coupler
Ferroelectric
Microstrip line substrate

RF input jX

;y;yy
y;;
(a)
Side view

Γ jX
Ferroelectric Connection RF output lS
material pads Ground plane
ZS

3 dB, 90°
Hybrid coupler

RF input
Top view Bottom view (b)

Figure 24. Microstrip ferroelectric type of analog phase shifter. Figure 25. Varactor-based tunable phase shifter.
PHASE SHIFTERS 211

RF input RF output 10. P. R. Gray and R. G. Meyer, Analysis and Design of Analog Inte-
grated Circuits, New York: Wiley, 1993.
11. G. Gonzalez, Microwave Transistor Amplifiers, Englewood Cliffs,
NJ: Prentice-Hall, 1984.
12. G. P. Rodrigue, Magnetic materials for millimeter wave applica-
tions, IEEE Trans. Microw. Theory Tech., MTT-11: 351–356,
1963.
Z
13. J. Smith and H. P. J. Wijn, Ferrites, New York: Phillips’ Techni-
cal Library, 1959.
14. G. L. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters,
Impedance Matching Networks, and Coupling Structures, Ded-
ham, MA: Artech House, 1980.
15. J. J. Green and F. Sandy, Microwave characterization of partially
Figure 26. Active FET type of phase shifter. magnetized ferrites, IEEE Trans. Microw. Theory Tech., MTT-22:
641–645, 1974.
16. R. R. Jones, A slow-wave digital ferrite strip transmission line
phase shifter, IEEE Trans. Microw. Theory Tech., MTT-14: 684–
Active Phase Shifter 687, 1966.
Use of FET in an analog phase shifter (30) allows one to take 17. L. R. Whicker and R. R. Jones, A digital latching ferrite strip
transmission line phase shifter, IEEE Trans. Microw. Theory
advantage of the gain of the FET at microwave frequencies,
Tech., MTT-13: 781–784, 1965.
while producing the time delay at the same time. Figure 26
18. W. M. Libbey, Characteristic of a microstrip two meander ferrite
shows the topology of this kind of phase shifter. The phase
phase shifter, IEEE Trans. Microw. Theory Tech., MTT-21: 483–
variation in the transmission coefficient (S21) is achieved by
487, 1973.
controlling the bias voltage at the gate of the FET. The bias
19. F. Reggia and E. G. Spencer, A new technique in ferrite phase
voltage is applied on the second gate of the FET, while a fixed
shifting for beam scanning of microwave antennas, Proc. IRE, 45:
inductive load is connected to it. The bias voltage will change 1510–1517, 1957.
the capacitance between the first gate (G1) and the source,
20. P. A. Rizzi and B. Gatlin, Rectangular guide ferrite phase shifter
and this will change the amplitude and phase of the S21. One employing longitudinal magnetic fields, Proc. IRE, 47: 1130–
limitation of this topology is the narrow bandwidth which is 1137, 1959.
achieved. Use of more complicated topologies as reported in 21. W. E. Hord, F. J. Rosenbaum, and C. R. Boyd, Theory of the
Ref. 31 will allow larger bandwidth and larger phase shift- suppressed-rotation reciprocal ferrite phase shifter, IEEE Trans.
ing capabilities. Microw. Theory Tech., MTT-16: 902–910, 1968.
22. K. J. Button and B. Lax, Perturbation theory of the reciprocal
ferrite phase shifter, Proc. IRE, 109B: 1962.
ACKNOWLEDGMENTS 23. M. E. Lines and A. M. Glass, Principles and Applications of Ferro-
electrics and Related Materials, Oxford, UK: Clarendon Press,
The author wishes to thank Professor N. G. Alexopoulos of 1977.
the Electrical and Computer Engineering Department at the 24. C. Kittel, Introduction to Solid State Physics, New York: Wiley,
University of California, Irvine, for useful suggestions. 1986.
25. T. Mitsui and S. Nomura, Landolt–Bornstein: Numerical data
and functional relationship in science and technology, Ferroelectr.
BIBLIOGRAPHY Related Substances, 16: 1981.
26. R. Pepinsky, Physics of Electronic Ceramics, New York: Dekker,
1. M. Sucher and J. Fox, Handbook of Microwave Measurements, 1972.
New York: Polytechnic, 1963. 27. F. De Flaviis et al., Ferroelectric materials for microwave and
2. G. D. Vendelin, A. M. Pavio, and U. L. Rohde, Microwave Circuit millimeter wave applications, Proc. SPIE, Smart Structures and
Design Using Linear and Nonlinear Techniques, New York: Materials, 2448: 9–21, 1995.
Wiley, 1990. 28. F. De Flaviis, O. M. Stafsudd, and N. G. Alexopoulos, Planar mi-
3. R. E. Collin, Foundations for Microwave Engineering, New York: crowave integrated phase shifter design with high purity ferro-
McGraw-Hill, 1966. electric materials, IEEE Trans. Microw. Theory Tech., 45: 963–
969, 1997.
4. R. E. Collin, Field Theory of Guided Waves, 2nd ed., New York:
IEEE Press, 1991. 29. B. T. Henoch and P. Tamm, A 360⬚ reflection type diode phase
modulator, IEEE Trans. Microwave Theory Tech., MTT-29: 103–
5. R. Winnery and V. Durez, Campi e Onde nell’ Elettronica per le
105, 1971.
Comunicazioni, Milan: 1984.
30. C. Tsironis and P. Harrop, Dual gate GaAs MESFET phase
6. C. A. Balaniis, Advanced Engineering Electromagnetics, New
shifter with gain at 12 GHz, Electron. Lett., 16: 553–554, 1980.
York: Wiley, 1989.
31. M. Kumar, R. J. Menna, and H. Huang, Broadband active phase
7. F. E. Gardiol, Higher order modes in dielectrically loaded rectan- shifter using dual-gate MESFET, IEEE Trans. Microw. Theory
gular waveguides, IEEE Trans. Microwave Theory Tech., MTT- Tech., MTT-29: 1098–1102, 1981.
16: 919–924, 1969.
8. N. Marcuvitz, Waveguide Handbook, London: Peregrinus, 1986. FRANCO DE FLAVIIS
9. W. T. Joines, A continuously variable dielectric phase shifter, University of California at Los
IEEE Trans. Microw. Theory Tech., MTT-19: 729–732, 1971. Angeles
212 PHASE SHIFT KEYING

See also FERRITE PHASE SHIFTERS; MICROWAVE PHASE


SHIFTERS.
J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering
Copyright c 1999 John Wiley & Sons, Inc.

PREAMPLIFIERS
The essential task of sensors, detectors, and transducers is to convert the characteristics of the physical
world (e.g., light, sound, pressure, displacement, temperature) into electrical signals, which are then suitably
processed for the required application. Before being processed, these electrical signals must be conditioned by
appropriate electronic circuitry.
Despite the improvements carried out in recent years, all sensors have a common characteristic: a weak
signal delivered and a limiting noise level. Therefore, the front end of the sensor-associated electronic system
must be an amplifier, usually called a preamplifier. Two main requirements must be satisfied for preamplifiers.
First, they must raise the signal level adequately over a certain frequency range (linear amplification is very
often requested). Second, they must contribute only a minimum amount of additional noise. Depending on
the specific application, other features such as high linearity, large output swing, wide-band operation, and
large output drive capability may be needed, but these requirements do not differ substantially from those of
normal amplifiers. What is specifically important for preamplifiers is to optimize their noise performance. This
is because, although the noise performance of a generic electronic system [which is made up of a preamplifier,
signal conditioning and processing circuitry, and output interface (Fig. 1)] depends on the noise behavior of all
these subsystems, in practice, in a well-designed system the noise performance is entirely dominated by the
noise characteristics of the front-end circuit (preamplifier). This is true if the preamplifier gain is sufficiently
high to allow the subsequent processing to be performed with negligible degradation in the signal-to-noise
ratio. Hence, low-noise system design is mainly focused on low-noise preamplifier design.

Basic Concepts Related with Preamplifiers

Some basic concepts useful in analyzing preamplifiers from the noise standpoint, namely, equivalent input
noise, noise factor and noise figure, and noise matching, are introduced in this section.
Equivalent Input Noise. In the characterization of many nonideal electrical quantities, which are
generated by a plurality of sources or mechanisms within a circuit, it is a common practice to replace all by one
equivalent input source. This equivalent source has the same effect at the circuit output as the global effect of
all the individual internal sources and, therefore, the circuit can be considered free of such mechanisms (1),
which greatly simplifies circuit analysis and design. Offset voltage and noise are representative examples of
what has been discussed.
A network, such as an amplifier, is made up of many components. Any electrical component has its own
internal mechanisms of noise generation. As a result, the output of any real amplifier exhibits noise, which
depends on factors such as internal noise sources, circuit topology, gain, and measurement bandwidth. The
amplifier’s output noise power spectral density can be found by multiplying the noise power spectral density of
each source by the squared module of its particular transfer function and then superposing all the individual
noise contributions. This procedure must be followed for any frequency of interest.
1
2 PREAMPLIFIERS

Fig. 1. The electronic chain associated with a sensor includes a preamplifier, signal conditioning and processing circuitry,
and output interface. The stages cascaded to the preamplifier may provide additional gain.

Rather than by the noise measured at its output, an amplifier is best characterized by the minimum
signal applied to its input, which is still detectable at the output of the signal processor. This signal may be
conveniently regarded as equivalent to a virtual noise source located at the input. This is known as equivalent
input noise or input-referred noise of the amplifier, and allows one to easily compare the total noise generated
by the amplifier with the input signal. From the above considerations, the equivalent input noise power of an
amplifier coincides with the output noise power divided by the squared module of the amplifier gain (2).
A similar idea is applied to the noise model of a generic sensor. Starting from its small-signal equivalent
circuit, including all impedances and current/voltage signal generators, a noise equivalent circuit is derived
by including the noise sources associated with resistances (or, better, with the real parts of impedances) and
signal generators. From this equivalent noise circuit, an expression for small-signal gain and equivalent input
noise is derived.
Figure 2(a) represents the equivalent noise circuit of a generic system consisting of a sensor and a
preamplifier. The sensor is described by its signal voltage generator V s , its internal series impedance Zs , and
a noise voltage generator, V n,s , which includes the contributions by all sensor noise sources. The preamplifier,
having voltage gain Av and input impedance Zi , is represented from the noise point of view, by a noise voltage
generator V n,a and a noise current generator In,a placed in series and in parallel, respectively, with the input
port. These noise generators, whose magnitude is specified in units of V/(Hz)1/2 and A/(Hz)1/2 , respectively,
are in general, frequency dependent, and are very often represented in terms of their mean square voltage,
V 2 n,a (V 2 /Hz), and mean square current, I2 n,a (A2 /Hz). The two generators can be statistically correlated or
not, depending on the specific case. For example, they are practically 100% correlated and totally uncorrelated,
respectively, when modeling a metal-oxide-semiconductor (MOS) field-effect transistor or a bipolar junction
transistor (BJT) in the mid-frequency range (3). When combining the effects of different noise sources, one
must remember that noise is a random signal. Therefore, when summing two noise variables An and Bn , the
result is C2 n = A2 n + B2 n + 2 Re{A∗ n Bn }, where Re{A∗ n Bn } represents the real part of the cross-correlation
spectrum of An and Bn . For ease of use, the correlation effect between two variables is very often expressed
by using the so-called correlation coefficient γ, which is a normalized factor having a value between 0 (no
correlation) and 1 (100% correlation). Using this approach, one has C2 n = A2 n + B2 n + 2γAn Bn .
Although the number of noise sources in Fig. 2(a) has been reduced to three, further simplifications are
usually carried out to represent them just by one equivalent input noise voltage generator, V n,eq , at the signal
source location (2). To derive an expression for the equivalent input noise, the total noise at the amplifier
PREAMPLIFIERS 3

Fig. 2. A generic system noise model (a) can be reduced to an equivalent circuit with an equivalent input noise voltage
generator (b), or to an equivalent circuit with an equivalent input noise current generator (c).

output, V n,o , must be first derived. Assuming V n,a and In,a to be statistically uncorrelated, one obtains:

The system gain from the signal source location is


4 PREAMPLIFIERS

Therefore, the equivalent input noise voltage, V n,eq , which is equal to the total output noise divided by the
squared module of the system gain, is given by;

After combining and reflecting all noise sources to the signal source location, the resulting equivalent circuit
is shown in Fig. 2(b). The noise source V n,eq will generate exactly the output noise given by Eq. (1).
V 2 n,eq can also be obtained from Fig. 2(a) by disconnecting the noiseless amplifier and evaluating the
voltage across the noise generator In,a , which results in Eq. (3). This operation corresponds to finding the
equivalent dipole connected at the input of the noiseless amplifier (Thévenin theorem). In practice, the dipole
in Fig. 2(a) constituted by V s , V n,s , Zs , V n,a , and In,a , is exactly equivalent to the dipole in Fig. 2(b) constituted
by V s , V n,eq , and Zs .
Observe that, as indicated in Eq. (3), the equivalent input voltage noise does not depend on the amplifier
gain and input impedance, although the effect of any noise generated at Zi is implicit in In,a . However, V n,eq
depends on the impedance of the signal source, as well as on the noise generated by the sensor (hence, the
design approach for noise optimization will also depend on the kind of sensor impedance, that is resistive,
capacitive, inductive, as will be shown below). Output noise will obviously depend on both the gain and the
input impedance of the amplifier.
Following similar steps, an equivalent noise circuit of the system can also be derived in terms of an
equivalent input noise current generator In,eq [Fig. 2(c)]:

where I2 n,s = V 2 n,s /|Zs |2 .


Either equivalent noise circuit can be used, however, it is generally more appropriate to characterize the
system noise in the same terms as the source signal (voltage or current).
If the amplifier noise voltage and current generators V n,a and In,a are not statistically independent, as
occurs when they contain some components arising from a common phenomenon, the scheme of Fig. 2(b) must
include another noise voltage generator in series with V n,eq . The power spectral density of this generator is
a function of their correlation coefficient: V 2 n = 2γ|V n,a In,a Zs |. In the same way, an additional noise current
generator I2 n = 2γ|V n,a In,a /Zs | has to be placed in parallel with In,eq in the scheme of Fig. 2(c).
Equivalent Input Noise in Cascaded Stages. Consider the network in Fig. 3, which consists of n
cascaded stages having respective voltage gains Av1 , Av2 , . . ., Avn and equivalent input noise voltage sources
V n,eq1 , V n,eq2 , . . ., V n,eqn . It should be pointed out that a “stage” in this figure can be a complex circuit or even a
single active device. Moreover, for each stage i (i = 1, 2, . . ., n), Avi and V n,eqi are evaluated taking the value of
input and output impedances into account. Assuming that noise sources of different stages are uncorrelated,
as is the usual case, the total output noise power is
PREAMPLIFIERS 5

Fig. 3. Schematics for evaluating the noise performance of an n-stage voltage amplifier.

which corresponds to an equivalent input noise for the whole network equal to

From this equation, one concludes that the noise behavior of the whole network can be dominated by the first
stage, provided that its voltage gain is large enough. In this case, in fact, noise contributions by the following
stages can be neglected. The same conclusion also holds when considering current noise, obviously referring to
the current gain rather than to the voltage gain of the stages.
Noise Factor and Noise Figure. Noise factor F is one of the most traditional parameters used to
characterize the noise performance of an amplifier. It is defined as the ratio of the total available output noise
power per unit bandwidth to the portion of that output noise power caused by the noise associated with the
sensor, measured at the standard temperature of 290 K (4). To emphasize that this parameter is a point
function of frequency, the term “spot noise factor” may be used. Since the noise factor is a power ratio, it can
be expressed in decibels. In this case, the ratio is referred to as noise figure (NF). That is, taking Fig. 2 and Eq.
(3) into account,

An alternative expression for the noise figure can be derived from Eq. (7), obtaining

where (SNR)i is the signal-to-noise ratio (SNR) available at the sensor output, and (SNR)o is the SNR at the
output of the real (i.e., noisy) amplifier. The above result indicates that NF accounts for the signal-to-noise
power degradation caused by the preamplifier. Thus, for an ideal amplifier, which does not add any noise, the
6 PREAMPLIFIERS

output signal-to-noise ratio is kept equal to its input counterpart, and NF = 0 dB. Alternatively, an NF of 3 dB
means that half the output noise power is due to the amplifier.
As will be shown later, NF by itself is not always an appropriate figure of merit to characterize the
noise performance of an amplifier. As stated above, NF is only valid to indicate how much noise is added by a
preamplifier to a given input source resistance, and is therefore useful to compare noise behavior of different
preamplifiers with a determined signal source. However, it is not a useful tool for predicting noise performance
with an arbitrary source.
Noise Matching. Let us consider now the particular case where the internal impedance of the signal
source is a resistor Rs . This corresponds to one of the most frequently used types of sensors, which can be
represented by a signal source generator V s in series with its internal resistance and a thermal noise generator
V n,s , whose power spectral density is:

where K is Boltzmann’s constant (1.38 × 10 − 23 Ws/K) and T is the absolute temperature (K). According to Eq.
(7), the noise figure for this resistive signal source is given by

By differentiating this expression with respect to Rs , one obtains the so-called optimum source resistance, for
which NF is minimum:

From Eqs. (10) and (11), NF can be written as

in which M = Rs /Ropt is the matching factor. For a given V n,a ·In,a product, NF is minimum for M = 1.
The effect of the source resistance variation on NF for amplifiers having different values of the noise
sources product V n,a · In,a , is illustrated in Fig. 4 [p. 46 in Ref. 2.]. The minimum value of the noise figure,
NFopt , occurs at Rs = Ropt . As the product V n,a · In,a increases, the noise figure also increases and is more
sensitive to source resistance variations.
In the more general case where the correlation factor, γ, is different from zero, the optimum value, F opt ,
of the noise factor corresponding to the optimum source resistance is easily calculated as

F opt defines the best performance obtainable when the source resistance can be selected to match Ropt . Eq. (13)
also clearly shows that, for any given source resistance and temperature, the best noise performance, obtained
when perfect matching is achieved, depends on the product V n,a ·In,a of the amplifier (5,6).
PREAMPLIFIERS 7

Fig. 4. NF reaches its minimum value for Rs = Ropt . NF increases with increasing value of the product V n,a ·In,a .

Noise matching is based on the idea of modifying the amplifier equivalent input noise sources as seen at
the signal source location, to meet the condition Rs = Ropt , so as to minimize the total equivalent input noise
(5). This condition, which indicates that the preamplifier input stage is matched to the sensor, is considered as
the essence of low-noise design. Of course, to keep the noise low, the rest of the amplifier must also be designed
so that its noise contributions are low compared with those of the input stage.
A very simple and illustrative way to modify the amplifier equivalent input noise consists of coupling the
sensor to the amplifier by means of a transformer with a primary-to-secondary turns ratio of 1:N (Fig. 5 shows

this for the case of a resistive sensor). The amplifier noise sources are reflected to the primary as V n,a = V n,a /N

and I n,a = NIn,a . The ratio of these reflected parameters is:


Matching R s to Rs , one can derive the turns ratio required in the coupling transformer:

When this condition is met, the amplifier sees the optimum source resistance and, hence, the equivalent input
noise is minimized. It has to be pointed out that a real transformer has its own internal noise sources and
stray impedances, which have to be taken into account in an accurate low-noise design. Moreover, the use of a
transformer requires a suitable shield to external electromagnetic fields, which can induce spurious currents
in the transformer itself.
According to the above results, it appears that the noise figure NF can be alternatively improved by
adding a series or shunt resistance to a given source resistance Rs (or, by correspondingly changing the sensor
resistance), to make its final value equal to Ropt . However, due to the addition of an extra resistor, the signal-to-
noise ratio gets worse. On the other hand, for Rs = 0, NF goes to infinity, although the actual output noise is less
than that corresponding to any other value of source resistance, including Ropt . This contradictory situation
arises from the fact that reducing NF improves the output SNR only if the SNR at the source remains constant.
8 PREAMPLIFIERS

Fig. 5. Noise matching by coupling transformer minimizes the total equivalent input noise.

This condition is not met when matching is achieved by modifying the source resistance, but it is satisfied
when using a coupling transformer. For the same reason, only when increasing sensor resistance increases
the signal proportionally, it should be modified so as to match the amplifier optimum noise source resistance.
When choosing or designing a preamplifier, the best noise performance is obtained by achieving the minimum
equivalent input noise rather than the lowest noise figure.
Noise matching can also be achieved in the case of narrow-band signal sources. By exploiting the resonance
of a suitable LC group, the amplifier equivalent input noise as seen at the signal source location, can be
optimized at the required center frequency. It is possible to follow this approach for both resistive and reactive
narrow-band sources (6).
Since transformers, as well as other coupling techniques using discrete components, are not compatible
with solid-state circuits, noise matching for monolithic preamplifiers has to be obtained by appropriate choice of
transistor sizes and bias conditions (7). In particular, when V n,a is the dominant noise source of the preamplifier,
noise matching can be obtained by using n input transistors connected in parallel rather than a single input
transistor. Indeed, this technique reduces input noise voltage by a factor of and increases input noise
current by the same factor, which from the noise standpoint, is equivalent to using a coupling transformer with
a turns ratio of 1: (5). Similar techniques can also be adopted for discrete preamplifiers, even though much
less flexibility is obviously available.

Design Considerations for Preamplifiers

Designing or selecting a preamplifier for a specific application involves many specifications and choices. The
procedure starts by considering sensor characteristics, such as signal source type, noise, impedance, and
frequency response. As a function of that, the preamplifier must be designed so as to achieve the lowest value
of equivalent input noise.
According to the above discussion, the ultimate limit of equivalent input noise is determined by the sensor
impedance Zs and the amplifier noise generators, V n,a and In,a , where all these parameters may generally be
frequency dependent. Therefore, the signal source impedance and frequency range are decisive when choosing
the type of preamplifier input device, as in a well-designed amplifier, noise performance is heavily affected by
this element. To assist in this task, Fig. 6 [p. 210 in Ref. 2] can serve as a general guide. In this figure, the
different ranges of source impedance values are covered by the different types of active or coupling devices.
Low values of source resistance usually require the use of a coupling transformer for noise matching, while, for
PREAMPLIFIERS 9

Fig. 6. Guide for selection of input devices.

matching the highest source resistance range, the extremely low noise current In,a of field-effect transistors is
exploited.
With respect to the frequency range, in the simplest case of a resistive source, matching the amplifier
optimum source resistance Ropt to the source resistance, minimizes the equivalent input noise at a given
frequency. However, if the preamplifier must operate over a large frequency band, the designer’s task is to
minimize the noise integrated over this interval. Then, if the source impedance is reactive and/or the equivalent
amplifier noise sources are functions of frequency, the use of a circuit simulator is often necessary to perform
this integration over the whole bandwidth and optimize noise performance. With respect to this, it is important
to note that the preamplifier bandwidth has to match, as much as possible, the signal spectrum needed in
the specified application, since increasing the bandwidth increases the integrated output noise. Once the low
and high amplifier cutoff frequencies of the preamplifier have been adjusted, the computer analysis may show
that noise requirements are not fullfilled and, then, another operating point or different device sizes must be
chosen. In extreme cases, different amplifiers or circuit topologies must be used.
Finally, needless to say, any noise injection from external sources must be minimized. For example, in
case electrical and/or magnetic shielding has to be provided against electromagnetic interference, power supply
filtering can be required to ensure a quiet supply voltage, ground connections have to be carefully studied, noise
injection from digital sections has to be minimized. However, these considerations regard general system design,
and are not specific to preamplifier design.
Next, the above design considerations are particularized for three types of source impedances (i.e., resis-
tive, capacitive, and inductive), with emphasis in amplifiers for monolithic implementations. For this reason,
mainly bipolar and MOS transistors will be considered as amplifier devices.
Preamplifiers with Resistive Sources. The simplest type of source impedance is a resistance. Among
sensors with this type of source impedance, some (i.e., voltaic sensors, such as thermocouples, thermopiles,
infrared detectors, etc.) generate a voltage signal, while others (e.g., photoconductive detectors used in opto-
electronic applications) produce a current signal. Figure 7 shows two generic preamplifiers with a resistive
source (the noise sources, i.e., the resistor thermal noise generators and the equivalent amplifier input noise
generators, are also included in both schemes). In Fig. 7(a), a sensor voltage source is coupled to a voltage am-
plifier by means of a coupling capacitor Cc , while a resistor Rb is added for biasing the amplifier input device,
which corresponds to a very usual situation. Instead, a resistive sensor, which provides a current signal, has
been assumed in Fig. 7(b). In this case, a transimpedance amplifier is used to amplify the signal from the high
impedance source. The transimpedance gain of the amplifier, V o /Is , is determined by the feedback resistor Rf ,
provided that the loop gain is high enough.
Referring to the voltage amplifier in Fig. 7(a), a high-pass response is caused by the coupling capacitor
Cc along with the equivalent series resistance of the input network. Cc must be chosen in such way that its
reactance can be neglected in the frequency range of interest. Thus, the voltage gain in the input network is
substantially independent of frequency. Moreover, the contribution of In,a to the equivalent input noise voltage
V 2 n,eq [see Eq. (3)] results equal to (In,a Rs )2 .
10 PREAMPLIFIERS

Fig. 7. Preamplifiers with resistive sources: (a) voltage amplifier with coupling capacitor; (b) wide-band transimpedance
preamplifier.

Assuming a much higher amplifier input impedance than Rs and Rb , the equivalent input noise voltage
of the system turns out to be:
PREAMPLIFIERS 11

In the remainder of this article, the generally accepted assumption that the amplifier noise is dominated by its
input device will be adopted. In addition, single-ended input stages, which as a general rule allow the designer
to minimize the noise contribution of the amplifier, will also be assumed.
First consider the case when the input transistor is a bipolar device. Neglecting 1/f noise and frequency-
dependent terms in the frequency band of interest, the equivalent input noise sources can be approximated as
(6):

where rb is the base resistance, q is the electron charge, IE , IB , and IC are the dc emitter, base and collector
currents, respectively, and β = IC /IB is the dc current gain. This operating region of the BJT is known as
shot noise region (2), since the shot noise mechanisms are the dominant ones. In this operating region, the
correlation effects between the input voltage and current noise sources can be usually neglected (γ ∼ = 0). Here
and in the following, it shall also be assumed that the noise contribution by the base resistance is negligible,
as can be achieved with adequate layout, that is by making rb sufficiently small. The optimum noise resistance
can be expressed as a function of the design parameters of the input transistor:

The equivalent input noise of the system is minimum when the noise matching condition is fulfilled, that is
when Ropt as from Eq. (18) is made equal to the equivalent resistance of the input network, Rs Rb . This is
achieved for the following biasing collector current:

Obviously, the same result is achieved by differentiating V n,eq with respect to IC for V n,eq , as given by Eq. (16).
Replacing Eq. (19) into Eq. (16), the total equivalent input noise for the optimum biasing collector current
can be derived:

The first term, 4KTRs (1 + Rs /Rb ), is due to the thermal noise contribution of the source and biasing resistances,
while the second term, 4KTRs (1 + Rs /Rb )β − 1/2 , arises from the amplifier noise. It is apparent that the noise
contributed by the amplifier is lower than the thermal noise by a factor of β1/2 . Also, the noise contribution
of the biasing resistor can always be kept lower than that of Rs , by choosing Rb > Rs . Therefore, the noise
performance of voltage amplifiers with bipolar input devices and resistive sources can be made to be dominated
by the resistive source itself, if IC can be chosen equal to IC,opt . An alternative interpretation of Eq. (19) consists
in considering the term (1 + β − 1/2 ) as the factor by which the preamplifier increases the thermal noise of the
source and biasing resistances. In other words, this term is the lowest value of the optimum noise factor, F opt ,
12 PREAMPLIFIERS

of a BJT working in the shot noise region used as the input device of an amplifier, which is obtained when the
value of IC,opt corresponds to a sufficiently small collector current density (2).
Very similar conclusions are obtained when considering transimpedance amplifiers with resistive sources
(3)—see Fig. 7(b). Following the above procedure, one finds that the bias collector current of the input bipolar
transistor for minimum noise is IC,opt = (KT/q)β1/2 /(Rs Rf ), and that the corresponding total equivalent input
noise current is I2 n,eq = [4KT/(Rs Rf )] · (1 + β − 1/2 ). Again, in a well-designed bipolar preamplifier, noise perfor-
mance can be made to be dominated by the resistive source, if Rf can be chosen sufficiently large. The choice of
the feedback resistor Rf will result as the best trade-off between noise, transimpedance gain, and bandwidth
requirements.
Now refer to the case when an MOS transistor is used as the input device of the preamplifier in Fig. 7(a).
The equivalent input noise generators of an MOS device in the mid-frequency range can be approximated as
(3):

where Af is a suitable technology-dependent constant, W and L are the width and length, respectively, of the
transistor, gm is its transconductance, and Ci its input capacitance. As the noise voltage source is placed in series
with the signal source, the only way to minimize its contribution to the total equivalent input noise voltage
V 2 n,eq is to minimize the noise source itself. To reduce thermal noise, a large transistor transconductance must
be used, which means a large aspect ratio and a large bias current. To reduce the 1/f term, a large gate area is
required. The contribution of the noise current source to V 2 n,eq is equal to V 2 n,a [ω(Rs Rb )Ci ]2 , and is, therefore,
negligible with respect to V 2 n,a in the frequency band of interest {ω < [(Rs Rb )Ci ] − 1 }.
When transimpedance amplifiers in MOS technology are considered, the contribution of the term V 2 n,a
to the total equivalent input noise current is given by V 2 n,a /(Rs Rf )2 . This should be compared with the
noise current 4KT/(Rs Rf ) contributed by the source and feedback resistances. Therefore, in the presence of
a very large source resistance, the noise contribution of the amplifier can be made negligible provided that a
sufficiently large feedback resistor is used. In this case, MOS amplifiers should be preferred to bipolar ones (3).
By contrast, in the case of a small source resistance, the source V 2 n,a must be minimized to ensure low-noise
performance of the preamplifier. This can be obtained with the same techniques as seen above for the case of
the voltage amplifier. Again, the contribution due to the amplifier input current noise can be neglected in the
frequency band of interest.
Preamplifiers for Optical Receivers. A very popular preamplifier application is for optical receivers.
An optical receiver is a circuit able to detect and process a signal coming from an optical source. Basically,
it is made up of an optical sensor followed by a preamplifier and a processing section. The optical sensor
(photodetector), which in its simplest form, is a reversed-biased diode, converts the optical signal into an
electrical current. The photodetector is modeled as a current source with an ideally infinite output resistance
and a capacitance in parallel. As the input electrical signal can be very small (e.g., down to the nA range), it
must be amplified with a preamplifier to a level suitable for the following processing. Therefore, low noise, high
gain and, in many cases, high bandwidth and adequate dynamic range, are key amplifier requirements in this
kind of application.
The basic principle of a preamplifier for optical receivers is to convert the current signal provided by the
photodetector, Is , into a voltage signal having a suitable amplitude. The most popular configuration consists of
a transresistance amplifier, as illustrated schematically in Fig. 8(a) (8), where noise generators are not shown.
The current Is generated by the photodetector flows through the feedback resistor Rf which, in turn, produces
an amplifier output voltage V o = −Is Rf , provided that the loop gain is large enough. The required current-to-
PREAMPLIFIERS 13

Fig. 8. Preamplifiers for optical receivers: a transresistance preamplifier (a) is generally preferred to the use of a grounded
resistor followed by an active stage (b).

voltage conversion can also be achieved by feeding the signal current directly to a grounded resistor Rg [Fig.
8(b)] (9). A suitable active stage is cascaded to provide decoupling between the input section and the following
stages and, in this case, additional voltage gain. The choice of Rg results as a tradeoff between gain (V o /Is ) and
low thermal noise added to the signal current (4KT/Rg ) on the one hand, and bandwidth, which is limited to
1/(2πRg Cp ), on the other, where Cp includes the photodetector capacitance in parallel with the amplifier input
capacitance. For this reason, an equalizer stage (substantially, a parallel group RC) is very often cascaded to
the active stage when Rg has a large value (9). The use of a large Rg also causes a limited input dynamic range,
as the whole voltage signal Rg Is , is applied to the input of the active stage.
The transresistance configuration of Fig. 8(a) provides the best trade-off in terms of noise and gain on
the one hand and bandwidth on the other, and also gives no problems regarding input dynamic range. The
achievable signal bandwidth (assuming that the amplifier has an ideal frequency behavior) is now equal to
1/[2πRf (Cf + Cp /A)], where Cf is the parasitic capacitance around the amplifier (Cf  Cp ). The actual bandwidth
of the system is limited either by parasitic capacitances in the feedback network or by the bandwidth of the
amplifier. The former is a common case in high-gain applications, when a large value of Rf is used, while the
latter is more typical in lower-gain applications. In any case, attention must be paid to achieving frequency
stability, as a closed-loop configuration is adopted. Neglecting the feedback parasitic capacitance, the equivalent
input current noise of the system in the frequency band of interest is

where In,s and In,d account for shot noise of signal and dark currents of the photodetector, respectively. From
the above equation, one can observe that the contribution of V n,a to the total equivalent input noise increases
at high frequencies, even though at low frequencies, it can be negligible if V n,a is sufficiently small [“noise gain
peaking” effect (8)]. However, at very high frequencies, the contribution of V n,a is limited by the ratio Cp /Cf and
then rolls off due to the amplifier open-loop response.
Preamplifiers with Capacitive Sources. A capacitive sensor source can be modeled, in its useful
frequency range, as a voltage source in series with a capacitor or as a current source in parallel with a
14 PREAMPLIFIERS

capacitor (the case where the sensor signal is delivered in the form of a charge packet will be briefly addressed
at the end of this section). The output signal of the sensor is taken either as the open-circuit voltage or the
short-circuit current, respectively. Sensor capacitance and signal frequency depend on the application, and can
vary by several orders of magnitude (from picofarad up to nanofarad and from hertz to megahertz ranges,
respectively). Typical examples are capacitive antennas (e.g., for radio receivers), electret microphones, some
piezoelectric sensors such as hydrophones, optical detectors, and so forth. In this section, wide-band monolithic
preamplifiers, specifically, will be considered. In the case of narrow-band applications, noise optimization can
be achieved by adding a suitable series or parallel inductance in the input network, using a technique very
similar to that used for narrow-band preamplifiers with inductive sources (6) (see the next section). The basic
principle is to determine a proper resonance which ideally makes the effects of the amplifier input current or
voltage noise source disappear at the frequency of interest, thereby minimizing the system equivalent input
noise.
Two basic topologies of wide-band preamplifiers for capacitive signal sources are depicted in Fig. 9,
where the voltage source representation is used for the sensor, and equivalent input noise generators are also
shown. Notice that, ideally, no noise is generated in the signal source, due to its reactive nature. In Fig. 9(a),
a biasing resistor Rb has been included. This resistor must be large enough so that, in the frequency band
of interest, the pole generated by the group Rb Cc roughly cancels out the effects of the zero located in the
origin, which arises due to Cc . Thus, the preamplifier gain is independent of frequency, as required in most
wide-band applications. This is a typical situation in preamplifiers for electret microphones, where, in the
case of monolithic implementations, an active biasing resistor is generally used to avoid excessive silicon area
occupation due to the large resistance value required (10,11). Noise considerations for this topology are very
similar to those for voltage amplifiers with resistive sources, although in the present case the source resistance
is assumed to be negligible, and obviously the dominant noise source is the amplifier.
In the capacitive-feedback structure of Fig. 9(b), no dc feedback or biasing element has been drawn,
although generally dc stabilization is required (this can be obtained, e.g., with a very large feedback resistor,
and will be neglected in the following noise considerations). In this scheme, the feedback capacitor Cf sets the
mid-frequency voltage gain equal to −Cs /Cf . Also in this case, therefore, the gain is substantially independent
of frequency. Moreover, it shows no dependence upon the parasitic capacitance Cp associated to the input line,
which is very useful in applications requiring long cable connections between the sensor and the amplifier (12).
Choosing the best value of Cf derives from two contrasting requirements. On the one hand, a small capacitor Cf
should be chosen, so that the minimum input signal must be amplified to a level adequate to drive the cascaded
stages, while on the other hand, capacitor Cf should not be so small that the amplifier output saturates in the
presence of the maximum allowed input signal.
Again, regardless of the application, the noise performance of the preamplifier is of paramount importance,
as it generally determines the sensitivity of the overall system. From Fig. 9(b), the equivalent input noise voltage
is

For the best noise performance, the most straightforward choice is again the use of single-ended input stages,
although fully differential amplifier solutions are also used for this kind of sensors (12,13). As pointed out
above, in this section we consider mainly bipolar and MOS input devices, as currently JFET circuits are used
only in specific applications (e.g., in charge-sensitive amplifiers for nuclear physics experiments; see below). In
the case of a bipolar input transistor, taking into account its equivalent input sources given in Eqs. (17) and
PREAMPLIFIERS 15

Fig. 9. Preamplifier with capacitive sources: (a) voltage amplifier with biasing resistor; (b) voltage amplifier with capacitive
feedback.

neglecting the correlation factor γ, the total equivalent input noise turns out to be:

It is apparent that a small base resistance rb and a high large current gain β are needed for low noise. Moreover,
one can see that, for any given value of IC , the base current shot-noise contribution [second term in Eq. (24)]
is dominant at low frequencies, while voltage noise [first term in Eq. (24)] dominates at high frequencies. To
achieve noise minimization, noise matching must be achieved by a suitable choice of the collector bias current
16 PREAMPLIFIERS

IC . Indeed, increasing IC has opposite effects on the two noise contributions. The optimal value of IC can be
easily calculated by taking the derivative of Eq. (24) with respect to IC , obtaining (3,7):

Notice that Eq. (25) is formally identical to Eq. (19): ω(Cs + Cp + Cf ) represents the module of the admittance to
the input network. As observed, IC,opt depends on the frequency. As a consequence, when required, wide-band
noise optimization with bipolar input stages is not possible. Obviously, when choosing the value of IC , other
features such as gain and operation speed must also be taken into account.
In the case of an MOS input transistor, using the noise sources in Eqs. (21), the equivalent input voltage
noise source turns out to be:

where the term Ci results from the presence of the input noise current, taking also in account the 100%
correlation existing between V n,a and In,a .
It should be emphasized that noise transfer gain does not depend on frequency. The term V 2 n,a includes
a flicker as well as a thermal component. Both these contributions should be reduced to a minimum to achieve
low-noise performance. To reduce input-referred noise, capacitances Cp and Cf must be minimized, even though
they are noiseless elements. As far as Ci is concerned, it is worth pointing out that its value is strictly related to
the input transistor size. Changing its value has two opposite effects on noise performance. On the one hand,
increasing the aspect ratio W/L of the input device leads to a decrease in both flicker and thermal noise, as a
result of the corresponding increase in its gate capacitance and transconductance, respectively. On the other,
from Eq. (26), increasing Ci will also degrade noise performance. An optimized value of the input transistor
capacitance and, hence, of its size, is therefore necessary, which is determined by taking the derivative of Eq.
(26) with respect to Ci . The gate length should be set to the minimum to maximize amplifier performance
in terms of thermal noise and gain-bandwidth product. Noise optimization results are different when flicker
and thermal components are considered, as a consequence of the different dependence of their noise spectral
density upon Ci . In the case of flicker noise, the best capacitance matching is obtained by setting Ci = Cs + Cp
+ Cf , while for thermal noise, the best value is Ci = (Cs + Cp + Cf )/3. When both flicker and thermal noise
contributions are important, a trade-off value of Ci is chosen, for example, the average of the two optimal values
(3). An analytical derivation of the optimum Ci value in the presence of both thermal and flicker series noise
can be found in (14). A suitably large bias current is also required to maximize the transconductance of the
input transistor and, hence, reduce its thermal noise. An n-channel input device also helps to this end. On the
contrary, flicker noise is generally smaller for p-channel devices (15,16,17).
It has been shown (3) that, for a capacitive source, an MOS input device offers better noise performance
than a bipolar one. Obviously, a suitable design is needed to minimize noise contributions by the other compo-
nents and following stages in the circuit (for the latter purpose, e.g., some gain should be introduced in the first
stage of the amplifier). Depending on the application, high linearity, large load drive capability, and wide output
dynamic range can also be required of the preamplifier, these features being related mainly to an optimized de-
sign of its output stage. Moreover, due to the presence of the feedback loop, adequate frequency stability must be
provided. Bipolar technology offers inherent advantages for such requirements, however, CMOS preamplifiers
meeting all the specifications needed can be developed using adequate circuit design approaches. These include
using a three-stage topology, a noninverting class A-B output stage, and suitable compensation techniques (3),
PREAMPLIFIERS 17

Fig. 10. Basic scheme of a charge-sensitive preamplifier.

and employing parasitic bipolar transistors (12). When possible, CMOS technology is the preferred choice as it
allows the designer to integrate the preamplifier together with the cascaded processing section at low cost.
BiCMOS technology has also been proposed to implement the preamplifier (3). BiCMOS technology
provides both CMOS and bipolar devices on the same chip. This allows the designer to take advantage of
the superior noise performance of a CMOS transistor used as the input device and, at the same time, to exploit
the excellent features of bipolar transistors to achieve the other requirements with simpler circuits with respect
to fully CMOS solutions. The main disadvantage of BiCMOS technology is its increased process complexity
and, hence, its higher cost.
Charge-Sensitive Preamplifiers. In some very important applications using a capacitive source, the
input signal is delivered as a charge packet Qi . The signal source can be generally represented as a delta-
like current source Qi δ(t). Popular examples are detector systems for elementary-particle physics experiments
(18,19) and spectrophotometers and vision systems based on photodiodes operating in the storage mode (20).
The basic scheme (Fig. 10) is substantially the same as the previous one. The readout amplifier, generally
referred to as a charge-sensitive amplifier, produces an output voltage step with an amplitude equal to −Qi Cf in
response to an input charge packet Qi ; dc stabilization is generally obtained either with a very large feedback
resistor or with a feedback switch SR , which is turned on during suitable reset time intervals.
The above noise-matching considerations still apply (in particular, the relationships obtained for the
optimal input capacitance of the amplifier). In these applications, noise performance is usually expressed in
terms of equivalent noise charge (ENC). This is defined as the charge which the detector must deliver to
the amplifier input in order to achieve unity signal-to-noise ratio at the output, and is usually expressed in
electrons.
Detectors for nuclear physics experiments represent a very critical application of charge-sensitive am-
plifiers. Here, the amplifier is generally followed by a noise-shaping filter (or “pulse shaper”), which has the
purpose of optimizing the overall signal-to-noise ratio of the detector system. This is required as, in general,
electronic noise sets the limit to the accuracy of these systems. The best achievable value of ENC increases,
with a substantially linear relationship, with increasing detector capacitance Cs (in fact, a larger Cs leads to a
larger equivalent noise charge for the same equivalent input noise). The obtained values of ENC ranges from
18 PREAMPLIFIERS

few electrons for Cs < 1 pF (pixel detectors), to hundreds of electrons for microstrip detectors, up to thousands
of electrons for calorimeter detectors (Cs in the order of several hundred or even more than 1000 pF).
In some applications, junction field-effect transistors (JFET) are preferred in front-end electronics for
ionization detectors of capacitive nature, mainly because they show better radiation tolerance with respect to
MOS devices and much smaller input current as compared to BJTs (21,22). Nevertheless, under particular
operating conditions, such as very short shaping time (<50 ns) and low-power constraints, BJTs can offer
superior performance (23,24). CMOS solutions have also been developed for readout electronics to exploit the
capability of CMOS technology for very high integration density and low power consumption (3,25). In fact, as a
huge number of read-out channels are needed in modern detector systems, small size and low power dissipation
are also important requirements, which make the monolithic approach the most appealing solution. CMOS
technology is very attractive, especially for detectors that are placed not very close to the radiation environment
and use a pulse shaper with a very fast response (i.e., short peaking time), so that flicker noise is negligible with
respect to thermal noise. A BiCMOS solution implementing a low-power high-gain transresistance amplifier
has also been presented (26).
Preamplifiers for Inductive Sources. An inductive sensor source can be generally modeled as a
current source in parallel with an inductance. An internal resistance can also be present, to account for
the real part of the sensor impedance. Examples of inductive sensors include magnetic heads (e.g., for tape
and video cassette recorders), inductive pick-ups, dynamic microphones, ferrite antennas, and so forth. The
operation principle of such sensors is to convert the information, received in the form of an electromagnetic
field, into an electrical signal by means of an inductive coil. In most cases, very weak signals are generated and,
therefore, very severe noise specifications have to be met by the preamplifier. Obviously, the reactive elements
in the circuit do not contribute any noise directly, however, their presence affects the noise behavior of the
circuit.
Very different situations occur when narrow-band and wide-band applications are considered. A typical
preamplifier topology for narrow-band inductive signal sources is illustrated in Fig. 11, where equivalent input
noise generators are also shown. Lp is the source inductance, Rs is the sensor resistance, Rb can be a biasing or
a load resistor, and Cp is a shunt capacitance (including both parasitic and, in this case, added capacitances).
Cc is a dc decoupling capacitor (Cc  Cp ), and will be regarded as a short circuit in the frequency band of
interest. The voltage signal at the amplifier input turns out to be equal to:

where RT = Rb Rs . The presence of the resonance due to the group Lp Cp is apparent.
For this configuration, one can choose a suitable size of the shunt capacitance Cp to obtain the best noise
matching (6). In fact, the expression of the equivalent input noise current for the circuit in Fig. 11 is easily
calculated as:

Each noise current source reflects unchanged to the input at any frequency. By contrast, the coefficient of the
noise voltage contribution is frequency dependent, and turns out to be minimum at the resonant frequency
ωo = 1/(Lp Cp )1/2 . This behavior obviously derives from the large impedance shown by the parallel group Lp Cp
PREAMPLIFIERS 19

Fig. 11. Basic topology of a preamplifier for a narrow-band inductive source (shunt capacitance Cp includes both parasitic
and, in this case, added capacitances).

at the resonance frequency. Neglecting the correlation effect between V n,a and In,a , the resulting equivalent
input noise current is given by I2 n,eq = I2 n,s + I2 n,b + I2 n,a + V 2 n,a /R2 T . It should be pointed out that no reactive
element appears in the expression for minimum noise.
Let us now turn our attention to wide-band applications, where a flat response is required for the signal.
The amplifier configuration in Fig. 11 can no longer be used, as the resonant group inherently provides narrow-
band signal response. To overcome this limitation, a constant transimpedance topology can be used, as shown
in Fig. 12. The voltage across the group Lp Cp is ideally maintained constant, regardless of signal amplitude
and frequency, thereby preventing any resonance effect. The current Is delivered by the sensor is injected into
Rf , thus achieving the desired frequency-independent transfer gain: V o /Is = −Rf . The equivalent input noise
current in this topology turns out to be:

The noise contributed by the amplifier is represented by the terms including In,a and V n,a . The use of a large
inductance Lp reduces the contribution of V 2 n,a . This is especially true at low frequencies, where ω2 Lp Cp  1.
By contrast, at high frequencies, a small value of Cp helps to achieve low noise.
For practical cases, when very low noise is required, the term I2 n,f = 4KT/Rf due to the feedback resistor
can result too high, thus setting too large a noise floor to the structure. To reduce this contribution, a combined
capacitive and resistive feedback configuration has been proposed, as shown in Fig. 13 (27). The transimpedance
gain of this structure is equal to −[1 + jω(C1 + C2 )Rf ]/jωC1 , which for the frequency range ω  1/[(C1 + C2 )Rf ]
can be approximated by −Rf (C1 + C2 )/C1 and, hence, achieves the required frequency independence. Obviously,
a careful stability analysis is required when designing the amplifier for this feedback configuration. The input-
referred noise current due to the feedback network turns out to be I2 n,f [C1 /(C1 + C2 )]2 , and is, therefore, reduced
by a factor of (1 + C2 /C1 )2 , with respect to the noise generated by the feedback resistor. To obtain a substantial
noise reduction, C2 is set much larger than C1 and, hence, the reduction factor becomes ∼(C2 /C1 )2 . In practice,
to achieve any given transimpedance gain, we now use a resistor which is C2 /C1 times smaller than in the
case of a conventional transimpedance topology using a purely resistive feedback. Its current noise I2 n,f is,
therefore, larger by the same factor, however, its input-referred contribution is divided by a factor of (C2 /C1 )2
20 PREAMPLIFIERS

Fig. 12. A transimpedance configuration for an inductive source ensures frequency-independent gain.

Fig. 13. The use of a combined resistive and capacitive feedback in a transimpedance amplifier minimizes the noise
contribution of the feedback network.

and, therefore, a substantial improvement (by a factor of C2 /C1 ) is achieved. It should be noted that with this
assumption (C2  C1 ), the resonance frequency in the input network is approximately equal to ωLC = 1/[Lp (Cp
+ C1 )]1/2 .
When a bipolar input transistor is used in the amplifier, the correlation term in Eq. (29) can be neglected,
and noise minimization requires a small base resistance rb and a large current gain β. Moreover, as in the
case of a capacitive signal source, the collector current IC must be set to an optimal value, as a consequence
of its opposite effects on input voltage and current noise components. Again, this optimal current is frequency
PREAMPLIFIERS 21

dependent, and therefore noise optimization cannot be obtained in a wide frequency range (3,27), leading to
the choice of a trade-off current for any given application.
When using CMOS technology, no noise contribution due to the gate current is present, thus removing
the basic limiting factor to the noise performance in bipolar preamplifiers (i.e., the base shot noise component).
As for the case of capacitive signal sources, it can be shown that noise optimization is achieved by suitably
sizing the input transistor of the preamplifier. Again, the optimal size is different when considering flicker and
thermal noise. Furthermore, as a consequence of the frequency dependence of the coefficient of V 2 n,a in the
expression of the total equivalent input noise current [see Eq. (29)], the optimal transistor size also depends
on frequency, in contrast with the case of preamplifiers for capacitive sources. For frequencies much lower
than the resonance frequency ωLC , optimization is achieved by choosing an amplifier input capacitance Ci ∼ =
1/(ω2 Lp ) for both flicker and thermal noise (3). For ω > ωLC , wide-band noise optimization can be obtained by
setting Ci = ∼(CP + C1 )/3 and Ci = ∼(CP + C1 ) in the thermal and flicker noise domain, respectively. Both noise
components must be taken into account when determining the input transistor size for any given application,
which can be done by using numerical simulation.
Also in the case of wide-band preamplifiers for inductive sources, a detailed noise analysis (3) shows that,
in spite of the presence of a large flicker noise component, CMOS technology leads to better noise performance
than the bipolar one. Again, BiCMOS technology has been proposed to exploit the advantages coming from
integrating both CMOS and bipolar devices in the same chip, even though at an increased cost of the fabrication
process.

BIBLIOGRAPHY

1. IRE Subcommittee 7.9 on Noise, Representation of noise in linear two ports, Proc. IRE, 48: 69–74, 1960.
2. C. D. Motchenbacher J. A. Connelly Low-Noise Electronic System Design, New York: Wiley, 1993.
3. Z. Y. Chang W. M. C. Sansen Low-Noise Wide-Band Amplifiers in Bipolar and CMOS Technologies, Norwell, MA:
Kluwer, 1991.
4. IRE Subcommittee on Noise, IRE standards on methods of measuring noise in linear two ports, 1959 (IRE Standard
59 IRE 20. S1), Proc. IRE, 48: 60–68, 1960.
5. Y. Netzer A new interpretation of noise reduction by matching, Proc. IEEE, 62: 404–406, 1974.
6. Y. Netzer The design of low-noise amplifiers, Proc. IEEE, 69: 728–741, 1981.
7. M. Steyaert Z. Y. Chang W. Sansen Low-noise monolithic amplifier design: Bipolar versus CMOS, Analog Integr. Circuits
Signal Process., 1: 9–19, 1991.
8. J. G. Graeme Photodiode Amplifiers: Opamp Solutions, New York: McGraw-Hill, 1996.
9. D. J. T. Heatley Optical Receivers, in J. E. Franca and Y. Tsividis (eds.), Design of Analog-Digital VLSI Circuits for
Telecommunications and Signal Processing, 2nd ed., Englewood Cliffs, NJ: Prentice-Hall, 1994.
10. J. Silva-Martı́nez J. Salcedo-Suñer A CMOS preamplifier for electret microphones, Proc. 1995 IEEE Int. Symp. Circuits
Syst., 3: 1995, pp. 1868–1871.
11. J. F. Duque-Carrillo et al. VERDI: An acoustically programmable and adjustable CMOS mixed-mode signal processor
for hearing aid applications, IEEE J. Solid-State Circuits, SC-31: 634–645, 1996.
12. B. Stefanelli et al. A very low-noise CMOS preamplifier for capacitive sensors, IEEE J. Solid-State Circuits, SC-28:
971–978, 1993.
13. A. C. Pluygers A novel microphone preamplifier for use in hearing aids, Analog Integr. Circuits Signal Process., 3:
113–118, 1993.
14. L. Fasoli M. Sampietro Criteria for setting the width of CCD front end transistor to reach minimum pixel noise, IEEE
Trans. Elec. Dev., ED-43: 1073–1076, 1996.
15. J.-C. Bertails Low-frequency noise considerations for MOS amplifier design, IEEE J. Solid-State Circuits, SC-14:
773–776, 1979.
16. E. A. Vittoz The design of high-performance analog circuits on digital CMOS chips, IEEE J. Solid-State Circuits, SC-20:
657–665, 1985.
22 PREAMPLIFIERS

17. K. R. Laker W. Sansen Design of Analog Integrated Circuits and Systems, New York: McGraw-Hill, 1994.
18. E. Gatti P. F. Manfredi Processing the signals from solid-state detectors in elementary particle physics, La Rivista del
Nuovo Cimento, 1, serie 3, 1986.
19. V. Radeka Low-noise techniques in detectors, Ann. Rev. Nucl. Part. Sci., 38: 217–277, 1988.
20. A. Sartori et al. A 2-D photosensor array with integrated charge amplifier, Sensors and Actuators A. Physical, 46–47:
247–250, 1995.
21. G. Bertuccio A. Pullia A low noise silicon detector preamplifier system for room temperature X-ray spectroscopy, Proc.
Symp. Semicon. Room-Temperature Radiation Detector Appl. Mater. Res. Soc. Symp. Proc., 302: 1993, pp. 597–603.
22. G. Bertuccio A. Pullia G. De Geronimo Criteria of choice of the front-end transistor for low-noise preamplification of
detector signals at sub-microsecond shaping times for X- and γ-ray spectroscopy, Nucl. Instr. Meth. Phys. Res., A 380:
301–307, 1996.
23. E. Gatti A. Hrisoho P. F. Manfredi Choice between FETs or bipolar transistors and optimization of their working points
in low-noise preamplifiers for fast pulse processing: Theory and experimental results, IEEE Trans. Nucl. Sci., 30:
319–323, 1983.
24. G. Bertuccio L. Fasoli M. Sampietro Design criteria of low-power low-noise charge amplifiers in VLSI bipolar technology,
IEEE Trans. Nucl. Sci., 44: 1708–1718, 1997.
25. I. Kipnis et al. A time-over-threshold machine: The readout integrated circuit for the BABAR silicon vertex tracker,
IEEE Trans. Nucl. Sci., 44: 289–297, 1997.
26. J. Wulleman A low-power high-gain transresistance BiCMOS pulse amplifier for capacitive detector readout, IEEE J.
Solid-State Circuits, SC-32: 1181–1191, 1997.
27. Z. Y. Chang W. Sansen Stability and noise performance of constant transimpedance amplifier with inductive source,
IEEE Trans. Circuits Syst., CAS-35: 264–271, 1989.

J. F. DUQUE-CARRILLO
University of Extremadura
GUIDO TORELLI
University of Pavia
POWER SYSTEM ON-LINE TRANSIENT STABILITY erations and planning. Today, stability analysis programs
ASSESSMENT are being used by power system planning and operating
engineers to simulate the response of the system to var-
ious credible disturbances. In these simulations, the dy-
namic behavior of a current or proposed power system is
INTRODUCTION examined to determine whether stability has been main-
tained or lost after the contingency. For operational pur-
Recent major blackouts in North America and Europe poses, power system stability analysis plays an important
vividly demonstrated that power interruptions or black- role in determining the system operating limits and oper-
outs can significantly impact the economy and are not ac- ating guidelines. During the planning stage, power system
ceptable to society. And yet, the ever increasing loading stability analysis is performed to check relay settings, to
of transmission networks coupled with a steady increase set the parameters of control devices, or to assess the need
in load demands have pushed the operating conditions of for additional facilities and the locations at which to place
many power systems worldwide ever closer to their stabil- additional control devices in order to enhance the system’s
ity limits. The combination of limited investment in new static and dynamic security. Important conclusions and de-
transmission and generation facilities, new regulatory re- cisions about power system operations and planning are
quirements for transmission open access, and environmen- made based on the results of stability studies.
tal concerns are forcing transmission networks to carry Transient stability problems, a class of power system
more power than they were designed to withstand. This stability problems, have been a major operating constraint
problem of reduced operating security margins is being fur- in regions that rely on long-distance transfers of bulk
ther compounded by factors such as (1) the increasing num- power (e.g., in most parts of the Western Interconnection
ber of bulk power interchange transactions and non-utility of the United States., Hydro Quebec, the interfaces be-
generators, and (2) the trend toward installing higher out- tween Ontario and the New York area and the Manitoba/
put generators with lower inertia constants and higher Minnesota area, and in certain parts of China and Brazil).
short-circuit ratios. Under these conditions, it is now well The trend now, with increased instances and total volume
recognized that any violation of power system dynamic se- of bulk power transfer, is that many parts of the vari-
curity limits leads to far-reaching consequences for the en- ous interconnected systems are becoming constrained by
tire power system. transient stability limitations. The wave of recent changes
By nature, a power system continually experiences two has greatly increased the adverse effect of both event dis-
types of disturbances: event disturbances and load vari- turbances and load variations on power system stability.
ations. Event disturbances (contingencies) include loss of Hence, it is imperative to develop powerful tools to exam-
generating units or transmission components (lines, trans- ine power system stability in a timely and accurate manner
formers, substations) from short-circuits caused by light- and to derive necessary control actions for both preventive
ning, high winds, failures such as incorrect relay opera- control and enhancement control.
tions or insulation breakdown, sudden large load changes, On-line transient stability assessment (TSA) is an
or a combination of such events. Event disturbances usu- essential tool needed to avoid any violation of dynamic se-
ally lead to a change in the network configuration of the curity limits. Indeed, with current power system operating
power system caused by actions from protective relays and environments, it is increasingly difficult for power system
circuit breakers. They can occur in the form of a single operators to generate all operating limits for all possible
equipment (or component) outage or in the form of multi- operating conditions under a list of credible contingencies.
ple simultaneous outages when taking relay actions into Hence, it is imperative to develop a reliable and effective
account. Load variations, on the other hand, are variations on-line TSA to obtain the operating security limits at or
in load demands at buses and/or power transfers among near real time. In addition to this important function,
buses. The network configuration may remain unchanged power system transmission open access and restructuring
after load variations. further reinforce the need for an on-line TSA as it is
Power systems are planned and operated to with- the base upon which determination of available transfer
stand the occurrence of certain disturbances. The North capability and dynamic congestion management problems
American Electric Reliability Council defines security as and coordination of special protection systems can be
the ability to prevent cascading outages when the bulk effectively resolved.
power supply is subjected to severe disturbances. The Significant engineering and financial benefits are ex-
specific criteria that must be met are set by individual pected from an on-line TSA. First, one may be able to op-
reliability councils. Each council establishes the types erate a power system with operating margins reduced by
of disturbances that its system must withstand without a factor of 10 or more if the transient stability assessment
cascading outages. is based on the actual system configuration and operating
A major activity in power system planning and opera- conditions, instead of assumed worst-case conditions, as is
tions is to examine the impact of a set of credible distur- done in off-line studies. A second benefit of on-line analysis
bances on power system dynamic behaviors such as sta- is that the analysis can be reduced to those cases relevant
bility. Power system stability analysis is concerned with a to actual operating conditions, thereby obtaining more ac-
power system’s ability to reach an acceptable steady state curate operating margins, allowing more power transfer,
(operating condition) after a disturbance. Stability analy- and freeing engineering resources for other critical activi-
sis is one of the most important tasks in power system op- ties.

J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright © 2007 John Wiley & Sons, Inc.
2 Power System On-Line Transient Stability Assessment

Modern energy management systems periodically per- vide useful information regarding how to derive preven-
form the tasks of on-line power system static security as- tive control actions when the underlying power system is
sessment and control for ensuring the ability of the power deemed unstable and how to derive enhancement control
system to withstand a set of credible contingencies (dis- actions when the underlying power system is deemed crit-
turbances). The assessment involves the selection of the ically stable.
set of credible contingencies and then the evaluation of the After decades of research and developments in the
system’s response to contingencies. Various software pack- energy-function-based direct methods and the time-
ages for security assessment and control have been im- domain simulation approach, it has become clear that the
plemented in modern energy control centers. These pack- capabilities of direct methods and that of the time-domain
ages provide comprehensive on-line security analysis and approach complement each other. The current direction of
control based almost exclusively on steady-state analysis, development is to include appropriate direct methods and
making them applicable only to static security assessment time-domain simulation programs within the body of over-
and control [1]. all power system stability simulation programs [19–22].
From a computational viewpoint, on-line TSA requires For example, the direct method provides the advantages of
the handling of a large set of mathematical models, which is fast computational speed and energy margins, which make
described by a large set of nonlinear differential equations it a good complement to the traditional time-domain ap-
in addition to the nonlinear algebraic equations involved proach. The energy margin and its functional relations to
in the static security assessment. The computational ef- certain power system parameters are an effective comple-
fort required by on-line TSA is roughly three magnitudes ment to develop tools such as preventive control schemes
higher than that for the static security assessment (SSA). for credible contingencies that are unstable and to develop
This result explains why TSA has long remained an off-line fast calculators for available transfer capability limited by
activity instead of on-line activity in the energy manage- transient stability. The direct method can also play an im-
ment system. Extending the functions of energy manage- portant role in the dynamic contingency screening for on-
ment systems to take account of on-line TSA and control line transient stability assessment.
is a rather challenging task and requires several break-
throughs in measurement systems, analysis tools, compu-
PROBLEM FORMULATION AND SYSTEM MODEL
tation methods, and control schemes.
Currently, stability analysis programs routinely used in
Electric power systems are nonlinear in nature. Their non-
utilities around the world are based mostly on step-by-step
linear behaviors are difficult to predict because of (1) the
numerical integrations of power system stability models
extraordinary size of the systems, (2) the nonlinearity in
to simulate system dynamical behaviors. This practice of
the systems, (3) the dynamical interactions within the sys-
power system stability analysis based on the time-domain
tems, and (4) the complexity of its component modeling.
approach has a long history [1–12]. However, because of the
These complicating factors have forced power system en-
nature of the time-domain approach, it has several disad-
gineers to analyze the complicated behaviors of power sys-
vantages: (1) It requires intensive, time-consuming compu-
tems through the process of modeling, simulation and val-
tation efforts; therefore, it has not been suitable for on-line
idation.
application; (2) it does not provide information as to how
The complete power system model for calculating sys-
to derive preventive control when the system is deemed
tem dynamic response relative to a disturbance comprises
unstable and how to derive enhancement control when the
a set of first-order differential equations
system is deemed critically stable; and (3) it does not pro-
vide information regarding the degree of stability (when ẋ = f (x, y, u) (1)
the system is stable) and the degree of instability (when
the system is unstable). This piece of information is valu- describing the internal dynamics of devices such as
able for both planning and operations. generators, their associated control systems, certain loads
An alternative approach to transient stability analy- and other dynamically modeled components, and a set of
sis employing energy functions, called direct methods, was algebraic equations
originally proposed by Magnusson [13] in the late 1940s 0 = g(x, y, u) (2)
and was pursued in the 1950s by Aylett [14]. Direct meth-
ods have a long development history spanning six decades. describing the electrical transmission system (the inter-
Significant progress, however, has been made recently in connections between the dynamic devices) and internal
the practical application of direct methods to transient sta- static behaviors of passive devices (such as static loads,
bility analysis. Direct methods can determine transient shunt capacitors, fixed transformers, and phase shifters).
stability without the time-consuming numerical integra- The differential equations (1) typically describe the dy-
tion of the (post-fault) power system [15–18]. In addition to namics of the speed and angle of generator rotors; the flux
its speed, direct methods also provide a quantitative mea- behaviors in generators; the response of generator control
sure of the degree of system stability. This additional in- systems such as excitation systems, voltage regulators,
formation makes direct methods very attractive when the turbines, governors, and boilers; the dynamics of equip-
relative stability of different network configuration plans ment such as synchronous VAR compensators (SVCs),
must be compared or when system operating limits con- DC lines, and their control systems; and the dynamics
strained by transient stability must be calculated quickly. of dynamically modeled loads such as induction motors.
Another advantage of direct methods is the ability to pro- The stated variables x typically include generator rotor
Power System On-Line Transient Stability Assessment 3

angles, generator velocity deviations (speeds), mechanical


powers, field voltages, power system stabilizer signals,
various control system internal variables, and voltages
and angles at load buses (if dynamic load models are
employed at these buses). The algebraic equations (2)
comprise the stator equations of each generator, the
network equations of transmission networks and loads,
and the equations defining the feed-back stator quantities.
An aggregated representation of each local distribution
network is usually used in simulating power system
dynamical behaviors. The forcing functions u acting on the
differential equations are terminal voltage magnitudes,
generator electrical powers, and signals from boilers and
automatic generation control systems.
Some control system internal variables have upper Figure 1. The simulated dynamical behavior, pre-fault, fault-on,
bounds on their values because of their physical satura- and post-fault of a generator’s angle of a large power system model.
tion effects. Let z be the vector of these constrained state
variables; then the saturation effects can be expressed as sets of nonlinear equations:
ẋ = f 1F (x, y), t0 ≤ t ≤ tF,1
0 < z(t) ≤ z̄ (3)
0 = gF1 (x, y)
ẋ = f kF (x, y), tF,1 ≤ t ≤ tF,2
A detailed description of equations (1)–(3) for each com- 0 = gF2 (x, y) (5)
ponent can be found, for example, in Refs. 3 and 4. For ···
a 900-generator, 14,000-bus power system, the number of ẋ = f kF (x, y), tF,k ≤ t ≤ tcl
differential equations can easily reach as many as 20,000, 0 = gFk (x, y)
whereas the number of nonlinear algebraic equations can
The number of sets of equations equals the number of sepa-
easily reach as many as 32,000. The sets of differential
rate actions from system relays and circuit breakers. Each
equations (1) are usually loosely coupled.
set depicts the system dynamics caused by one action from
To protect power systems from damage caused by distur-
relays and circuit breakers. Suppose the fault is cleared
bances, protective relays are placed strategically through-
at time tcl and no additional protective actions occur after
out a power system to detect faults (disturbances) and to
tcl . The system, termed the post-fault system, is henceforth
trigger the opening of circuit breakers necessary to iso-
governed by post-fault dynamics described by
late faults. These relays are designed to detect defective
lines and apparatus or other power system conditions of ẋ = f PF (x, y), tcl ≤ t < ∞
(6)
an abnormal or dangerous nature and to initiate appropri- 0 = gPF (x, y)
ate control circuit actions. Because of the action of these The network configuration may or may not be the same as
protective relays, a power system subject to an event dis- the pre-fault configuration in the post-fault system. We will
turbance can be viewed as going through changes in its use the notation z(tcl ) = (x(tcl ), y(tcl )) to denote the fault-on
network configuration in three stages: from the pre-fault, state at switching time tcl The post-fault trajectory after an
to the fault-on, and finally to the post-fault system. The event disturbance corresponds to the solution of equation
pre-fault system is in a stable steady state; when an event (5) over the fault-on time period t0 ≤ t < tcl and the solution
disturbance occurs, the system then moves into the fault- of equation (6) over the post-fault time period tcl ≤ t < t∞ .
on system before it is cleared by protective system oper- The fundamental problem of power system stability
ations. Stated more formally, in the pre-fault regime, the caused by a fault (i.e., a contingency) can be roughly stated
system is at a known stable equilibrium point (SEP), say as follows: Given a pre-fault SEP and a fault-on system,
(xspre , yspre ). At some time t0 the system undergoes a fault (an will the post-fault trajectory settle down to an acceptable
event disturbance), which results in a structural change in steady state [18]? A simulated system trajectory starting
the system caused by actions from relay and circuit break- from a pre-fault SEP, fault-on trajectory and post-fault tra-
ers. Suppose the fault duration is confined to the time in- jectory, is shown in Figs. 1 and 2.
terval [t0 , tcl ]. During this interval, the fault-on system is The two sets of equations (1) and (2) describing power
described by (for ease of exposition, the saturation effects system dynamic response relative to a contingency are
expressed as 0 < z(t) ≤ z̄ are neglected in the following): fairly complex, because electric power systems comprise
a large number of components (equipment and control
ẋ = f F (x, y) t0 ≤ t < tcl devices) interacting with each other, exhibiting nonlinear
(4)
0 = gF (x, y) dynamic behaviors with a wide range of time scales. The
dynamic behavior after a disturbance involves all system
where x(t) is the vector of state variables of the system at components, to varying degrees. The degree of involvement
time t. Sometimes, the fault-on system may involve more from each component determines the appropriate system
than one action from system relays and circuit breakers. In model necessary for simulating the dynamic behaviors.
these cases, the fault-on systems are described by several Traditional practice in power system analysis has been to
4 Power System On-Line Transient Stability Assessment

Figure 2. The simulated dynamical behavior, pre-fault, fault-on,


and post-fault of a voltage magnitude of a large power system
model. During the fault, the voltage magnitude drops to about
0.888 p.u.

use the simplest acceptable system model that captures


the essence of the phenomenon under study. A logic com-
monly used in power system dynamic simulations is that
the effect of a system component or control device can be
neglected when the time scale of its response is very small
or very large compared with the time period of interest
and, hence, can be considered in quasi-steady state. This
philosophy has been deemed acceptable because of the
severe complexity involved with a full large-scale power
system model.
Based on the different time-scale involvement of each
component and control device on the overall system dy-
namic behaviors, power system models have been divided
into three models with different time scales: (1) short- Figure 3. An architecture for on-line transient stability assess-
ment and control.
term stability model (predominately describing electro-
mechanical transients) on which transient stability is
based, (2) extended transient and mid-term stability
model, and (3) long-term stability model on which long- available (power) transfer limits at key interfaces subject
term stability is based. These three models are described to transient stability constraints. An integrated architec-
by a set of differential-algebraic equations of the same na- ture for on-line TSA and control is presented in Fig. 3. In
ture as equations (1) and (2) but with different sets of state this architecture, there are two major components in the
variables with different time constants. However, a “fuzzy” on-line TSA module: dynamic contingency screening and a
boundary distinguishes between the mid-term and long- fast time-domain stability program for performing detailed
term model. Compared with transient stability analysis, stability analysis. Several systems have been developed in-
mid-term and long-term dynamic behaviors have only come tended for on-line TSA [24–29].
under study relatively recently [3,4,23]. For transient sta- When a new cycle of TSA is warranted, a list of credible
bility analysis, the assumption of one unique frequency is contingencies, along with information from the state esti-
kept for the transmission network model, but generators mator and topological analysis, are applied to the dynamic
have different speeds. Generators are modeled in greater contingency screening program whose basic function is to
detail, with shorter time constants compared with the mod- screen out contingencies that are definitely stable or po-
els used in long-term stability analysis. Roughly speaking, tentially unstable. Contingencies that are classified to be
transient stability models reflect the fast-varying system definitely stable are eliminated from additional analysis.
electrical components and machine angles and frequencies, Contingencies that are classified to be potentially unsta-
whereas the long-term models are concerned with the rep- ble are sent to fast time-domain simulation for detailed
resentation of the slow oscillatory power balance, assuming analysis. It is the ability to perform dynamic contingency
that the rapid electrical transients have damped out. screening on a large number of contingencies and to filter
out a much smaller number of contingencies requiring ad-
ditional analysis that makes on-line TSA feasible. Contin-
ON-LINE TSA gencies that are either undecided or identified as unstable
are then sent to the time-domain transient stability simu-
On-line TSA is designed to provide system operators with lation program for detailed stability analysis.
critical information, including, (1) the transient stability The block function of control actions decisions deter-
of the system subject to a list of contingencies and (2) mines whether timely post-fault contingency corrective
Power System On-Line Transient Stability Assessment 5

actions such as automated remedial actions are feasible  Stability margin in terms of energy margin, or op-
to steer the system from unacceptable conditions to an erating margin in MW and/or MVar for each unsta-
acceptable state. If appropriate corrective actions are not ble contingency and may include preventive control
available, the block function of preventive actions deter- actions.
mines the required pre-contingency preventive controls  Detailed time-domain responses (swing curves) of
such as real power redispatches or line switching to main- user-specified quantities for potentially unstable con-
tain the system stability should the contingency occur. If tingencies.
the system will be marginally stable; i.e., critically stable,  Critical contingencies (contingency details such as
the block function of enhancement actions determines the fault type, fault location, and circuits lost).
required pre-contingency enhancement controls such as  Stability margin in terms of energy margin, or operat-
real power redispatches or line switching to increase the
ing margin in MW and/or MVar for each critical con-
degree of system stability should the contingency occur.
tingency and may include enhancement control ac-
In this architecture, a fast and yet reliable method for
tions.
performing dynamic contingency screening plays a vital
 Detailed time-domain responses (swing curves) of
role in the overall process of on-line TSA.
Two types of basic information are needed to perform user-specified quantities for critical contingencies.
power system on-line TSA: static data (which is the power  If transfer limits are computed, limits (or security
flow data) and dynamic data. The power flow data de- boundary) at key interfaces, and the limiting contin-
scribe the network and its steady-state operating condi- gencies.
tions, which corresponds to a real-time system condition
captured by the EMS and solved by state estimators. The In addition to the above main functions, the on-line TSA
dynamic data supply the information needed to compute system should have the following functions:
the response of the modeled devices to a given distur-
 A study mode with which the users, such as relia-
bance, which refers to dynamic models and data matching
the real-time power flow. The dynamic data include mod- bility engineers, can analyze various scenarios using
els of detailed synchronous machines, dynamic load mod- cases archived from real-time system models or cre-
els, induction motor, static VAR compensator, high-voltage ated from operational planning studies.
DC link, FACTS, and user-defined models. Another set of  Software and hardware failover protection.
data, sequence network data, is required only if unbalanced  Interfaces with EMS functions.
faults are to be simulated. This set of data contains the  Definition of contingency list and creation of neces-
negative and zero sequence network data compatible with sary data for stability analysis, data validation and
power flow data. correction (option), and output visualization.
In addition to the above basic information, the following
additional information is needed:
DYNAMIC CONTINGENCY SCREENING
 Description of disturbances: this information de-
The strategy of using an effective scheme to screen out a
scribes the disturbance to be simulated, e.g., fault large number of stable contingencies and capture critical
location and duration, circuit switching, or genera- contingencies and to apply detailed simulation programs
tion/load rejection. only to potentially unstable contingencies is well recog-
 Relay data: These data describe the characteristics of nized. This strategy has been successfully implemented in
the protection devices. on-line SSA. The ability to screen several hundred contin-
gencies to capture tens of the critical contingencies has
made the on-line SSA feasible. This strategy can be ap-
A complete on-line TSA assessment cycle will be com-
plied to on-line TSA. Given a set of credible contingencies,
pleted within, say, 15 minutes. This cycle starts when all
the strategy would break the task of on-line TSA into two
necessary data are available to the system and ends when
assessment stages [21, 31]:
the system is ready for the next cycle. Depending on the
size of the underlying power system, it is estimated that,
Stage 1. Perform the task of dynamic contingency
for a large-size power system such as 15,000-bus power
screening to quickly screen out contingencies that
system, the number of contingencies in a contingency list
are definitely stable from a set of credible contingen-
is between 1000 and 3000. The contingency types will in-
cies.
clude both three-phase faults with primary clearance and
single-line-to-ground faults with backup clearance. Stage 2. Perform a detailed assessment of dynamic per-
The outputs of on-line TSA in a given cycle include the formance for each contingency remaining in Stage 1.
following:
Dynamic contingency screening is a fundamental func-
tion of an on-line TSA system. The overall computational
 Overall status of the system (secure or insecure and
speed of an on-line TSA system depends greatly on the ef-
the operating margin). fectiveness of the dynamic contingency screening, the ob-
 Unstable contingencies (contingency details such as jective of which is to identify contingencies that are defi-
fault type, fault location, and circuits lost). nitely stable and thereby avoid further stability analysis
6 Power System On-Line Transient Stability Assessment

for these contingencies. It is from the definite classification technique, the expert system technique, the decision tree
of stable contingencies that considerable speed-up can be technique, and the artificial neural network approach, all
achieved for transient stability assessment. Contingencies first perform extensive off-line numerical simulations aim-
that are either undecided, identified as critical, or unstable ing to capture the essential stability features of the sys-
are then sent to the time-domain transient stability simu- tem’s dynamic behavior. They then construct a classifier
lation program for additional stability analysis. attempting to correctly classify new, unseen on-line con-
It is, hence, imperative that a dynamic contingency tingencies. As such, the AI approach is likely to become
screening program satisfies the following five require- ineffective for on-line application to current or near-future
ments [31]: power systems if little correlation exists between on-line
operational data and presumed off-line analysis data. In
1. Reliability measure: Absolute capture of unstable con- addition, the existing AI-based methods unfortunately fail
tingencies as fast as possible; i.e., no unstable (single- to meet the on-line computation requirement and cannot
swing or multi-swing) contingencies are missed. In guarantee the reliability requirement. In this regard, the
other words, the ratio of the number of captured un- BCU classifiers can meet the requirements [21, 31].
stable contingencies to the number of actual unstable
contingencies is 1. DIRECT METHODS FOR TRANSIENT STABILITY
2. Efficiency measure: High yield of screening out stable
contingencies as fast as possible; i.e., the ratio of the The direct method evolved in the last several decades.
number of stable contingencies detected to the number The current direct method, the controlling unstable equi-
of actual stable contingencies is as close to 1 as possible. librium point (UEP) method, uses an algorithmic proce-
3. On-line computation: Little need of off-line computa- dure to determine, based on the energy function theory
tions and/or adjustments in order to meet with the con- and controlling UEP, whether the system will remain sta-
stantly changing and uncertain operating conditions. ble, without integrating the post-fault system [16, 17, 18].
4. Speed measure: High speed, i.e., fast classification for The direct method assesses the stability property of the
each contingency case. post-fault trajectory, whose initial state is the system state
when the fault is cleared, by comparing the system energy
5. Performance measure: Robust performance with respect
at the initial state of post-fault trajectory with a critical
to changes in power system operating conditions.
energy value. The direct method not only avoids the time-
consuming numerical integration of the post-fault system
The requirement of absolute capture of unstable con-
but also provides a quantitative measure of the degree of
tingencies is a reliability measure for dynamic contingency
system stability. The direct method has a solid theoretical
screening. This requirement is extremely important for on-
foundation [16, 17].
line TSA. However, it is from the nonlinear nature of the
Given a power system transient stability model with
dynamic contingency screening problem that this require-
specified fault-on systems and a specified post-fault sys-
ment can best be met by a reliable method such as one
tem, direct methods for transient stability analysis consist
with a strong analytical basis. The third requirement as-
of four key steps:
serts that a desired dynamic contingency classifier is one
that relies or little or no off-line information, computations,
Step 1. Construct an energy function for the post-fault
and/or adjustments. This requirement arises because, un-
power system.
der current and near future power system operating envi-
ronments, the correlation between on-line operational data Step 2. Compute the energy immediately after the fault
and presumed off-line analysis data can be minimal, or in clearing point is reached.
extreme cases, the two can be irrelevant to one another. In Step 3. Compute the critical energy for the fault-on tra-
other words, in a not-too-extreme case, off-line presumed jectory.
analysis data may become unrelated to on-line operational Step 4. Perform transient stability assessments by com-
data. This uncorrelated relationship is partly attributed paring the energy computed at Step 2 with the crit-
to the imminent bulk power transactions resulting from ical energy computed at Step 3. If the former is
deregulation. The first four requirements should not be de- smaller than the latter, then the post-fault trajectory
graded by different operating conditions as dictated by the will be stable. Otherwise, it may be unstable.
requirement for robust performance.
Several methods developed for on-line dynamic contin- In Step 4, direct methods determine whether a post-
gency screening have been reported in the literature; see, fault power system will remain stable when the fault
for example, [21, 31–33]. These methods can be categorized is cleared solely by comparing the system energy (con-
as follows: the energy function approach, the time-domain structed in Step 1) immediately after the fault clearing
approach, and the artificial intelligence (AI) approach. The point is reached (computed in Step 2) with to a critical en-
time-domain approach involves the step-by-step simula- ergy (computed in Step 3). It is hence very important to
tion of each contingency for a few seconds, say 2 or 3 sec- correctly calculate critical energy values.
onds, to filter out the very stable or very unstable contin- The theoretical basis of direct methods for the direct
gencies. This approach may suffer from an accuracy prob- stability assessment of a post-fault power system is the
lem in identifying multi-swing stable or unstable contin- knowledge of a stability region; if the initial condition of
gencies. The AI approaches, such as the pattern recognition the post-fault system lies inside the stability region of a
Power System On-Line Transient Stability Assessment 7

desired post-fault stable equilibrium point, then one can negative infinity. For a stable equilibrium point, it can be
ensure without performing numerical integrations that the shown that a number δ > 0 exists such that x0 − x̂ < δ im-
ensuing post-fault trajectory will converge to the desired plies t (x0 ) → x̂ as. If (t → ∞) is arbitrarily large, then x̂ is
point. Therefore, the knowledge of the stability region plays called a global stable equilibrium point. Many physical sys-
an important role in the theoretical foundation for direct tems contain multiple stable equilibrium points. A useful
methods. A comprehensive theory of stability region can concept for these kinds of systems is that of the stability
be found in [30]. An overview of the energy function theory region (also called the region of attraction). The stability
for general nonlinear autonomous dynamical systems will region of a stable equilibrium point xs is defined as
be presented. The energy function theory has been applied
to power system transient stability models to develop a A(xs ) := {x ∈ Rn : limt → ∞ t (x) = xs } (9)
theoretical foundation for direct methods. We will also give From a topological point of view, the stability region A(xs )
an overview of this development. is an open, invariant, and connected set. The boundary of
Several methods are proposed in the literature for deter- stability region A(xs ) is called the stability boundary of xs
mining the critical energy values. The classic method, the and will be denoted by ∂A(xs ).
closest UEP method proposed in the early 1970s, has been We say a function V : Rn → R is an energy function for
found to yield unduly conservative results when applied the system (7) if the following three conditions are satisfied:
to power system transient stability analysis. The potential
energy boundary surface (PEBS) method [34] gives fairly
1. The derivative of the energy function V (x) along any sys-
fast but inaccurate results (mostly overestimates). A de-
tem trajectory x(t) is nonpositive, i.e.,
sirable method for determining the critical energy value
would be the one that can provide the most accurate ap- V̇ (x(t)) ≤ 0 (10)
proximation of the part of the stability boundary toward
which the fault-on trajectory is heading, even though it 2. If x(t) is a nontrivial trajectory, i.e., x(t), is not an equi-
might provide a very poor estimate of the other part of librium point, then, along the nontrivial trajectory x(t),
the stability boundary. To this end, the controlling UEP the set
method, which uses the (connected) constant energy sur-
face passing through the controlling UEP to approximate {t ∈ R : V̇ (x(t)) = 0} (11)
the relevant part of stability boundary, is the most promis- has measure zero in R.
ing method. The concept of controlling UEP and its theo-
3. That a trajectory x(t) has a bounded value of V (x(t)) for
retical basis will be presented in next section.
t ∈ R+ implies that the trajectory x(t) is also bounded.
Stating this in brief:
Energy Function Theory
We consider a general nonlinear autonomous dynamical That V (x(t)) is bounded implies x(t) is also
system described by the following equation: bounded.
ẋ(t) = f (x(t)) (7)
Property (1) states that the energy function is nonin-
to be the power system model under study, where the state creasing along its trajectory, but it does not imply that
vector x(t) belongs to the Euclidean space Rn , and the func- the energy function is strictly decreasing along its trajec-
tion f : Rn → Rn satisfies the sufficient condition for the tory. A time interval [t1 , t2 ] may exist such that V̇ (x(t)) = 0
existence and uniqueness of solutions. A state vector x̂ is for t ∈ [t1 , t2 ]. Properties (1) and (2) imply that the energy
called an equilibrium point of system (7) if ( f (x̂) = 0). We function is strictly decreasing along any system trajectory.
say that an equilibrium point of (7) is hyperbolic if the Property (3) states that the energy function is a proper map
Jacobian of f (·) at x̂, denoted J f (x̂), has no eigenvalues along any system trajectory but need not be a proper map
with a zero real part. For a hyperbolic equilibrium point, it for the entire state space. Recall that a proper map is a
is an (asymptotically) stable equilibrium point if all eigen- function f : X → Y such that for each compact set (D ∈ Y ),
values of its corresponding Jacobian have negative real the set f −1 (D) is compact in X. Property (3), which can be
parts; otherwise it is an unstable equilibrium point. If the viewed as a “dynamic” proper map, is useful in the charac-
Jacobian of the equilibrium point x̂ has exactly one eigen- terization of stability boundary. From the above definition
value with a positive real part, we call it a type-one equi- of energy function, it is obvious that an energy function
librium point. Likewise, x̂ is called a type-k equilibrium may not be a Lyapunov function.
point if its corresponding Jacobian has exactly k eigenval- In general, the dynamic behaviors of trajectories of
ues with positive real parts. general nonlinear systems could be very complicated; the
Let x̂ be a hyperbolic equilibrium point. Its stable and asymptotic behaviors of trajectories can be quasi-periodic
unstable manifolds, W s (x̂) and W u (x̂), are defined as follows: trajectories or even chaotic trajectories [35, 41]. If the un-
derlying dynamical system has some special properties,
W s (x̂) := {x ∈ Rn : t (x) → x̂ as t → ∞}
(8) then the system may admit only simple trajectories. For
W u (x̂) := {x ∈ Rn : t (x) → x̂ as t → − ∞}
instance, every trajectory of system (7) having an energy
Every trajectory in the stable manifold W S (x̂) converges to function has only two modes of behaviors: Its trajectory ei-
x̂ as time goes to positive infinity, whereas every trajectory ther converges to an equilibrium point or goes to infinity
in the stable manifold W u (x̂) converges to x̂ as time goes to (becomes unbounded) as time increases or decreases and
8 Power System On-Line Transient Stability Assessment

the stability region of the system can be completely charac- vectors representing the effects of the transfer conductance
terized. These results are shown in the following theorems: in the network Y-bus matrix. With the aid of the singu-
larly perturbed systems, the compact representation of the
Theorem 1: [16, 17] (Global behavior of trajectories). If a network-preserving model becomes
function exists satisfying condition (1) and condition (2) of ∂U
the energy function for general nonlinear system (7), then ε1 u̇ = − (u, w, x, y) + g1 (u, w, x, y)
∂u
every bounded trajectory of system (7) converges to one of ∂U
ε2 u̇ = − (u, w, x, y) + g2 (u, w, x, y)
the equilibrium points. ∂w (13)
∂U
T ẋ = − (u, w, x, y) + g3 (u, w, x, y)
Theorem 2: [16, 17] (Energy function and stability ∂x
∂U
boundary). If an energy function exists for the system (7), M ż = −Dz − (u, w, x, y) + g4 (u, w, x, y)
which has an asymptotically stable equilibrium point xs ∂y
(but not globally asymptotically stable), then the stability where ε1 and ε2 are sufficiently small positive numbers.
boundary ∂A(xs ) is contained in the set, which is the union For the compact representation of the singularly perturbed
of the stable manifolds of the UEPs on the stability bound- network-preserving power system model (14) without the
ary ∂A(xs ); i.e., transfer conductance, we consider the following function
W : Rk+l+2n+m → R:
∂A(xs ) Ⲵ ∪ W s (xi )
xi ∈ {E ∩ ∂A(xs )}
W(u, w, x, y, z) = K(z) + U(u, w, x, y)
Theorem 2 offers a means for completely characterizing 1 T
the stability boundary of the class of nonlinear dynami- = z Mz + U(u, w, x, y) (14)
2
cal systems having energy functions: The stability bound-
ary ∂A(xs ) is contained in the union of the stable mani- Suppose that along every nontrivial trajectory of sys-
folds of the UEPs on the stability boundary. These stable tem (13) with a bounded value of W(u, w, x, y, z), the
manifolds govern the dynamical behaviors on the stabil- vector (u(t), w(t), x(t)) is also bounded for t ∈ R+ . Then
ity boundary. This theorem leads to the development of a W(u, w, x, y, z) is an energy function for system (13).
theoretical foundation for direct methods. A numerical network-preserving energy function
The energy function theory presented above is appli- Wnum (u, w, x, y) can be constructed by combining an ana-
cable to transient stability models described by ordinary lytic energy function Wana (u, w, x, y, z) = K(z) + U(u, w, x, y)
differential equations (ODEs). Extensions of these results and a path dependent potential energy Upath (u, w, x, y);
to network-preserving transient stability models that are i.e.,
mathematically described by a set of differential and alge- Wnum (u, w, x, y, z) = Wana (u, w, x, y, z) + Upath (u, w, x, y)
braic equations (DAE) can be found in Ref. 36. = K(z) + U(u, w, x, y) + Upath (u, w, x, y)
= K(z) + Unum (u, w, x, y)
CONSTRUCTING ENERGY FUNCTIONS A general methodology for the derivation of an energy func-
tion for general power system stability models can be found
It can be shown that an analytical expression of en- in Refs. 37–39 and references therein.
ergy functions does not exist for general lossy network-
preserving transient stability models [35]. Consequently,
ENERGY FUNCTIONS AND STABILITY REGION
numerical energy functions must be used. We present
procedures to derive numerical energy functions for
We next present how to estimate the stability region of
structure-preserving transient stability models. Most ex-
a high-dimension nonlinear system, such as a power sys-
isting network-preserving models can be rewritten as a set
tem, via an energy function. These analytical results will be
of general differential-algebraic equations of the following
used to provide a theoretical foundation for direct methods
compact form [15]:
in general and for the controlling UEP method.
∂U We consider the following set:
0=− (u, w, x, y) + g1 (u, w, x, y)
∂u
∂U Sv (k) = {x ∈ Rn : V (x) < k} (15)
0=− (u, w, x, y) + g2 (u, w, x, y)
∂w n
∂U (12) where V (·) : R → R is an energy function. We shall call the
T ẋ = − (u, w, x, y) + g3 (u, w, x, y) boundary of set (15), ∂S(k) := {x ∈ Rn : V (x) = k}, the level set
∂x
ẏ = z (or constant energy surface) and k the level value. If k is a
∂U regular value (i.e., ∇V (x) = 0, for all x ∈ V −1 (k)), then by the
M ż = −Dz − (u, w, x, y) + g4 (u, w, x, y)
∂y Inverse Function Theorem, ∂S(k) is a Cr (n-1)-dimensional
where u ∈ IRl and w ∈ IRl are instantaneous variables while submanifold of Rn . Generally speaking, this set S(k) can
x ∈ IRn , y ∈ IRn , and z ∈ IRn are state variables. T is a pos- be very complicated with several disjoint connected com-
itive definite matrix, and M and D are diagonal pos- ponents even for the two-dimensional case. Let
itive definite matrices. Here differential equations de-
S(k) = S 1 (k) ∪ S 2 (k) ∪ . . . ∪ S m (k) (16)
scribe generator and/or load dynamics, whereas algebraic
i j
equations express the power flow equations at each bus. where S (k) ∩ S (k) = ␾ when i = j. That is, each of these
g1 (u, w, x, y), g2 (u, w, x, y), g3 (u, w, x, y), and g4 (u, w, x, y) are components is connected and disjoint from each other.
Power System On-Line Transient Stability Assessment 9

Since V (·) is continuous, S(k) is an open set. Because S(k) cult to determine which connected component of a level set
is an open set, the level set ∂S(k) is of (n-1) dimensions. contains the point by simply comparing the energy at the
Furthermore, each component of S(k) is an invariant set. given point and the energy of the level set, because a level
Despite the possibility that a constant energy surface set usually contains several disjoint connected components
may contain several disjoint connected components, there and these components are not easy to differentiate based
is an interesting relationship between the constant energy on an energy function value.
surface and the stability boundary. This relationship is that Fortunately, in the context of direct methods, this diffi-
at most one connected component of the constant energy culty can be circumvented because direct methods compute
surface ∂S(r) has a nonempty intersection with the stability the relevant pieces of information regarding (1) a pre-fault
region A(xs ). This relationship is established in Theorem 5 stable equilibrium point, (2) a fault-on trajectory, and (3)
below. a post-fault stable equilibrium point. These pieces of infor-
mation are sufficient to identify the connected component
of a level set that contains the initial point of a post-fault
system. We next discuss the most viable direct method: the
Theorem 5: [16, 17] (Constant energy surface and stabil- controlling UEP method.
ity region). Let xs be a stable equilibrium point of system
(7) and A(xs ) be its stability region. Then, the set S(r) con-
tains only one connected component, which has a nonempty CONTROLLING UEP METHOD
intersection with the stability region A(xs ) if and only if
r > V (xs ) Several methods are proposed in the literature attempt-
Motivated by Theorem 5, we shall use the notation Sxs (r) ing to determine accurate critical energy values; see for
to denote the connected set of S(r) (whose level value is r) example, Refs. 34, 40–44. The classic method, the closest
containing the stable equilibrium point xs . We drop the sub- UEP method proposed in the early 1970s, when applied to
script xs of Sxs (r) when it is clear from the context. There power system transient stability analysis has been found
is a close relation between the constant energy surfaces at to yield unduly conservative results. The origin of this con-
different level values and the stability region A(xs ). It can servativeness can be explained from a nonlinear system
be shown that the connected set Sxs (r) with a level value r viewpoint. The closest UEP method attempts to provide
smaller than the critical value is very conservative in the an approximation for the entire stability boundary of the
approximation of the stability boundary ∂A(xs ). As the set post-fault system, rather than for the relevant part of the
Sxs (r) is expanded by increasing the level value r, the ap- stability boundary toward which the fault-on trajectory is
proximation gets improved until this constant energy sur- heading. This approximation by the closest UEP method
face hits the stability boundary ∂A(xs ) at some point. This is independent of the fault-on trajectory. Thus, the closest
point will be shown to be an unstable equilibrium point. We UEP method usually gives very conservative results for
call this point the closest UEP of the SEP xs with respect to transient stability analysis. The potential energy bound-
the energy function V (·). Furthermore, as we increase the ary surface (PEBS) method proposed by Kakimoto et al.
level value r, the connected set Sxs (r) would contain points gives fairly fast and accurate stability assessments but
that lie outside the stability region A(xs ). may give inaccurate results (both overestimates and un-
It is inappropriate to approximate the stability region derestimates).
A(xs ) by the connected set Sxs (r) with a level value higher A desirable method (for determining the critical energy
than that of the lowest point on the stability boundary value) would be the one that can provide the most accurate
∂A(xs ). Among the several disjoint connected sets of the con- approximation of the part of a the stability boundary to-
stant energy surface, the connected set Sxs (r) is the best can- ward which the fault-on trajectory is heading, even though
didate to approximate the stability region A(xs ) as shown it might provide a very poor estimate of the other part of
in the following theorem. stability boundary. This is the spirit of the controlling UEP
method, which uses the (connected) constant energy sur-
face passing through the controlling UEP to approximate
Theorem 6: [16, 17] (Topological characterization). Con-
the part of stability boundary toward which the fault-on
sider the nonlinear system (7) that has an energy function.
trajectory is heading. If, when the fault is cleared, the sys-
Let xs be an asymptotically stable equilibrium point whose
tem state lies inside the (connected) energy surface pass-
stability region A(xs ) is not dense in Rn . Then, the point
ing through the controlling UEP, then the post-fault sys-
with the minimum value of the energy function over the
tem must be stable (i.e., the post-fault trajectory will settle
stability boundary ∂A(xs ) exists, and it must be an unsta-
down to a stable operating point); otherwise, the post-fault
ble equilibrium point.
system may be unstable. This is the essence of the con-
We recall that the fundamental problem of power sys-
trolling UEP method. A consensus seems to have emerged
tem transient stability analysis is concerned with whether,
that, among several methods (for determining the critical
given a pre-fault SEP and a fault-on trajectory, the post-
energy value), the controlling UEP method is the most vi-
fault initial state is located inside the stability region of an
able for direct stability analysis of practical power systems
asymptotically stable equilibrium point at which all the
[20,45,16]. The success of the controlling UEP method,
engineering and operational constraints are satisfied. In
however, hinges on its ability to find the correct control-
the context of power system transient stability analysis,
ling UEP.
we remark that, given a point in the state space (say, the
Given a power system model with a prefault SEP Xspre ,
initial point of the post-fault system), it is generally diffi-
a fault-on trajectory Xf (t), and a post-fault (transient) sta-
10 Power System On-Line Transient Stability Assessment

bility system S post with a post-fault SEP Xspost , suppose an Analysis of the Controlling UEP Method
energy function exists for the post-fault system S post and The controlling UEP method asserts that the energy value
Xspre lies inside the stability region of Xspost . We next discuss at the controlling UEP be used as the critical energy for
a rigorous definition of the controlling UEP the fault-on trajectory Xf (t) to assess stability. Using the
energy value at another UEP as the critical energy can give
Definition [16]. The controlling UEP with respect to the erroneous stability assessment. Theorem 7 gives a rigorous
fault-on trajectory Xf (t) is the UEP of the post-fault sys- theoretical justification of the controlling UEP method for
tem S post whose stable manifold contains the exit point of direct stability analysis of post-fault systems by just com-
Xf (t); i.e., the controlling UEP is the first UEP whose sta- paring the energy value of the state vector at which the
ble manifold is hit by the fault-on trajectory X f (t) at the fault is cleared with the energy value at the controlling
exit point. UEP.
This definition is motivated by the fact that a sustained
fault-on trajectory must exit the stability boundary of a
post-fault system and that the exit point, i.e., the point Theorem 7: (Fundamental theorem for the controlling UEP
from which a given fault-on trajectory exits the stability method). Consider a general nonlinear autonomous sys-
boundary of a post-fault system, of the fault-on trajectory tem that has an energy function V (·) : Rn → R. Let Xco be an
must lie on the stable manifold of a UEP on the stability equilibrium point on the stability boundary ∂A(Xs ) of this
boundary of the post-fault system. This UEP is the control- system. Let r > V (Xs ) and S(r)  the connected component
ling UEP of the fault-on trajectory. Note that the existence of the set {X ∈ Rn : V (X) < r} containing Xs , and ∂S(r):  the
and uniqueness of the controlling UEP with respect to a (topological) boundary of S(r).
fault-on trajectory are assured by Theorem 2 and that the Then,
controlling UEP is independent of the energy function used
in the direct stability assessment. With the formal defini- 1. The connected constant energy surface ∂S(V (Xco )) in-
tion of the controlling UEP, we are in a position to formalize tersects with the stable manifold W s (Xco ) only at point
the controlling UEP method. Xco ; moreover, the set ∂S(V (Xco )) has an empty intersec-
tion with the stable manifold W s (Xco ). In other words,
The Controlling UEP Method ∂S(V (Xco )) ∩ W s (Xco ) = Xco and S(V (Xco )) ∩ W s (Xco ) = φ.
The controlling UEP method for direct stability analysis of 2. S(V (Xu )) ∩ W s (Xco ) = φ if Xu is a u.e.p. and
large-scale power systems proceeds as follows: V (Xu ) > V (Xco ).
3. S(V (Xu )) ∩ W s (Xco ) = φ if Xu is a u.e.p. and
1. Determination of the critical energy V (Xu ) > V (Xco ).
c
Step 1.1: Find the controlling UEP, Xco , for a given 4. If X̂X̂ is not the closest UEP, then ∂S(V (X̂)) ∩ (Ā(Xs )) =
fault-on trajectory Xf (t). ␾.
Step 1.2: The critical energy, vcr , is the value of energy 5. Any connected path starting from a point
function V (·) at the controlling UEP; i.e., v cr = P ∈ {S(V (Xco )) ∩ A(Xs )} and passing through W s (Xco )
V (Xco ). must hit ∂S(V (Xco )) before the path hits W s (Xco ).
2. Approximation of the relevant part of stability boundary
Step 2.1: Use the connected constant energy surface of We next elaborate on the above fundamental theorem.
V (·) passing through the controlling UEP Xco Results [1] and [5] of Theorem 7 assert that, for any fault-
and containing the SEP Xs to approximate on trajectory Xf (t) starting from a point Xspre ∈ A(Xs ) and
the relevant part of stability boundary for the V (Xspre ) < V (X̂), if the exit point of this fault-on trajectory
fault-on trajectory Xf (t). Xf (t) lies on the stable manifold of Xco , then this fault-on
trajectory Xf (t) must pass through the connected constant
3. Determination of stability: Check whether the fault-on energy surface ∂S(V (Xco )) before it passes through the sta-
trajectory at the fault clearing time (tcl ) is located inside ble manifold of Xco [thus exiting the stability boundary
the stability boundary characterized in Step 2.1. This is ∂A(Xs )]. Therefore, the connected constant energy surface
done as follows: ∂S(V (X̂co )) can be used to approximate the relevant part of
Step 3.1: Calculate the value of the energy function V (·) the stability boundary.
at the time of fault clearance (tcl ) using the Theorem 7 also shows the slightly conservative nature
fault-on trajectory; i.e., vf = V (Xf (tcl )). of the controlling UEP method in direct stability assess-
Step 3.2: If vf < vcr , then the point Xf (cl) is located in- ment. More importantly, this method can directly detect
side the stability boundary and the post-fault both first-swing and multiswing stability or instability, al-
system is stable. Otherwise, it is unstable. though historically direct methods have been said to be
only applicable to first-swing stability analysis. Note that
The controlling UEP method yields an approximation of once the initial point of the post-fault system lies inside
the relevant part of the stability boundary of the post-fault the stability region A(xs ), the post-fault trajectory will con-
system to which the fault-on trajectory is heading. It uses verge to Xs after one or multiple swings.
the (connected) constant energy surface passing through On the other hand, results [2] and [4] of Theorem 7 as-
the controlling UEP to approximate the relevant part of sert that the following two situations may occur:
stability boundary.
Power System On-Line Transient Stability Assessment 11

Case (1): The set S(V (Xu )) contains only part of the
stable manifold. W s (Xco )
Case (2): The set S(V (Xu )) contains the whole stable
manifold. W s (Xco )

In case (1), the fault-on trajectory Xf (t) may pass


through the connected constant energy surface ∂S(V (Xu ))
before it passes through the stable manifold W s (Xco ). In this
situation, incorrect use of Xu as the controlling UEP still
gives an accurate stability assessment. Alternatively, the
fault-on trajectory Xf (t) may pass through the connected Figure 4. Because of “small” size and the irregular shape
constant energy surface ∂S(V (Xu )) after it passes through (fractal-like) of the convergence region of UEP with respect to
the stable manifold W s (Xco ). In this situation, the control- Newton method, the task of computing the controlling UEP is
ling UEP method using Xu as the controlling UEP, which very challenging (see the shaded area). If an initial guess is
in fact not the controlling UEP gives an inaccurate sta- not sufficiently close to the controlling UEP, then the resulting
bility assessment. This classification is incorrect. In case sequence generated by, the Newton method, will diverge or
(2), the fault-on trajectory Xf (t) always passes through the converge to another exit point. This figure depicts that the
sequence generated by the Newton method from the exit Xe point
connected constant energy surface ∂S(V (Xu )) after it passes
will not converge to the controlling UEP
through the stable manifold W s (Xco ). Under this situation,
incorrect use of Xu as the controlling UEP can give inaccu-
rate stability assessments. In particular, it can classify the
post-fault trajectory to be stable when in fact it is unstable. and theoretically investigated by several researchers that,
Results [3] and [4] of Theorem 7 assert that the set under the Newton method, the size of the convergence re-
S(V (Xu )) has an empty intersection with the stable man- gion of UEP can be much smaller than that of the SEP. In
ifold W s (Xco ). Under this situation, the fault-on trajectory addition, the convergence region of either a SEP or a UEP
Xf (t) always passes through the connected constant en- is a fractal, which refers to structures that cannot be de-
ergy surface ∂S(V (Xu )) first before it passes through the scribed by the typical geometrical objects such as lines, sur-
connected constant energy surface ∂S(V (Xco )). Thus, using faces, and solids. Irregular shape (no smooth boundaries)
V (Xu ) as the critical energy value always gives more conser- and self-similarity (each tiny piece we observe is similar to
vative stability assessments than using that of the (exact) the form of the entire shape) are characteristics of fractals
controlling UEP, Xco . From these cases, it is clear that for a (Fig. 4.) Unfortunately, finding an initial guess sufficiently
given fault-on trajectory Xf (t), if the exit point of this fault- close to the controlling UEP is a difficult task.
on trajectory Xf (t) lies on the stable manifold of Xco , then The complexity (3) also calls into doubt the correctness
using the energy value at a UEP other than Xco can give of any attempt to directly compute the controlling UEP of
stability assessments in both directions: too conservative a power system stability model. The only one method that
stability assessments (classify many stable trajectories to can directly compute the controlling UEP of a power system
be unstable) or too optimistic stability assessments (clas- stability model is the time-domain approach. This complex-
sify unstable trajectories to be stable). ity can serve to explain why many methods proposed in the
literature fail to compute the controlling UEP. It is because
Challenges in Computing Controlling UEP. The task of these methods attempt to directly compute the controlling
finding the (exact) controlling UEP of a given fault for gen- UEP of the power system stability model that is, as pointed
eral power system models is very difficult. This difficulty out in complexity (3), difficult if not impossible to compute
comes in part from the following complexities: without using the time-domain approach.
The ability to compute the controlling UEP is vital in
1. The controlling UEP is a particular UEP embedded in direct stability analysis. It may prove fruitful to develop
a large-degree state-space. a tailored solution algorithm for finding controlling UEPs
2. The controlling UEP is the first UEP whose stable by exploiting special properties as well as some physical
manifold is hit by the fault-on trajectory (at the exit and mathematical insights of the underlying power system
point). model. We will discuss in great detail such a systematic
method, called the BCU method, along this line for finding
3. The task of computing the exit point is very involved;
controlling UEPs for power system models.
it usually requires a time-domain approach. I
4. The task of computing the controlling UEP is compli-
cated further by the size and the shape of its conver- BCU METHOD
gence region.
We next discuss a method that does not attempt to directly
It is known that, with respect to a selected numerical compute the controlling UEP of a power system stability
method, each equilibrium point has its own convergence model (original model); instead it computes the controlling
region, i.e., the region from which the sequence generated UEP of a reduced-state model, and then it relates the con-
by the numerical method starting from a point in the region trolling UEP of the reduced-state model to the controlling
will converge to the equilibrium point. It has been observed UEP of the original model.
12 Power System On-Line Transient Stability Assessment

A systematic method, called the boundary of stability re- associated with the original model (17).
gion based controlling unstable equilibrium point method ∂U
(BCU method), to find the controlling UEP was developed 0=− (u, w, x, y) + g1 (u, w, x, y)
∂u
[46, 47]. The method was also given other names such as ∂U
the exit point method [6,48,49] and the hybrid method [50]. 0=− (u, w, x, y) + g2 (u, w, x, y)
∂w (18)
The BCU method has been evaluated in a large-scale power ∂U
T ẋ = − (u, w, x, y) + g3 (u, w, x, y)
system, and it has been compared favorably with other ∂x
methods in terms of its reliability and the required compu- ∂U
ẏ = − (u, w, x, y) + g4 (u, w, x, y)
tational efforts [48, 49]. The BCU method has been stud- ∂y
ied by several researchers; see for example [51–56]. De- There are several close relationships between the
scriptions of the BCU method can be found in books such reduced-state model (18) and the original model (17). The
as Refs. 3,4,6 and 50. The theoretical foundation of BCU fundamental ideas behind the BCU method can be ex-
method has been established in. The Refs. 15, 46, and 57 plained as follows. Given a power system stability model
BCU method and BCU classifiers have several practical ap- (which admits an energy function), say the original model
plications. For example, a demonstration of the capability (17), the BCU method first explores the special properties
of the BCU method for on-line transient stability assess- of the underlying model with the aim of defining a reduced-
ments using real-time data was held at two utilities, the state model, say the model described in (18), such that the
Ontario Hydro Company and the Northern States Power following static as well as dynamic relationships are met
Company [58, 59]. The BCU method was implemented as
an EPRI TSA software package that was integrated into Static Properties
an EMS installed at the control center for the Northern
States Power Company [19]. A TSA system, composed of (S1) The locations of equilibrium points of the reduced-
the BCU classifiers, the BCU method, and a time-domain state model (18) correspond to the locations of equi-
simulation engine, was developed and integrated into the librium points of the original model (17). For ex-
Ranger EMS system [60]. The TSA system has been in- ample, (û, ŵ, x̂, ŷ) is an equilibrium point of the
stalled and commissioned, as part of an EMS system at reduced-state model if and only if (û, ŵ, x̂, ŷ, 0) is an
several energy control centers. The BCU method has been equilibrium point of the original model (17), where
applied to fast derivation of power transfer limits [61] and 0 ∈ Rm and m is an appropriate positive integer.
applied to real power rescheduling to increase dynamic se- (S2) The types of equilibrium points of the reduced-
curity [62]. The BCU method has been improved, expanded, state model are the same as that of the original
and extended into the integrated package of TEPCO-BCU model. For example, (us , ws , xs , ys ) is a stable equi-
[33,46,47,63–65]. librium point of the reduced-state model if and only
We next present an overview of the BCU method from if (us , ws , xs , ys , 0) is a stable equilibrium point of
two viewpoints: numerical aspects and theoretical aspects. the original model. (û, ŵ, x̂, ŷ) is a type-k equilib-
In developing a BCU method for a given power system sta- rium point of the reduced-state model if and only
bility model, the associated artificial, reduced-state model if (û, ŵ, x̂, ŷ, 0) is a type-k equilibrium point of the
must be defined. To explain the reduced-state model, we original model.
consider the following generic network-preserving tran-
sient stability model: Dynamical Properties
(D1) An energy function for the artificial, reduced-state
model (18) exists.
(D2) An equilibrium point, say, (û, ŵ, x̂, ŷ) is on the stabil-
∂U ity boundary of the reduced-state model (18) if and
0=− (u, w, x, y) + g1 (u, w, x, y) only if the equilibrium point (û, ŵ, x̂, ŷ, 0) is on the
∂u
∂U stability boundary of the original model (17).
0=− (u, w, x, y) + g2 (u, w, x, y)
∂w (D3) It is computationally feasible to efficiently de-
∂U (17)
T ẋ = − (u, w, x, y) + g3 (u, w, x, y) tect the point at which the projected fault-on tra-
∂x jectory (u(t), w(t), x(t), y(t)) hit the stability boundary
ẏ = z
∂U ∂A(us , ws , xs , ys ) of the post-fault reduced-state model
M ż = −Dz − (u, w, x, y) + g4 (u, w, x, y) (18) without resorting to an iterative time-domain
∂y
procedure to compute the exit point of the post-fault
reduced-state model (18).

The dynamic relationship (D3) plays an important role


where U(u, w, x, y) is a scalar function. It has been shown in the development of the BCU method to circumvent the
that the above canonical representations can represent ex- difficulty of applying an iterative time-domain procedure
isting transient stability models. In the context of the BCU to compute the exit point on the original model. The BCU
method, the above model is termed as the original model. method then finds the controlling UEP of the artificial,
Regarding the original model (17), we choose the following reduced-state model (18) by exploring the special struc-
differential-algebraic system as the reduced-state model ture of the stability boundary and the energy function of
Power System On-Line Transient Stability Assessment 13

the reduced-state model (18). Next, it relates the control-


ling UEP of the reduced-state model (18) to the controlling
UEP of the original model (17).
The fundamental ideas behind the BCU method can be
explained in the following. Given a power system stability
model (which admits an energy function), the BCU method
first explores special properties of the underlying model
with the aim to define an artificial, state-reduced model
such that certain static as well as dynamic relationships
are met. The BCU method then finds the controlling UEP
of the state-reduced model by exploring the special struc-
ture of the stability boundary and the energy function of
the state-reduced model. Third, it relates the controlling
UEP of the state-reduced model to the controlling UEP of
the original model. In summary, given a power system sta-
bility model, a corresponding version of the BCU method
exists. The BCU method does not compute the controlling
UEP directly on the original model because, as pointed out,
the task of computing the exit point of the original model,
a key step to compute the controlling UEP, is very difficult
and usually requires the time-domain approach. Instead,
the BCU method (1) explores the special structure of the
underlying model so as to define an artificial, state-reduced
model that captures all the equilibrium points on the sta-
bility boundary of the original model; and then (2) com-
putes the controlling UEP of the original model via com-
puting the controlling UEP of the artificial model, which
can be computed without resorting to the time-domain ap-
proach.

Figure 5. Steps 1 and 2 of the conceptual BCU method.


A Conceptual BCU Method
Step 1. From the fault-on trajectory [u(t), ω(t), x(t), y(t), Theoretical Basis
z(t)] of the network-preserving model (17), de- Some analytical results showing that, under certain con-
tect the exit point (u∗ , w∗ , x∗ , y∗ ) at which the ditions, the original model (17) and the artificial, reduced-
projected trajectory [u(t), ω(t), x(t), y(t)] exits the state model (18) satisfy static relationships (S1) and (S2) as
stability boundary of the post-fault reduced- well as dynamic relationships (D1) and (D2) can be found
state model (18). in [46, 57]. A computational scheme has been developed
Step 2. Use the exit point (u∗ , w∗ , x∗ , y∗ ), detected in and incorporated into the BCU method to satisfy dynamic
Step 1, as the initial condition and integrate relationship (D3). We next verify the static relationship.
the post-fault reduced-state model to an equilib-
rium point. Let the solution be (uco , wco , xco , yco ). Theorem 8: (Static relationship). Let (us , ws , xs , ys ) be a
Step 3. The controlling UEP with respect to the stable equilibrium point of the reduced-state model (18).
fault-on trajectory of the original network- If the following conditions are satisfied:
preserving model (17) is (uco , wco , xco , yco , 0).
The energy function at (uco , wco , xco , yco , 0) is ∂4 U(ui , wi , xi , yi )
the critical energy for the fault-on trajectory 1. Zero is a regular value of for all the
∂u∂w∂x∂y
[u(t), ω(t), x(t), y(t), z(t)]. UEP (ui , wi , xi , yi ), i = 1, 2, . . . , k on the stability bound-
ary ∂A(us , ws , xs , ys ).
Steps 1 and 2 of the conceptual BCU method compute 2. The transfer conductance of reduced-state model (18)
the controlling UEP of the reduced-state system. Note that is sufficiently small. Then, (û, ŵ, x̂, ŷ) is a type-k equi-
starting from the exit point (u∗ , w∗ , x∗ , y∗ ), Step 2 of the con- librium point of reduced-state model (18) if and only if
ceptual BCU method, will converge to an equilibrium point. (û, ŵ, x̂, ŷ, 0) is a type-k equilibrium point of the original
The controlling UEP always exists and is unique, and the model (17).
stable manifold of controlling UEP of the reduced-state sys-
tem (uco , wco , xco , yco ) contains the exit point (u∗ , w∗ , x∗ , y∗ ) Theorem 8 asserts that, under the stated conditions, the
(Fig. 5.) Step 3 relates the controlling UEP of the reduced- static properties (S1) and (S2) between original model (17)
state system (with respect to the projected fault-on trajec- and the reduced-state model (18) hold. It can be shown
tory) to the controlling UEP of the original system with that a numerical energy function exists for the reduced-
respect to the original fault-on trajectory. state model (18). More specifically, it can be shown that for
14 Power System On-Line Transient Stability Assessment

any compact set S of the state-space of model (18), there is 1. In Step 1, the projected trajectory [u(t), w(t), x(t), y(t)] can
a positive number ␣ such that, if the transfer conductance be viewed as the projection of the original fault-on tra-
of the model satisfies |G| <␣, then there is an energy func- jectory on the state-space of the reduced-state system
tion defined on this compact set S. The examination of the (18). The first local maximum of the numerical potential
dynamic property (D2) can be found in Refs. 46 and 57. energy function Unum (., ., ., .) along the projected trajec-
tory is an approximated exit point at which the projected
trajectory intersects with the stability boundary of the
NUMERICAL BCU METHOD reduced-state system (18).
There are several possible ways to numerically imple- 2. In Step 2, a stability-boundary-following procedure, pre-
ment the conceptual BCU method for network-preserving sented below, is developed to guide the search process
power system models. A numerical implementation of this for CUEP of the reduced-state system starting from the
method along with several numerical procedures necessary point (u∗ , w∗ , x∗ , y∗ ) by moving along the stability bound-
are presented in this section. ary of the reduced-state system (18) toward the CUEP.
During the search process, the point (u∗0 , w∗0 , x0∗ , y0∗ ) has
the local minimum of the norm among all computed
A Numerical BCU Method points in the search process. The norm is a measure
Step 1. Integrate the fault-on system of the original of distance between the current point and an equilib-
model (19) to obtain the (sustained) fault-on tra- rium point. This point is also termed as the minimum
jectory [u(t), w(t), x(t), y(t), z(t)] until the point gradient point (MGP).
(u∗ , w∗ , x∗ , y∗ ) at which the projected trajectory 3. The reduced-state system can be numerically stiff, and a
[u(t), w(t), x(t), y(t)] reaches its first local maxi- stiff differential equation solver should be used to imple-
mum of the numerical potential energy function ment Step 2 of the numerical network-preserving BCU
Unum (., ., ., .) along the projected trajectory. method.
Step 2. Apply the stability-boundary-following proce- 4. Without the stability-boundary-following procedure im-
dure starting from the point (u∗ , w∗ , x∗ , y∗ ) un- plemented in Step 2, the search process can move away
til the point at which the (one-dimensional) lo- from CUEP, making the corresponding MGP distant
cal minimum of the following norm of the post- from the CUEP and causing the divergence of the New-
fault, reduced-state system is reached; i.e., ton method.
 ∂U  5. n Step 3, the MGP is used as an initial guess for the
 
 (u, w, x, y) + g1 (u, w, x, y) Newton method to compute the controlling UEP. It is
∂u well known that if the MGP is sufficiently close to the
 ∂U 
  controlling UEP, then the sequence generated by the
+ (u, w, x, y) + g2 (u, w, x, y)
∂w Newton method starting from the MGP will converge to
 ∂U 
  the controlling UEP; otherwise, the sequence may con-
+ (u, w, x, y) + g3 (u, w, x, y)
∂x verge to another equilibrium point or diverge (Fig. 6.).
 ∂U  A robust nonlinear algebraic solver (with a large con-
 
+ (u, w, x, y) + g4 (u, w, x, y) vergence region) is desirable in Step 3 of the numerical
∂y
BCU method.
Let the local minimum of the above norm be 6. Note that Steps 1 to 3 of the above numerical network-
occurred at the point (u∗0 , w∗0 , x0∗ , y0∗ ). preserving BCU method compute the controlling UEP
Step 3. Use the point (u∗0 , w∗0 , x0∗ , y0∗ ) as the initial guess of the reduced-state system (18) and Step 4 relates the
and solve the following set of nonlinear alge- controlling UEP of the reduced-state system to the con-
braic equations: trolling UEP of the original system (17).
 ∂U  7. From a computational viewpoint, the exit point is char-
  acterized by the first local maximum of the potential en-
 (u, w, x, y) + g1 (u, w, x, y)
∂u ergy along the (sustained) fault-on trajectory. To find the
 ∂U 
  exit point, one can compute the dot-product of the fault-
+ (u, w, x, y) + g2 (u, w, x, y)
∂w on speed vector and post-fault power mismatch vector at
 ∂U  the each integration step. When the sign of dot-product
 
+ (u, w, x, y) + g3 (u, w, x, y) changes from positive to negative, the exit point is de-
∂x
 ∂U  tected.
 
+ (u, w, x, y) + g4 (u, w, x, y) = 0
∂y
Numerical Detection of Exit Point. A numerical proce-
dure for accurate detection of exit point in Step 1 of nu-
Let the solution be (uco , wco , xco , yco ).
merical BCU method by employing the linear interpolation
Step 4. The controlling UEP with respect to the fault- method is described in the following:
on trajectory [u(t), w(t), x(t), y(t)] of the original
system is (uco , wco , xco , yco , 0). Step 1. Integrate the fault-on trajectory until the dot
product changes sign, say between the interval
Remarks [t1 , t2 ].
Power System On-Line Transient Stability Assessment 15

Figure 6. If the MGP does not lie inside the convergent region of the Newton method, then the sequence generated by the Newton method
starting from the MGP will not converge to the controlling UEP. The sequence may diverge as illustrated in (a), or it may converge to the
stable equilibrium point as illustrated in (b).

Step 2. Apply the linear interpolation to the interval Step 3. Move along the ray starting from the current
[t1 , t2 ], which results in an intermediate time t0 point and detect the point with the first local
where the interpolated dot product is expected maximal potential energy, which is an energy
to be zero. Compute the exact dot product at function for the reduced-state system, along the
t0 for the post-fault reduced-state system. If the ray. In practical implementation, this task is
value is smaller than a threshold value, the exit to check the zero crossing of the dot product
point is obtained. Exit loop. between the power mismatch and the speed.
Step 3. If the dot product is positive, then replace t1 with The sign of the dot product at the current point
t0 ; otherwise replace t2 with t0 and go to Step 2. determines the direction of the local maximal
search. Replace the current point with the point
with the first local maximal potential energy.
To find an adequate MGP for reliably computing the
Step 4. Repeat Steps 1–3 until a point where the norm
controlling UEP, a stability-boundary-following procedure
of the post-fault reduced-state is lower than a
to guide the search process for the MGP starting from the
threshold value is reached. This point is a de-
exit point and move along the stability boundary of the
sired MGP.
state-reduced system is described below:

Stability-Boundary-Following Procedure. The analytical basis for the above procedure is the struc-
ture of the stability boundary of the reduced-state system.
It can be shown that the stability boundary of the origi-
Step 1. Integrate the post-fault reduced-state system nal system (respectively, the reduced-state system) is com-
starting from the exit point for a few time-steps, posed of the stable manifold of the u.e.p on the stability
say, 4 to 5 steps of integration; let the new point boundary. The controlling UEP is the u.e.p whose stable
be termed the current point on the trajectory. manifold contains the exit point of the fault-on trajectory
Step 2. Construct a ray connecting the current point on the stability boundary of the original system. Moreover,
on the trajectory and the SEP of the post-fault controlling UEP is usually of type-1 (the UEP whose cor-
reduced-state system. responding Jacobian matrix contains only one eigenvalue
16 Power System On-Line Transient Stability Assessment

with a positive real part). The type-1 u.e.p has the nice contain a small number. This idea is similar, but not re-
feature of being a “SEP” inside the stability boundary. Fur- lated, to the ideas behind the development of dynamic net-
thermore, the stability boundary is an “attracting” set in work reduction methods, which are based on the observa-
the state space. Hence, if one can confine the entire search tion of the formation of coherent generators after a con-
process to a neighborhood close to the stability boundary of tingency. Coherency is an observed phenomenon in power
the post-fault reduced-state system, then the search pro- systems where certain generators tend to swing together
cess will likely obtain an MGP close to the controlling after a disturbance.
u.e.p, facilitating the convergence of Newton method. The The concept of a group of coherent contingencies will
stability-boundary-following procedure described above of- prove to be useful in several applications such as correc-
fers such a capability. We note that in Step 3 of the stability- tive control and preventive control. The coordinates of the
boundary-following procedure, the task of updating the controlling UEP in each group of coherent contingencies
point along the post-fault reduced-state trajectory with the provide useful information on how to design controls to sta-
point having a local maximal energy function along the ray bilize a power system or to enhance stability with respect
amounts to confine the MGP search process close to the sta- to a set of contingencies.
bility boundary. Extensive computation experience reveals that if the
controlling UEP (CUEP) of the reduced-state system lies
on the stability boundary of the original system, then it is
GROUP-BASED BCU METHOD indeed the CUEP of the original system. This observation,
which is very important, brings up an important numeri-
The one-parameter transversality conditions play an im- cal question, i.e., how to check whether or the CUEP of the
portant role in the theoretical foundation of the conceptual reduced-state system lies on the stability boundary of the
BCU method [46, 57]. Under this condition, the reduced- original system. This property is referred to as the bound-
state system shares the same UEPs as the original system ary property of the UEP. By checking the boundary prop-
on the stability boundaries of both systems. Note that as erty, one can ascertain whether the UEP of the reduced-
this condition is a sufficient condition, the reduced-state state system computed by the BCU method is the control-
system and the original system may still share the same ling UEP of the original system, without the need of check-
UEPs on their stability boundaries, even though the one- ing the one-parameter transversality condition. It seems
parameter transversality condition is not satisfied. How- that the only numerical method capable of checking the
ever, because of the complexity of practical power system boundary property is the one based on an iterative time-
models, the one-parameter transversality conditions may domain process.
not be always satisfied [51–54]. An effective time-domain process to check the bound-
We can take a different approach for verifying the ary property for the entire group of coherent contingen-
one-parameter transversality condition. Instead of check- cies, rather than just for on contingency, has been devel-
ing the one-parameter transversality condition and the oped. By checking the boundary property, one can ascertain
small-transfer-conductance condition, we propose to di- whether the UEP of the reduced-state system computed
rectly check whether the UEP (u∗co , w∗co , xco
∗ ∗
, yco , 0) lies on the by the BCU method is the controlling UEP of the origi-
stability boundary of the original model, i.e., check the dy- nal system, without needing to check the one-parameter
namic property (D2) directly. We will also term the dynamic transversality condition. To assure the correct grouping of
property (D2) the boundary property. It can be shown that coherent contingencies, we have introduced the concept of
the boundary property holds for sufficient damping sys- SEP separation. This concept seens effective for identify-
tems, whereas it may not hold for low damping systems. ing whether contingencies in the same group are correctly
The issue of how to determine the critical damping value grouped. In addition, by virtue of SEP separation, contin-
above which the boundary property holds remains open. gencies within each group can be quickly regrouped. Once
The critical damping value seems to depend on a variety of a group of coherent contingencies has been formed, one
factors, including network topology, loading condition, and only needs to concentrate on the contingencies with the
system models used. To overcome this issue, we propose largest and smallest SEP separation in the same group. In
the development of a group-based BCU method. this manner, a complete check of the boundary property for
We have observed, through our intensive numerical sim- each contingency in each group can be avoided and a great
ulations, that the controlling UEPs computed by the BCU deal of computational work can be saved.
method with respect to a set of contingencies tend to be The group-based BCU method has several advantages
close to each other. These controlling UEPs are close to each over any existing direct stability methods. For instance,
other in the state space, whereas the locations where the the group-based BCU method ensures the reliability of the
faults of the set of contingencies occur are close in the ge- BCU method. In addition, the group-based BCU method
ographical sense. These controlling UEPs are said to form can reduce the conservativeness of the BCU method. The
a group. These contingencies are referred to as a group of method captures the inherent characteristics of coherent
coherent contingencies. contingencies. It reduces the large number of contingen-
The idea behind the development of the group-based cies, whose corresponding CUEP boundary property needs
BCU method is based on observations that the contin- to be checked, to a small number. The group-based BCU
gency list for transient stability assessment is composed method is a strict, systematic, yet reliable method to per-
of groups of coherent contingencies. Some groups may con- form stability assessment for a complete list of contingen-
tain a large number of contingencies, whereas others may cies. Compared with the conventional TSA procedure, the
Power System On-Line Transient Stability Assessment 17

increase of computational time of the group-based BCU  δsmax : the maximum angle difference between the pre-
method is mild because of the development of contingency fault stable equilibrium point and the computed (post-
reranking by the SEP separation in each coherent group. fault) stable equilibrium point.

BCU CLASSIFIERS Classifier II (Highly Stable Classifier)


This classifier is intended to screen out highly stable con-
We present a sequence of seven (improved) BCU classifiers tingency cases. Additional stability analysis is unnecessary
for on-line dynamic contingency screening, developed in for highly stable contingencies. Screening out highly stable
Refs. 31, 33, and 46. Improved BCU classifiers are designed contingencies can greatly improve the goal of high yield for
to meet the five requirements for dynamic contingency dynamic contingency screening. If the PEBS crossing can-
screening. In particular, the BCU classifiers can achieve not be found in the time interval [0, Texit ], and if the poten-
absolute capture of unstable contingencies, i.e., no unsta- tial energy difference Vp (δ(Texit )) is greater than zero but
ble (single-swing or multiswing) contingencies are missed. less than the threshold value Vpel , and if the maximum an-
In other words, the ratio of the captured unstable contin- gle difference δsmax is less than a threshold value, then the
gencies to the actual critical contingencies is 1 for both test contingency case is highly stable and no further analysis
systems. Furthermore, the yield of dropout, i.e., the ratio is needed.
of dropped-out stable contingencies to actual stable contin-
gencies), of BCU classifiers is very high. These simulation Classifier III (Classifier for Exit Point Problem)
results reveal that the proposed improved BCU classifiers
can be highly reliable and effective for on-line dynamic se- A key step in the BCU method is to integrate the (post-
curity assessments. fault) state-reduced system starting from the exit point to
The analytical basis of BCU classifiers is based mainly find the minimum gradient point that will be used as an
on the three steps of the BCU method and the dynamic initial guess for computing the controlling UEP. A problem,
information derived during the computational procedure called the minimum gradient point problem, may arise dur-
of the BCU method. A large majority of the computational ing the integration of the state-reduced system. The prob-
efforts required in the improved BCU method are involved lem is described by the following: (1) there is no minimum
in computing the three important state points: the exit gradient point in the simulated trajectory of the (post-fault)
point (step 1), the minimum gradient point (step 2), and state-reduced system, or (2) the minimum gradient point
the controlling UEP (step 3). Useful stability information lies in such a region that another UEP, instead of the con-
can be derived from these three points for developing effec- trolling UEP, is obtained when a nonlinear algebraic solver
tive schemes for dynamic contingency screening. We next

n

is used to solve fi (δ) = 0. The causes of the minimum


present the design of each BCU classifier along with its
i=1
analytical basis. gradient point problem can be explained from a compu-
tational viewpoint. However, the minimum gradient point
Classifier I: (Classifier for SEP Problem) problem usually damages the effectiveness and accuracy
This classifier is designed to screen out potentially unsta- of the BCU method.
ble contingencies. The basis for this classifier is insuffi-
ciency in the size of the post-fault stability region or in the Classifier IV (Classifier for Stability-Boundary-Following
extreme case, the nonexistence of a post-fault stable equi- Problem)
librium point. This is explained as follows. This classifier This classifier is intended to screen out potentially un-
also checks whether a network island is formed after the stable contingencies based on some dynamic informa-
contingency. tion during the minimum gradient point search. If the
For direct methods to be applicable, the following three stability-boundary-following fails during the minimal gra-
conditions need to be satisfied: (1) The post-fault equilib- dient point search, then it indicates the CUEP cannot be
rium point is asymptotically stable, (2) the pre-fault stable found by the BCU method for the study contingency and
equilibrium point ␦so and the post-fault equilibrium point ␦s the contingency is sent to the time-domain simulation pro-
are close to each other (so that using a nonlinear algebraic gram for additional analysis.
solver, such as the Newton method, with ␦so as the initial
guess, will lead to δs ), and (3) the pre-fault stable equilib-
Classifier V (Classifier for Convergence Problem)
rium point δso lies inside the stability region of the post-
fault equilibrium point δs . If the pre-fault SEP lies outside This classifier is designed to detect the convergence prob-
the stability region of the post-fault SEP, δs , it is very likely lem of computing the controlling UEP. In this classifier, the
that the post-fault trajectory will not approach δs , and is, maximum number of iterations, say Iumax , in computing the
hence, potentially unstable. In this classifier, two indices controlling UEP starting from the minimum gradient point
are designed to identify the contingencies that have the is used to detect such a problem. If the required number
convergence problem of computing post-fault stable equi- of iterations is more than a prespecified number, then the
librium points. corresponding contingency is viewed as having a numerical
divergence problem and is classified as unstable.
 Ismax : the maximum number of iterations in comput- The convergence region of the Newton method is known
ing the (post-fault) stable equilibrium point. to have a fractal boundary. Using the Newton method, it
18 Power System On-Line Transient Stability Assessment

has been observed that the region of the starting point that the problem of incorrect CUEP. The seventh classifier uses
converges to a stable equilibrium point is more significant the energy at the controlling u.e.p as the critical energy to
than that of a unstable equilibrium point such as the con- classify every contingency left over from the previous clas-
trolling UEP. Thus, in regular power flow calculation, the sifiers into two classes: stable contingencies and unstable
initial guess can be chosen near the stable equilibrium contingencies. This classifier is based on Step 3 of the BCU
point to safely lie within the convergence region so that, method.
after a few iterations, it converges to the desired stable Contingencies that are classified as definitely stable at
equilibrium point. This explains why the fractal nature of each classifier are eliminated from additional analysis. It
the convergence region of Newton method has been unno- is because of the definite classification of stable contingen-
ticed in power flow study for so long. As power flow study cies that considerably increased speed for dynamic secu-
has been expanded to compute unstable equilibrium points rity assessment can be achieved. Contingencies that are
for applications such as direct transient stability analysis either undecided or identified as unstable are then sent
and low-voltage power flow solutions for voltage collapse to the time-domain transient stability simulation program
analysis, the fractal nature and the different size of the for further stability analysis. Note that the conservative
convergence region have become more pronounced. Unfor- nature of the BCU method guarantees that the results
tunately, this nature must be taken into account when a obtained from the seven dynamic contingency classifiers
unstable equilibrium point is to be sought. are also conservative; i.e., no unstable cases are misclassi-
fied as stable. Classifying a stable contingency, either first-
Classifier VI (Classifier for Incorrect CUEP Problem) swing or multiswing, as unstable is the only scenario in
which the BCU classifiers give conservative classifications.
The problem is described as follows: It converges to a wrong From a practical viewpoint, it is worth noting that a
controlling UEP; i.e., the minimum gradient point lies in time-domain simulation program is needed to further an-
such a region that another UEP, instead of the controlling alyze those contingencies that are only dropped out from
UEP, is obtained when a nonlinear algebraic solver is used Classifiers I, III, IV, V, and VI. Therefore, the efficiency of

n
the proposed BCU classifiers depends on the ratio of those
to solve  f i (δ) = 0. In this classifier, both the coordi-
contingencies screened out from Classifiers II and VII with
i=1
nate of the obtained UEP and the angle difference between respect to the total stable contingencies, i.e., the yield of
the MGP and the obtained UEP are used as indices to de- drop-out of stable contingencies. Note that the number of
tect the problem. stable contingencies is not a criterion for evaluating perfor-
mance because it depends on several factors, among which
the loading condition, network topology, and contingency
Classifier VII (Controlling UEP Classifier)
selection all play an important role. The seven BCU classi-
The remaining unclassified contingencies are then sent to fiers perform the process of dynamic contingency screening
BCU classifier VII for final classification. This classifier in a sequential order.
uses the energy value at the controlling UEP as the criti-
cal energy to classify each remaining contingency as (defi-
Numerical Studies
nitely) stable or (potentially) unstable. If the energy value
at the fault clearing time is less than the energy value at The improved BCU classifiers has been extensively evalu-
the controlling UEP, then the corresponding contingency ated on a practical power system transient stability model.
is (definitely) stable; otherwise it is (potentially) unstable. A total of 507 contingencies on the test system with heavy
The theoretical basis of this classifier is the CUEP method. loading conditions and ZIP load model and a total of 466
The index used in this classifier is the energy value at the contingencies on the test system with medium loading con-
fault clearing time, whereas the threshold value for this ditions and non-smooth load model were applied. The type
index is the energy value at the controlling UEP. of faults considered in the evaluation were three-phase
In summary, given a list of credible contingencies to faults with fault locations at both generator and load buses.
the seven BCU classifiers, the first classifier is designed Some contingencies are faults that were cleared by open-
to screen out those contingencies with convergence prob- ing double circuits, whereas others are faults that were
lems in computing post-fault stable equilibrium points. The cleared by opening the single circuit. Two load models were
second and third classifiers, based on Step 1 of the BCU employed in the simulation: the non-smooth load model
method, are the fastest ones. They use the energy value at and the ZIP load model with the composition of the 20%
the exit point on the stability boundary of the reduced-state constant current, 20% constant power, and 60% constant
model as an approximation for the critical energy. The sec- impedance. Both severe and mild faults were considered.
ond classifier is designed to drop those contingencies that All faults were assumed to have been cleared after 0.07 s.
are highly stable, whereas the third is designed to screen A time-domain stability program was used to numerically
out those contingencies that may cause computational dif- verify all classification results. Simulation results on both
ficulties for the BCU method and, hence, may damage the systems are presented next.
reliability of the following BCU classifiers. The fourth clas- A summary of dynamic contingency screening by the im-
sifier screens out those contingencies that cause failure in proved BCU classifiers and the time-domain stability pro-
finding the MGP. The fifth classifier screens out the contin- gram on the test system with heavy-loading condition and
gencies with the problem of converging to the controlling ZIP load model for the undamped factor and damped factor
UEP. The sixth classifier drops those contingencies with is displayed in Table 1 and Table 2, respectively. A summary
Power System On-Line Transient Stability Assessment 19

Table 1. BCU classifiers on a test system (undamped, heavy-loading): ZIP model


Tools Results I (U) II (S) III (U) IV (U) V (U) VI (U) VII (S) VII (U) Total
BCU Classifiers Drop-Out cases 83 6 0 2 12 1 378 25 507
Time-Domain Stable 6 6 0 2 12 1 378 17 422
Unstable 77 1 0 0 0 0 0 8 85

Table 2. BCU classifiers on a test system (damped, heavy-loading): ZIP model


Tools Results I (U) II (S) III (U) IV (U) V (U) VI (U) VII (S) VII (U) Total
BCU Classifiers Drop-Out cases 83 16 0 1 11 1 369 26 507
Time-Domain Stable 9 16 0 1 11 1 369 18 425
Unstable 74 0 0 0 0 0 0 8 82

Table 3. BCU classifiers on a test system (undamped, medium-loading): non-smooth model


Tools Results I (U) II (S) III (U) IV (U) V (U) VI (U) VII (S) VII (U) Total
BCU Classifiers Drop-Out cases 26 8 0 4 4 0 419 5 466
Time-Domain Stable 4 8 0 4 4 0 419 5 444
Unstable 22 0 0 0 0 0 0 0 22

Table 4. BCU classifiers on a test system (damped, medium-loading): non-smooth model


Tools Results I (U) II (S) III (U) IV (U) V (U) VI (U) VII (S) VII (U) Total
BCU Classifiers Drop-Out cases 26 11 0 4 3 0 418 4 466
Time-Domain Stable 4 11 0 4 3 0 418 4 444
Unstable 22 0 0 0 0 0 0 0 22

of dynamic contingency screening on the test system with to Table 2, which summarizes the evaluation of BCU
medium-loading and non-smooth load model for undamped classifiers on a total of 507 contingencies on the same
factor and damped factor is displayed in Table 3 and Table test system with damping effect.
4, respectively. A detailed explanation is presented below. 2. Test system with medium loading. For the test sys-
tem with medium-loading conditions, non-smooth load
1. Test system with heavy-loading. For the test system model, and undamped effect, we summarized the eval-
with heavy-loading conditions, ZIP load model, and un- uation of BCU classifiers on a total of 466 contingencies
damped effect, we summarized the evaluation of BCU in Table 3. Given a total of 466 contingencies sent to the
classifiers on a total of 507 contingencies in Table 1. BCU classifiers, the first BCU classifier dropped out 26
Given a total of 507 contingencies sent to the BCU clas- cases and classified them to be unstable. These 26 cases
sifiers, the first BCU classifier dropped out 83 cases were numerically verified by the time-domain stability
and classified them to be unstable. These 83 cases were program. Among these cases 22 were indeed unstable
numerically verified by the time-domain stability pro- according to the time-domain stability program and 4
gram. Among these cases 77 were indeed unstable ac- were stable. The remaining 440 contingencies were sent
cording to the time-domain stability program and 6 to the second BCU classifier for another classification.
were stable. The remaining 424 contingencies were sent This classifier dropped 8 cases, which were classified
to the second BCU classifier for another classification. to be stable, and they were indeed stable according to
This classifier dropped 6 cases that were classified to the time-domain stability program. The remaining 432
be stable, and they were indeed stable according to the contingencies were sent to the BCU classifier III, which
time-domain stability program. The remaining 418 con- screened out 0 unstable cases. The remaining 432 con-
tingencies were sent to the BCU classifier III, which tingencies were sent to the BCU classifier IV, which
screened out 0 unstable cases. The remaining 418 con- screened out 4 unstable case. These 4 cases according
tingencies were sent to the BCU classifier IV, which to the time-domain stability program were stable. The
screened out 2 unstable cases. Among these contingen- fifth BCU classifier screened out 4 contingencies. Those
cies, according to the time-domain stability program, contingencies were classified unstable. Of which, 4 con-
2 cases were stable. The fifth BCU classifier screened tingencies were, however, all stable. The BCU VI classi-
out 12 contingencies as unstable. The BCU VI classifier fier screened out 4 contingencies, which were classified
screened out 1 contingency, which was classified as un- as unstable. The remaining 424 contingencies were sent
stable. This contingency, however, is stable, according to to the BCU classifier VI, which screened out 0 unstable
the time-domain stability program. The remaining con- cases. The remaining 424 contingencies were sent to the
tingencies entered the last BCU classifier for final clas- last BCU classifier for final classification. Among them,
sification. Among them, 378 cases were classified to be 419 cases were classified to be stable and all of these
stable and all of these were verified by the time-domain were verified by the time-domain stability program to
stability program to be indeed stable; 25 cases were clas- be indeed stable; 5 cases were classified to be unstable.
sified to be unstable. Among these cases 8 were indeed Of which, they were however all stable. Similar explana-
unstable and 17 were stable, as verified by the time- tions apply to Table 4, which summarizes the evaluation
domain stability program. Similar explanations apply
20 Power System On-Line Transient Stability Assessment

of BCU classifiers on a total of 466 contingencies on the curate energy margin calculation and controlling UEP cal-
test system with damping effect. culations) of large-scale power systems for on-line mode,
on-line study mode, or off-line planning mode [33,63,66].
Performance Evaluation The architecture of TEPCO-BCU for on-line TSA is pre-
sented in Figure 8. Two major components exist in this
Absolute Capture and Drop-Out. The BCU classifiers
architecture: a set of BCU classifiers for dynamic contin-
met the requirements of absolute capture of unstable con-
gency screening and a fast and reliable time-domain tran-
tingencies on a total of 1946 contingencies. The capture ra-
sient stability simulation program and a BCU-guided time-
tio (the ratio of the captured unstable contingencies to the
domain method. When a new cycle of on-line TSA is war-
actual contingencies) is 1.0. In other words, the BCU clas-
ranted, a list of credible contingencies, along with infor-
sifiers capture all unstable contingencies as summarized
mation from the state estimator and topological analysis,
in Table 5.
are applied to the dynamic contingency screening program
whose basic function is to screen out contingencies that are
High Drop-out Stable Contingencies. The yield of drop- definitely stable and to screen out contingencies that are
out (the ratio of the dropped-out stable contingencies to potentially unstable.
the actual stable contingencies by the BCU classifiers) is BCU classifiers screen out stable contingencies, which
90.99% (heavy, ZIP-model, undamped), 90.58% (heavy, ZIP are then eliminated from additional analysis. BCU clas-
model, and damped), 96.17% (medium, non-smooth load sifiers also screen out potentially unstable contingencies,
model, and undamped), and 96.62% (medium, non-smooth which are sent to the fast time-domain stability analysis
load model, and damped), respectively, as summarized in program, stage II of TEPCO-BCU, for final verification and,
Table 5. if necessary, further analysis. Thus, the slightly conserva-
tive nature of BCU method and BCU classifiers are reme-
Off-Line Calculations and Robust Performance. It should died. The remaining contingencies that are undecided by
be pointed out that the same threshold values for the six BCU classifiers are then sent to the fast time-domain sta-
BCU classifiers were applied to these 1946 cases. The com- bility program for detailed stability analysis.
putational effort required in each BCU classifier is differ- It is the ability to perform dynamic contingency screen-
ent from each other. In addition, as the proposed BCU clas- ing on a large number of contingencies and to filter out
sifiers are connected in a sequential order, the total compu- a much smaller number of contingencies requiring fur-
tational effort required to screen out a contingency (stable ther analysis that make on-line TSA feasible. The block
or unstable) by a BCU classifier is the summation of the function of control actions decisions determines whether
computational effort required in each BCU classifier pre- timely post-fault contingency corrective actions such as au-
ceding and including the BCU classifier. For instance, the tomated remedial actions are feasible to steer the system
total computational effort required to screen out a contin- away from unacceptable conditions to an acceptable oper-
gency by the BCU classifier III is the summation of the ating state. If appropriate corrective actions are not avail-
computational effort required in BCU classifier I, II and able, the block function of preventive actions determines
III. the required pre-contingency preventive controls such as
real power redispatches or line switching to maintain the
TEPCO-BCU FOR ON-LINE TSA system stability should the contingency occur.
The algorithmic methods behind TEPCO-BCU include
TEPCO-BCU is an integrated package developed for fast the BCU method [46, 47], BCU classifiers [31, 67], improved
and yet exact transient stability assessment (including ac- energy function construction [35], and the BCU-guide

Table 5. Performance evaluation of BCU classifiers on a test system with four different operating and modeling conditions: heavy, medium, ZIP load, and
non-smooth load
Heavy/undamped/ Heavy/damped/ Medium/undamped/ Medium/damped/
# Conditions/requirements ZIP load model ZIP load model non-smooth load model non-smooth load model
1 Absolute capture of unstable contingencies 100% 100% 100% 100%
2 High yield of stable contingencies 90.99% 90.58% 96.17% 96.62%
3 Litile off-line computations Yes Yes Yes Yes
4 High speed Yes Yes Yes Yes
5 Robust performance Yes Yes Yes Yes

Table 6. The average time per contingency per processor and the average time per contingency per node calculated from the total CPU time
Number of nodes Clock time duration Average time per node Number of CPUs Average time per processor
1 node 118 seconds 0.59 seconds 2 CPUs 1.18 seconds
2 node 61 second 0.31 seconds 4 CPUs 1.22 seconds

Table 7. The average time per contingency per processor/per node


Number of CPUs Total processing time Average time per processor Number of compute nodes Average time per node
2 CPUs 211.7 seconds 1.059 seconds 1 node 0.529 seconds
4 CPUs 209.3 seconds 1.046 seconds 2 node 0.523 seconds
Power System On-Line Transient Stability Assessment 21

Table 8. The wall clock time calculated to process 3000 contingencies, (the timings for the 1-node and the 2-node configurations are calculated for the 3000
contingencies directly from the test results)
Number of computer nodes Reference Wall clock time
1 node Test results 29.5 minutes
2 node Test results 15.5 minutes
10 nodes Conservative estimate 3.1 minutes

 Detailed time-domain simulation of selected contin-


gencies

It is true that most contingencies in a contingency list


associated with a well-planned power system should be
stable. Furthermore, some of these stable contingencies
are highly stable in the sense of large CCTs (critical clear
times). For each highly stable contingencies, one may not
be very interested in its degree of stability or in its accu-
rate energy margin other than interested in the assurance
of its being indeed highly stable. On the other hand, all un-
stable contingencies must be all correctly identified. From
an analysis viewpoint, the exact energy margin of each un-
stable contingency may not be important. From a control
viewpoint, the exact energy margin of each unstable con-
tingency and its sensitivity with respect to control vari-
ables can be useful for developing an effective control for
preventing the system from instability should the contin-
gency occur. As to a marginally stable or critically stable
contingency, its exact energy margin provides the informa-
tion regarding how far the system is away from transient
instability once the contingency occurs and the sensitivity
of energy margin with respect to control actions provide
useful information for deriving (enhancement) control to
increase the system “distance” to transient instability.

Figure 7. The architecture of BCU classifiers. BCU Guided Time-Domain-based Methods


The existing direct methods may not be able to compute an
accurate energy function value for every contingency. The
time domain method [33]. Several advanced numerical alternative is the time-domain based method for comput-
implementations for BCU method have been developed ing the energy margin. The task of how to derive an energy
in TEPCO-BCU. The improved energy function construc- function value from a time-domain simulated trajectory is
tion has been developed to overcome the long-standing a practical one. Theoretically speaking, the exact energy
problem associated with the traditional numerical energy margin is the difference between the energy value at the
function, which has suffered from severe inaccuracy. exit point of the original (post-fault) system and the en-
Another distinguishing feature of TEPCO-BCU is that ergy value at the fault clearance point. The exit point of the
it provides useful information regarding derivation of original system is the intersection point between the (sus-
preventive control against insecure contingencies and of tained) fault-on trajectory and the stability boundary of the
enhancement control for critical contingencies. (post-fault) power system. It is well known that the task of
The main functions of TEPCO-BCU include the follow- computing the exit point of the original system is very time
ing: consuming and requires several time-domain simulations.
Hence, the task of computing the exact energy margin is
 Fast screening of highly stable contingencies challenging.
 Given a contingency on a power system, the energy mar-
Fast identification of insecure contingencies
gin, an indicator for transient stability and a measure for
 Fast identification of critical contingencies the degree of stability/instability, for the given contingency
 Computation of energy margin for transient stability is defined by the following formula:
assessment of each contingency
 BCU-based fast computation of critical clearing time V = Vcr − Vcl (19)
of each contingency where V is the energy margin, Vcr is the (exact) critical
 Contingency screening and ranking for transient sta- energy with respect to the given fault, and Vcl is the energy
bility in terms of energy margin or critical clearing at the fault clearing time. Physically speaking, the critical
time energy of a contingency corresponds to the total energy in-
22 Power System On-Line Transient Stability Assessment

Figure 8. The architecture of TEPCO-BCU for on-line TSA.

jected into the fault-on system at the critical clearing time. power systems. We envision that the trajectory sensitivity-
Any attempt to develop a method for computing energy based method would be useful in some applications where
margin (19) will encounter the following difficulties only moderate dimensionality explosion is involved.
A BCU-guided time-domain method for accurate energy
 The (exact) critical energy with respect to the given margin calculation has been developed and tested on sev-
eral practical power system models [63]. The method is
fault is very difficult to compute.
 The (functional) relationship between energy margin reliable and yet fast for calculating energy margins whose
value is compatible with that computed by the controlling
and fault clearing time is nonlinear and difficult to UEP method. The BCU-guided time-domain method uses
derive. a BCU-guided scheme to specify, within a given time in-
terval, a reduced-duration time interval and employs an
Another approach, trajectory sensitivity-based time- one-dimensional search method, such as the golden bisec-
domain methods, has been suggested in the literature tion interpolation algorithm to the specified time interval,
[68, 69]. It may appear from the surface that the trajec- to reduce the total number of time-domain simulations re-
tory sensitivity-based time-domain method might be faster quired for finding the CCT, which is then used to compute
than regular time-domain based methods. However, for a critical energy.
practical power system, the task of calculating trajectory The BCU-guided method is highly effective compared
sensitivity with respect to initial conditions always encoun- with existing time-domain-based methods: it is reliable
ters the difficulty of formidable dimensionality explosion. and yet fast for exact stability assessment and energy
This difficulty arises especially for large power system, margin computations. Another important property is that
and the trajectory sensitivity-based method is not practi- the energy margins computed by the BCU-guided time-
cally applicable to energy margin computations of practical domain method is comparable with, and yet less than,
Power System On-Line Transient Stability Assessment 23

exact energy margins, which are computed by an exact machine with multiple processors or multiple machines
time-domain stability method. The effectiveness can be connected together to form a cluster. It is well recognized
attributed to the fact that some information provided by that every application function benefits from a parallel pro-
the BCU method such as the exit point and the minimum cessing across a wide-range of efficiency. Some application
gradient point are fully integrated into the BCU-guided functions are just unsuitable for parallel processing.
method to significantly reduce the duration of time in- The test bed system is made up of two IBM 236 IBM
terval within which time-domain stability simulations are eServer xSeries Servers interconnected by a Gigabit Eth-
performed. ernet Switch. The configuration for each IBM 236 eServer
A comparison study among the BCU-guided method, the is as follows: CPU: Xeon 3.6 GHz – (Dual processors) with
second-kick method, and the exact time-domain method 2-MB L2 cache per Processor, Hyper-Threading Technol-
in terms of accuracy and computational speed was con- ogy and Intel Extended Memory 64 Technology, 1 GB DDR
ducted on a practical 200-bus power system model [63]. II SDRAM - ECC - 400 MHz - PC2-3200, Storage Control:
The following observations were derived from this compar- SCSI (Ultra320 SCSI) - PCI-X / 100 MHz (Adaptec AIC-
ison. For every contingency, the BCU-guided time-domain 7902), and RAM: 1 GB (installed) / 16 GB (max) - DDR II
method always computes an energy margin that is less SDRAM - ECC - 400 MHz - PC2-3200.
than, and yet close to, that computed by the exact time- The parallel TEPCO-BCU program has been evaluated
domain method. This property indicates the conservative- on a large-scale power system consisting of more than
ness of the BCU-guided method in computing the energy 12,000 buses and 1300 generators. Of the 1300 genera-
margin. This property, which lies in the spirit of direct tors, 25% are classical modeled generators whereas 75%
methods, is desirable in practical applications. A com- are detail-modeled generators with an excitation system.
parison between the computational speed of the BCU- A contingency list composed of 3000 contingencies are con-
guided time-domain method and that of the exact time- sidered. The parallel TEPCO-BCU was run on the test
domain method is roughly the ratio of 1 to 2. The en- data to determine the average time needed to process a
ergy margins computed by the BCU-guided time-domain contingency for each configuration tested. Two tests were
method are compatible with those computed by the ex- ran, first using a single compute-node and then using two
act time-domain method. Overall, the BCU-guided method compute-nodes. Time was marked at the beginning of the
has the fastest computational speed among the three test and again when TEPCO-BCU completed the screening
methods. to give the duration of the test, or the wall clock time. The
computation performance regarding the average time per
Applications to Large-Scale Test System contingency per node and the average time per contingency
per processor was recorded. The test showed that the par-
TEPCO-BCU program has been evaluated on a large-scale
allel implementation of TEPCO-BCU cut the average pro-
power system consisting of more than 12,000 buses and
cessing time per node by 50% when a second compute-node
1300 generators. In this test data, the system was mod-
was added.
eled by a network-preserving network representation. Of
Test data that were collected during the tests to record
the 1300 generators, 25% are classic modeled generators,
the total CPU time spent during the TEPCO-BCU run on
whereas 75% are detail-modeled generators with an ex-
processing of the contingencies is summarized in Tables
citation system. A contingency list composed of 200 con-
6–8. The average time per contingency per processor and
tingencies are considered. Of the 200 contingencies, 2 are
the average time per contingency per node calculated from
unstable, about 20 are critically stable, and the remaining
the total CPU time are also presented in the tables. It is ob-
are stable.
served that the average time per contingency per processor
The performance of TEPCO-BCU on this test system is
remains essentially unchanged irrespective of the number
summarized as follows. The capture of unstable contingen-
of processors given uniform testing conditions. The 2-node
cies by TEPCO-BCU is 100%; i.e., no unstable (single-swing
and 4-node test comparison is provided to observe the small
or multiswing) contingencies are missed. Thus, the ratio of
degree of variation.
the number of captured unstable contingencies to the num-
The timings for the 1-node and the 2-node configura-
ber of actual unstable contingencies is 1. The ratio of the
tions were calculated for the 3000 contingencies directly
number of stable contingencies screened out by TEPCO-
from the test results. A 5% overhead was used in the es-
BCU to the number of actual stable contingencies is about
timation of the 10-node timing, despite the fact that the
95%. The average computation time per contingency run-
testing showed overhead to be in the vicinity of 3%, in or-
ning TEPCO-BCU on a single processor is 1.18 second for
der to be on the conservative side. In addition, as the test
a 3.6-GHz PC.
dataset resulted in a high number of unstable and critical
stable cases, it is likely that datasets that produce a more
Parallel TEPCO-BCU
typical percentage of stable cases will result in even faster
To meet the on-line dynamic contingency screening re- performance results for the TEPCO-BCU fast screening.
quirements for large power systems with a large number of
contingencies, TEPCO-BCU needs to be implemented on a
parallel processing architecture. Parallel processing is the CONCLUDING REMARKS
simultaneous execution of the same task (split up and spe-
cially adapted) on multiple processors in order to obtain On-line transient stability assessment (TSA) is an essen-
faster speed. The parallel nature can come from a single tial tool needed to obtain the operating security limits at
24 Power System On-Line Transient Stability Assessment

or near real time. In addition to this important function, opment of a dynamic security constrained optimal power
power system transmission open access and restructuring flow method. A preliminary dynamic security constrained
further reinforce the need for on-line TSA, as it is the base OPF algorithm is realized based on the TEPCO-BCU en-
upon which available transfer capability, dynamic conges- gine [66]. Several applications based on TEPCO-BCU en-
tion management problems, and special protection systems gine will be developed in the future.
issues can be effectively resolved. There are significant en- As the size of power system analysis data increases
gineering and financial benefits to be expected from on-line due to, say, deregulation, it becomes clear that effective
TSA. data-handling schemes, data verification and correction,
After decades of research and development in the and graphical user interface (GUI) are important for
energy-function-based direct methods and the time- power system analysis tools. It is also clear that the
domain simulation approach, it has become clear that the approach of detailed representation for the study system
capabilities of direct methods and that of the time-domain and adequate and yet simplified representation for the
approach complement each other. The current direction of external system is well accepted and several methods
development is to include appropriate direct methods and for reducing external systems have been proposed. In
time-domain simulation programs within the body of over- this regard, the data handling of the separated power
all power system stability simulation programs. For exam- system data, i.e., the data for the study system and the
ple, the direct method provides the advantages of fast com- data for the external system, can be very complicated and
putational speed and energy margins, which make it a good error-prone with the conventional text-based format. In
complement to the traditional time-domain approach. The Ref. 70, effective data-handling schemes and GUI have
energy margin and its functional relation to certain power been developed for an integrated power system analysis
system parameters form an effective complement to de- package. Two reduction techniques are also presented: one
velop tools, such as preventive control schemes for credible is a static reduction technique for power flow analysis, and
contingencies that are unstable and to develop fast calcu- the other is a dynamic reduction technique for transient
lators for available transfer capability limited by transient stability analysis and small-signal analysis.
stability. The direct method can also play an important role Although current power system networks cannot com-
in the dynamic contingency screening for on-line transient pletely prevent disastrous cascading, their ability to man-
stability assessment. age power system disturbances can be considerably en-
This chapter has presented an overview of state- hanced. Power systems have been relying mostly on protec-
of-the-art methodology and effective computational tion systems and discrete supplementary control schemes
methods useful for on-line TSA. The current direction of to manage disturbance and prevent disastrous cascading.
development for on-line TSA is to combine a reliable and This practice needs further enhancement in both scope and
fast direct method and a fast time-domain method into an depth. On-line TSA should join this practice. The design
integrated methodology to take advantage of the merit of of protection systems and discrete supplementary control
both methods. TEPCO-BCU has been developed under this schemes have been often based on passive and static
direction by integrating the BCU method, BCU classifiers, considerations. The parameter settings of protection sys-
and the BCU-guide time domain method. Several ad- tems and discrete supplementary control schemes are not
vanced numerical implementations for BCU method have adaptive to system operating conditions and network con-
been developed in TEPCO-BCU. The current version of figurations. Moreover, the design and parameter settings
TEPCO-BCU can perform exact stability assessment and of protection systems and discrete supplementary control
accurate energy margin computation of each contingency schemes do not take into account system dynamic behav-
of large-scale power systems. Exact stability assessment iors. Consequently, several adverse behaviors of protection
is meant to classify stable contingencies as stable and systems and discrete supplementary control systems occur
unstable contingencies as unstable, whereas accurate that cause service interruption of electricity and system
energy margin computation is meant to give accurate blackouts. These behaviors include (1) unnecessary relay
critical clearing time of each contingency of large-scale trippings (relays overact to stable swings) and (2) unneces-
power systems. The evaluation results indicate that a sary distance relay trippings caused by system low voltage
parallel version of TEPCO-BCU works well with reliable and heavy loading conditions. Increased coordination be-
transient stability assessment results and accurate energy tween on-line TSA and the parameter settings of protection
margin calculations on a 12,000-bus test system with a systems and between that and discrete supplementary
contingency list of 3000 contingencies. control schemes should help eliminate these adverse
The group-based BCU method raises and addresses the behaviors and make protection systems really adaptive.
issue of how to rigorously verify the correctness of the TSA Traditionally, an energy management system (EMS)
results. In the past, this issue has been neglected because performs generation scheduling and control of the electri-
of the great computational efforts and difficulty involved. cal power output of generators so as to supply the continu-
Given a credible list of contingencies, the TEPCO-BCU sys- ously changing customer power demand in an economical
tem can fast screen out critical contingencies. This capabil- manner. All system analysis and decision making in EMS
ity in conjunction with some relevant functions can lead to are all based on static considerations. A linkage between
several practical applications. These relevant functions in- EMS and protection systems, discrete supplementary con-
clude the energy function method and the controlling UEP trol systems, and special protection systems has been miss-
coordinates and their sensitivities with respect to param- ing. This linkage can be established using on-line measure-
eters or control actions. One such application is the devel- ments, static analysis results available at the EMS, and
Power System On-Line Transient Stability Assessment 25

additional required measurements, such as wide-area mea- 15. H. D. Chiang, C. C. Chu, and G. Cauley, “Direct stability anal-
surements, to design and develop new needed functions, ysis of electric power systems using energy functions: Theory,
both static and dynamic security, for EMS. These new func- applications and perspective (Invited paper),” Proceedings of
tions can be utilized to develop hierachical and adaptive re- the IEEE, Vol. 83, No. 11, Nov. 1995, pp. 1497–1529.
lays and adaptive discrete supplementary controllers and 16. H. D. Chiang, F. F. Wu, and P. P. Varaiya, “Foundations of direct
adaptive special protection systems by periodically broad- methods for power system transient stability analysis,” IEEE
Trans. Circuits and Systems, Vol. CAS-34, Feb. 1987, pp. 160–
casting updated information of both static and dynamic
173.
security assessment results derived at the EMS to selected
17. H. D. Chiang, “Analytical results on the direct methods for
protection systems and generator sites for adaptive pro-
power system transient stability analysis,” in Control and Dy-
tection systems. This updated static and dynamic security
namic Systems: Advances in Theory and Application, Vol. 43,
assessment information can be used to improve the rules pp. 275–334. Academic Press, New York, 1991.
residing in the relays and control schemes of discrete sup-
18. P. P. Varaiya, F. F. Wu, and R.-L. Chen, “Direct methods for
plementary controller and/or special protection systems so transient stability analysis of power systems: recent results,”
that the overall system will greatly improve the ability to Proceedings of the IEEE, Vol. 73, 1985, pp. 1703–1715.
manage disturbance and to prevent disastrous cascading. 19. F. A. Rahimi, M. G. Lauby, J. N. Wrubel, and K. L. Lee, “Eval-
uation of the transient energy function method for on-line dy-
namic security assessment,’ IEEE Trans. Power Systems, Vol.
8, No. 2, May, 1993, pp. 497–507.
REFERENCES 20. H. D. Chiang, C. C. Chu, and G. Cauley, “Direct stability anal-
ysis of electric power systems using energy functions: Theory,
applications and perspective (Invited paper),” Proceedings of
1. N. Balu, T. Bertram, A. Bose, V. Brandwajn, G. Cauley, D. Cur-
the IEEE, Vol. 83, No. 11, Nov. 1995, pp. 1497–1529.
tice, A. Fouad, L. Fink, M. G. Lauby, B. Wollenberg, and J. N.
Wrubel, “On-line power system security analysis (Invited pa- 21. V. Chadalavada, V. Vittal, G. C. Ejebe, et. al., “An on-line con-
per),” Proceedings of the IEEE, Vol. 80, No. 2, Feb. 1992, pp. tingency filtering scheme for dynamic security assessment,”
262–280. IEEE Trans. Power Systems, Vol. 12, No. 1, Feb. 1997, pp.
153–161.
2. P. M. Anderson and A. A. Fouad, Power System Stability and
Control, Second Edition, IEEE Press, 2003. 22. H.-D. Chiang, “Power system stability (a book chapter),” In:J.
Webster G. (Ed.) Wiley Encyclopedia of Electrical and Electron-
3. P. Kundur, Power System Stability and Control, McGraw Hill,
ics Engineering, John Wiley & Sons, New Yory, pp. 104–137,
New York, 1994.
1999.
4. P. W. Sauer and M. A. Pai, Power System Dynamics and Sta-
23. E. G. Cate, K. Hemmaplardh, J. W. Manke, and D. P. Gelop-
bility, Prentice-Hall, New Jersey, 1998.
ulos, “Time frame notion and time response of the models in
5. M. Pavella, D. Ernst, and D. Ruiz-Vega, Transient Stability of transient, mid-term and long -term stability programs,” IEEE
Power Systems—A Unified Approach to Assessment and Con- Trans. Power Apparatus and Systems, Vol. PAS-103, No. 1,
trol, Kluwer Academic Publishers, Boston, 2000. Jan. 1984, pp. 143–151.
6. A. A. Fouad and V. Vittal, Power System Transient Stabil- 24. C. G. Groom, K. W. Chan, R. W. Dunn, and A. R. Daniels, “Real-
ity Analysis: Using the Transient Energy Function Method, time security assessment of electric power systems,” IEEE
Prentice-Hall, New Jersey, 1991. Trans. Power Systems, Vol. 11, No. 2, May 1996, pp. 1112–
7. B. Stott, “Power system dynamic response calculations,” Pro- 1117.
ceedings of the IEEE, Vol. 67, 1979, pp. 219–241. 25. Y. Mansour, E. Vaahedi, A. Y. Chang, B. R. Corns, B. W. Gar-
8. Electric Power Research Institute, Extended Tran- rett, K. Demaree, T. Athay, and K. Cheung, “B.C.Hydro’s on-
sient/Midterm Stability Program package (ETMSP Version line transient stability assessment (TSA) model development,
3.0), Palo Alto, CA, 1992. analysis and post-processing,” IEEE Trans. Power Systems,
9. A. Kurita, H. Okubo, K. Oki, et al., “Multiple time-scale power Vol. 10, Feb., 1995, pp. 241–253.
system dynamic simulation,” IEEE Trans. Power Systems, Vol. 26. S. Massucco, D. Ruiz-Vega, A. Bihain, G. Burt, F. Casamatta,
8, Feb. 1993, pp. 216–223. T. Koronides, R. Lopez, and C. Vournas, “Advance perspectives
10. F. P. de Mello, J. W. Feltes, T. F. Laskowski, and L. J. Oppel, and implementation of dynamic security assessment in the
“Simulating fast and slow dynamic effects in power systems,” open market environment,” Paper 39–101, CIGRE 2002.
IEEE Computer Applications in Power, Vol. 5, No. 3, July 1992, 27. S. Massucco, L. Wehenkel, A. Bihain, D. Cirio, M. Fiorina, R.
pp. 33–38. Lopez, D. Lucarella, D. Ruiz-Vega, C. Vournas, and T. Van Cut-
11. M. Stubbe, A. Bihain, J. Deuse, and J. C. Baader, “Euro-Stag— sem, “OMASES: A dynamic security assessment tool for the
A new unified software program for the study of the dynamic new market environment,” Proc. IEEE Bologna Power Tech
behavior of electrical power systems,” IEEE Trans. Power Sys- Conf., June 2003.
tems, Vol. 4, Feb, 1989, pp. 129–138. 28. K. Morison, L. Wang, and P. Kundur,“ Power system security
12. T. Tanaka, T. Nagao, and K. Takahashi, “Integrated analysis assessment,” IEEE Power & Energy Magazine, Vol. 2, Issue 5,
software for bulk power system stability,” IV Symposium of (Sept./Oct.) 2004.
Specialists in Electric Operational and Expansion Planning, 29. L. Wang and K. Morison, “Implementation of on-line security
May 23–27, 1994, Brazil. assessment,” IEEE Power & Energy Magazine, Vol. 4, Issue 5,
13. P. C. Magnusson, “Transient energy method of calculating sta- (Sept./Oct.) 2006.
bility,” AIEE Trans., Vol. 66, 1947, pp. 747–755. 30. H. D. Chiang, M. W. Hirsch, and F. F. Wu,“ Stability region of
14. P. D. Aylett, “The energy integral-criterion of transient sta- nonlinear autonomous dynamical systems,” IEEE Trans. Auto.
bility limits of power systems,” Proceedings of IEE, Vol. 105c, Control, Vol. 33, Jan. 1988, pp. 16–27.
Sept. 1958, pp. 527–536. 31. H. D. Chiang, C. S. Wang, and H. Li, “Development of BCU
classifiers for on-line dynamic contingency screening of elec-
26 Power System On-Line Transient Stability Assessment

tric power systems,” IEEE Trans. Power Systems, Vol. 14, No. Trans. Power Systems, Vol. 8, No. 3, Aug. 1994, pp. 1194–
2, May 1999. 1208.
32. D. Ernst, D. Ruiz-Vega, M. Pavella, P. Hirsch, and D. Sobajic, 48. F. A. Rahimi, M. G. Lauby, J. N. Wrubel, and K. L. Lee, “Eval-
“A unified approach to transient stability contingency filter- uation of the transient energy function method for on-line dy-
ing, ranking and assessment,” IEEE Trans. PWRS, Vol. 3, Aug. namic security assessment,” IEEE Trans. Power Systems, Vol.
2001. 8, No. 2, May, 1993, pp. 497–507.
33. H. D. Chiang, Y. Zheng, Y. Tada, H. Okamoto, K. Koyanagi, 49. F. A. Rahimi, Evaluation of Transient Energy Function Method
and Y. C. Zhou, “Development of an on-line BCU dynamic con- Software for Dynamic Security Analysis, Final Report RP
tingency classifiers for practical power systems,” 14th Power 4000–18, EPRI, Palo Alto, CA, Dec. 1990.
System Computation Conference (PSCC), Spain, June 24–28, 50. M. A. Pai, Energy Function Analysis for Power System Stabil-
2002. ity, Kluwer Academic Publishers, Boston, 1989.
34. N. Kakimoto, Y. Ohsawa, and M. Hayashi, “Transient sta- 51. A. Llamas, J. De La Ree Lopez, L. Mili, A. G. Phadke, and
bility analysis of electric power system via lure-type Lya- J. S. Thorp, “clarifications on the BCU method for transient
punov function,” Trans. IEE of Japan, Vol. 98, 1978, pp. 566– stability analysis,” IEEE Trans. Power Systems, Vol. 10, Feb.
604. 1995, pp. 210–219.
35. H. D. Chiang, “Study of the existence of energy functions for 52. G. C. Ejebe and J. Tong, “Discussion of Clarifications on the
power systems with losses,” IEEE Trans. on Circuits and Sys- BCU method for transient stability analysis,” IEEE Trans.
tems, Vol. CAS-36, 1989, pp. 1423–1429. Power Systems, Vol. 10, Feb. 1995, pp. 218–219.
36. Y. Zou, M. Yin, and H. -D. Chiang, “Theoretical foundation of 53. F. Paganini and B. C. Lesieutre, “A critical review of the the-
controlling UEP method for direct transient stability analy- oretical foundations of the BCU method,” MIT Lab. Electro-
sis of network-preserving power system models,” IEEE Trans. magnetic Electr. Syst., Tech. Rep. TR97-005, July 1997.
Circuits and Systems I: Fundamental Theory and Applica-
54. F. Paganini and B. C. Lesieutre, “Generic properties, one-
tions, Vol. 50, Oct. 2003, pp. 1324–1356.
parameter deformations, and the BCU method,” IEEE Trans.
37. C. C. Chu and H. D. Chiang, “Constructing analytical energy Circuits and Systems, Part-1, Vol. 46, No. 6, June 1999, pp.
functions for network-preserving power system models,” Cir- 760–763.
cuits Systems and Signal Processing, Vol. 24, No. 4, 2005, pp.
55. L. F. C. Alberto, “Transient stability analysis: Studies of the
363–383.
BCU method; Damping Estimation Approach for Absolute Sta-
38. A. R. Bergen and D. J. Hill, “A structure preserving model for bility in SMIB Systems (In Portuguese),” Escola de Eng. de São
power system stability analysis,” IEEE Trans. Power Appara- Carlos - Universidade de São Paulo, 1997.
tus and Systems, Vol. PAS-100, 1981, pp. 25–35.
56. N. Yorino, Y. Kamei, and Y. Zoka, “A new method for tran-
39. K. R. Padiyar and H. S. Y. Sastry, “Topological energy function sient stability assessment based on critical trajectory,” 15th
analysis of stability of power systems,” Int. J. Electr. Power Power Systems Computation Conference, Liege, Belgium, Au-
and Energy Syst., Vol. 9, No. 1, 1987, pp. 9–16. gust 22–26, 2005.
40. T. Athay, R. Podmore, and S. Virmani, “A practical method 57. H. D. Chiang and C. C. Chu, “Theoretical foundation of the
for the direct analysis of transient stability,” IEEE Trans. BCU method for direct stability analysis of network-reduction
Power Apparatus and Systems, Vol. PAS-98, 1979, pp. 573– power system model with small transfer conductances,” IEEE
584. Trans. Circuits and Systems, Part I, Vol. CAS-42, 1995, pp.
41. M. Hirsch and S. Smale, Differential Equations, Dynamical 252–265.
Systems and Linear Algebra. New York: Academic, 1974. 58. J. Kim, On-Line Transient Stability Calculator, Final Report
42. A. A. Fouad and S. E. Stanton, “Transient stability of a mul- RP2206–1, EPRI, Palo Alto, CA, March 1994.
timachine power systems. part i: pp. investigation of system 59. S. Mokhtari et al., Analytical Methods for Contingency Selec-
trajectories,” IEEE Trans. Power Apparatus and Systems, Vol. tion and Ranking for Dynamic Security Assessment, Final Re-
PAS-100, July 1981, pp. 3408–3414. port RP3103-3, EPRI, Palo Alto, CA, May 1994.
43. F. S. Prabhakara and A. H. El-Abiad, “A simplified deter- 60. H.-D. Chiang and A. K. Subramanian, “BCU dynamic security
mination of stability regions for Lyapunov method,” IEEE assessor for practical power system models,” IEEE PES Sum-
Trans. Power Apparatus and Systems, Vol. PAS-94, 1975, pp. mer Meeting, Edmonton, Alberta, Canada, pp. 287–293, July
672–689. 18–22, 1999.
44. M. Ribbens-Pavella, P. G. Murthy, and J. L. Horward, “The 61. J. Tong, H. D. Chiang, and T. P. Conneen, “A sensitivity-based
acceleration approach to practical transient stability domain BCU method for fast derivation of stability limits in electric
estimation in power systems,” IEEE Control and Decision Con- power systems,” IEEE Trans. Power Systems, Vol. PWRS-8,
ference, Vol. 1, pp. 471–476, San Diego, CA, Dec. 1981. 1993, pp. 1418–1437.
45. R. T. Treinen, V. Vittal, and W. Klienman, “An improved tech- 62. D. H. Kuo and A. Bose, “A generation rescheduling method
nique to determine the controlling unstable equilibrium point to increase the dynamics security of power systems,” IEEE
in a power system,” IEEE Trans. Circuits and Systems-I: pp. Trans. Power Systems, Vol. PWRS-10, 1995, pp. 68–76.
Fundamental Theory and Applications, Vol. CAS-43(4), April,
63. Y. Tada, A. Kurita, Y. C. Zhou, K. Koyanagi, H. D. Chiang,
1996, pp. 313–323.
and Y. Zheng, “BCU-guided time-domain method for energy
46. H. D. Chiang, “The BCU method for direct stability analysis of margin calculation to improve BCU-DSA system,” IEEE/PES
electric power systems: pp. theory and applications,” Systems Transmission and Distribution Conference and Exhibition,
Control Theory for Power Systems, Vol. 64 of IMA Volumes in 2002.
Mathematics and Its Applications, Springer-Verlag, New York,
64. L. Riverin and A. Valette, “Automation of security assessment
1995, pp. 39–94.
for hydro-Quebec’s power system in short-term and real-time
47. H. D. Chiang, F. F. Wu, and P. P. Varaiya, “A BCU method modes,” CIGRE-1998, 39–103.
for direct analysis of power system transient stability,” IEEE
Power System On-Line Transient Stability Assessment 27

65. G. C. Ejebe, C. Jing, B. Gao, J. G. Waight G. Pieper, F. Jamshid- J. L. Jardim, C. S. Neto, and W. T. Kwasnicki, “Design features of
ian, and P. Hirsch, “On-line implementation of dynamic a dynamic security assessment system.” IEEE Power System
security assessment at northern States Power Company,” Conference and Exhibition, New York, Oct. 13–16, 2004.
IEEE PES Summer Meeting, Edmonton, Alberta, Canada, pp. F. A. Rahimi, M. G. Lauby, J. N. Wrubel, and K. L. Lee, “Evaluation
270–272, July 18–22, 1999. of the transient energy function method for on-line dynamic
66. Y. Tada, T. Takazawa, H. D. Chiang, H. Li, and J. Tong, “Tran- security assessment,” IEEE Trans. Power Systems, Vol. 8, No.
sient stability evaluation of a 12,000-bus power system data 2, May 1993, pp. 497–507.
using TEPCO-BCU,” 15th Power system Computation Confer- Electric Power Research Institute, User’s Manual for DIRECT 4.0,
ence (PSCC), Belgium, August 24–28, 2005. EPRI TR-105886s, Palo Alto, CA, Dec. 1995.
67. U.S. Patent 5,789,787, 2004. F. A. Rahimi, Evaluation of Transient Energy Function Method
68. I. A. Hiskens and M. A. Pai, “Trajectory sensitivity analysis of Software for Dynamic Security Analysis, Final Report RP
hybrid systems,” IEEE Trans. Circuits and Systems I: Funda- 4000-18, EPRI, Palo Alto, CA, Dec. 1990.
mental Theory and Applications, Vol. 47, No. 2, Feb. 2000, pp. IEEE Committee Report, “Transient stability test systems for di-
204–220. rect methods,” IEEE Trans. Power Systems, Vol. 7, Feb., 1992,
69. T. B. Nguyen, M. A. Pai, and I. A. Hiskens, “Sensitivity ap- pp. 37–43.
proaches for direct computation of critical parameters in a M. A. El-kady, C. K. Tang, V. F. Carvalho, A. A. Fouad, and V. Vittal,
power system,” International Journal of Electrical Power and “Dynamic security assessment utilizing the transient energy
Energy Systems, Vol. 24, June 2002, 337–343. function method,” IEEE Trans. Power Systems, Vol. PWRS-1,
70. Y. Tada, A. Ono, A. Kurita, Y. Takahara, T. Shishido, and K. 1986, pp. 284–291.
Koyanagi, “Development of analysis function for separated
power system data based on linear reduction techniques on
integrated power system analysis package,” 15th Conference
Dr. HSIAO-DONG CHIANG
of the Electric Power Supply, Shanghai, China, Oct. 2004.
Dr. YASUYUKI TADA
Dr. HUA LI
Further Reading Cornell University, Ithaca, NY
Tokyo Electric Power Company,
T. Takazawa, Y. Tada, H.-D. Chiang, and H. Li, “Development of Tokyo, Japan
parallel TEPCO-BCU and BCU screening classifiers for on-line Bigwood Systems, Inc., Ithaca,
dynamic security assessment,” The 16th Conference of the Elec- NY
tric Power Supply Industry, Mumbai India, Nov. 2006, 6–10.
Y. Tada, A. Kurita, M. Masuko, Y. Takahara, and K. Koyanagi,
“Development of an integrated power system analysis pack-
age,” Power System Technology, 2000. Proceedings, Power-
Con2000. International Conference, Perth Australia, Dec. 2000,
4–7.
Y. Tada, T. Yamada, T. Takazawa, Y. Takahara, and T. Shishido,
“Enhancement of data handling in integrated power system
analysis package, named IMPACT, using information technolo-
gies,” International Power Engineering Conference, IPEC 2003,
Singapore, Nov. 2003, 26–30.
Y. Tada, A. Kurita, T. Ryuya, and H. Okamoto, “Development of
voltage stability constrained OPF as one of the functions of the
integrated power system analysis package, Named IMPACT,”
IFAC Symposium on Power Plants & Power Systems Control,
Seoul, Korea, Sep. 2003, 15–19.
J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering
Copyright c 1999 John Wiley & Sons, Inc.

PROGRAMMABLE FILTERS
This article is about programmable electric filter circuits. It is a practical review and involves no difficult
mathematics, although it does assume some familiarity with filter terminology. It does not cover digital filters,
which tend to be programmable by definition, since they are usually the direct result of running a computer
program or at least some kind of number-crunching algorithm.
Programmable filters have characteristics which can be intentionally adjusted to provide useful and
desired results, by means of external control inputs which can be of many different forms, both analog and
digital.
The circuits described in detail here are programmable in the sense that the resulting response of the
filter is a known function of the adjustment process employed. A wider class of filters, which might be termed
“variable” rather than “programmable,” have response parameters which can be changed by altering something,
but perhaps in a way which is an imprecise function of variables under the user’s control, or which varies in
an unknown way between different units from a production batch; these techniques are not covered in depth
here.

The Need for Programmability in a Filter Circuit

In many applications, the response shape, passbands, and stopbands of filter circuits needed in the design can
be specified in advance; these frequencies are fixed numbers, the same for every unit which is manufactured
and unchanging no matter what the circumstances of use. For such applications, fixed frequency filters are
utilized, and design procedures for such circuits are well established.
Applications involving some form of filtering are familiar to everybody; for instance, a radio receiver can
be viewed as a form of filter because its job is to pick out a carrier signal on which some wanted information
has been impressed by the sender, in the presence of huge amounts of competing unwanted information. A
radio receiver which could only receive one station out of the thousands transmitted would be of little use, and
so any usable “radio” can in this sense be thought of as a programmable filter.
There are many other applications where filtering is required, in order to discriminate between some
wanted signals and some unwanted ones on the basis of their frequencies, but where the characteristics of this
filtering need to be changed in response to some changing mode of application or in response to an external
circumstance.
The primary form of parameter adjustment covered here will be that of the “cutoff frequency” of the
filter; in other words, it is assumed that when the filter is adjusted, its intrinsic response “shape” is not to be
altered. For example, if the desired response shape is described by a Chebychev lowpass function, then the
flatness and ripple in the passband should not be affected simply by changing the actual value of the passband
cutoff frequency. In some cases, programmability of the response shape itself is also required, such as in the
programmable audio equalizer described subsequently.
1
2 PROGRAMMABLE FILTERS

The choice between a fully “programmable” filter , or simply a circuit in which some parameter can be
varied somewhat, generally depends on whether it is possible to determine, by examining the result of filtering
the signal, whether the filtering was effective. Another way of saying this is that if a form of “closed-loop control”
is possible, then it may be possible to get away with an unpredictable adjustment method. If only open-loop
operation is possible, then the adjusting technique needs to be correct because an iterative approach to getting
the response correct is not possible.
Another frequent feature of the need for programmability, as distinct in this case from “selectability,” is
that the actual operational parameter required—for instance the cutoff frequency—may not be known until
the actual point in time at which it has to be set.
To return again to the radio analogy, a closed-loop technique—listening to the radio and judging whether
the desired station is correctly tuned—enables a rough-and-ready adjustment method to be used, one for
which the manufacturer may not have made any promises about what the position of the tuning dial actually
“means.” In contrast, a more modern radio might enable you to “punch in” the frequency of the station with
such precision, and to set it with such accuracy, that the tuning is achieved with no correction necessary. This
is open-loop operation and requires different (and more accurate) techniques whether the system is a radio or,
say, an industrial data acquisition process.

Programmable Elements Available for Filter Parameter Adjustment

We now assume that we are to attempt to control the behavior of a single filter circuit in some way. Naturally,
the “brute force” method of achieving a range of filter responses is to design a fixed filter circuit for each of the
desired outcome responses and build them all into the equipment, with a big selector switch. This is generally
likely to work (assuming no unexpected interactions between the circuits) but is rarely likely to be efficient for
component cost, power consumption, or board area consumed and thus it is not usually a serious approach.
The adjustability might be offered to the user in the form of a big front-panel dial, or some form of interface
port to attach to a processor or network. Whatever way the interface is achieved, at some point in the circuit
programmable components of some sort will be required in order to turn the needs of the user into intentionally
adjusted parameters of a filter circuit.
Adjustable or Variable Components. These components generally have no role to play in pro-
grammable rather than adjustable filters, but they are useful in certain noncritical circuits. This is because the
control relationship may be known only empirically and may offer poor consistency over batches and perhaps
unknown stability over time and temperature.
Examples are (1) light-sensitive resistors using cadmium sulfide or a semiconductor junction, programmed
using a controllable light source, and (2) rotary resistive potentiometers adjusted with a motor (some form of
positional feedback might be used to improve the accuracy and consistency of this approach).
Note that discrete junction field-effect transistors (FETs) make excellent switches for the techniques of the
next sections, but their analog characteristics—the region between fully on and fully off—are not well-defined
and so it is difficult to make repeatable circuits when used as variable resistances with a programmable gate-
source voltage. However, careful matching of individual FETs may produce usable results over a range of up
to a decade (1,2).
Switched Two-terminal Component Arrays. These comprise arrays of fixed two-terminal compo-
nents (i.e., resistors, capacitors, or inductors) connected together by controllable switches to provide composite
components whose value can be selected from a range of values (but which is constant any time that the
selection is not being changed).
This approach is perhaps the most obvious one; it is similar to the “build several complete filters and
select between them” method except that it changes less than the entire network of filter components each
time you select a new cutoff frequency. How many components you actually need to change when you change
PROGRAMMABLE FILTERS 3

Fig. 1. A 4-bit binary-weighted resistor array.

Fig. 2. An 8-bit binary-weighted capacitor array.

the cutoff frequency depends on the filter circuit used; clearly, the fewer the better; this is covered in more
detail in the section entitled “Fundamental Restrictions on Programmability.”
Binary-Weighted Arrays. A particularly useful technique for constructing programmable components—
and it can be capacitors (3) or resistors—is to use a switched array of components with a binary weighting
between the values. A couple of examples will illustrate the point.
Firstly, consider four resistors in series, of value 100 , 200 , 400 , and 800 . Place a controllable
switch in parallel with each resistor, and construct a truth table showing the realized resistance from node 1 to
node 5 for each of the 16 possible 4-bit input control “words” which are used to control the switches. This shows
that the circuit generates a resistance whose value is 100  multiplied by the value of this digital word—from
0  to 1500  in increments of 100 , assuming the switches’ resistance is negligible (Fig. 1).
Another example is eight capacitors, of value 1 pF, 2 pF, 4 pF, and so on up to 128 pF, each in series with
a switch, such that all capacitors share one common terminal (node 1) and all switches share another (node
10) as shown in Fig. 2. A 256-entry truth table could be constructed, though this is unnecessary because it
should be fairly clear that this circuit will realize a programmable capacitance which can be varied from 0
pF (all switches open) to 255 pF (all switches closed) in steps of 1 pF. Here we are ignoring any capacitance
contribution from the switch elements, which at this level of capacitance can be quite significant.
“Programmable” two-terminal components can be substituted quite freely in most active (and passive)
filter circuits for conventional components, as long as the limitations of the switch technology do not affect the
circuit performance.
4 PROGRAMMABLE FILTERS

Fig. 3. An inverting integrator.

The Integrator. Before moving on to the other techniques in this section, let us introduce a versatile
circuit block with great relevance to active filter design, the integrator. The simplest form, the operational
amplifier-based integrator (Fig. 3), uses the “virtual earth” at the inverting input of an op-amp operating with
feedback, to produce an output voltage which is the time integral of the current flowing through the capacitor:
The input current Ii is determined by the input voltage V i as Ii = V i /R; this same current flows in the capacitor,
resulting in an output voltage of

or

Other mechanisms are possible which turn the applied input voltage into a proportional current which is
applied to the virtual earth, and they can be thought of as having a “transresistance” equivalent to R in
the equation above. The “time constant” of the integrator, t, which can also be thought of as determining its
gain, directly determines the frequency behavior of any filter circuit in which the integrator is embedded, and
this time constant can be programmed in various ways other than using a resistor; the following subsections
describe some approaches.
Continuously Variable Transconductances. These consist of circuits integrated on a single sub-
strate are based on the highly predictable and repeatable physics of the bipolar junction transistor [and also
the metal-oxide semiconductor (MOS) transistor operating in its weak inversion region] and produce a control-
lable attenuation, gain, or conductance.
The bipolar junction transistor has a highly predictable relationship between its output current and its
input voltage. By relying additionally on the very close matching of devices available on a single substrate, it
is possible to produce a range of circuits whose performance can be adjusted accurately enough with externally
applied control voltages or currents that they are useful in the construction of programmable filters. The control
of such circuits is easily arranged because the production of programmable voltages or currents is quite simple.
These circuits tend to be used in the construction of integrators within active filter designs (4).
The OTA. The operational transconductance amplifier (OTA) is a voltage input, current output device
with a “programming” terminal into which a current is injected which controls the transconductance of the
device (5). Filters based on such programmable transconductances are termed gm –C filters and have become
an important technology for the integration of continuous-time filters onto monolithic processes (6,7). OTA
integrated circuits are also available, with the classical example being the CA3080 (8). A programmable
PROGRAMMABLE FILTERS 5

Fig. 4. Two integrators with gain-tuned time constants. Dynamic range considerations determine the choice between
them. Any form of gain control element can be used.

integrator is particularly easy to implement with an OTA, requiring simply a grounded capacitor attached to
the output.
The VCA or Analog Multiplier. Another device which has found use in programmable filter circuits is
the voltage-controlled amplifier (VCA). The amplifier can actually be either a voltage amplifier or a current
amplifier. A voltage-controlled voltage amplifier with a linear relationship between gain and control voltage is
also known as a four-quadrant voltage multiplier; cascading one of these with a fixed time constant integrator
is one method of producing an integrator with a voltage-controlled time constant; a careful analysis of dynamic
range is required to determine whether the VCA should be located before or after the integrator (Fig. 4). In
either case, the effective time constant te = RC/Gv .
Particularly effective filters can be constructed with the voltage-controlled current amplifier (VCCA) (9)
which once again finds its place in the integrator, this time shown in Fig. 5. The VCCA is interposed between the
resistor R and the “virtual earth” of the operational amplifier around which the capacitor C provides feedback.
Impressing an input voltage V i on the resistor causes a current V i /R to flow into the VCCA, and the output
current Ia (shown in Fig. 5 as directed toward the VCCA) will therefore be −Gc V i /R, where Gc is the current
gain of the VCCA. The current flowing in the integrating capacitor is therefore changed by the same amount,
and hence the time constant of the integrator is changed:

so
6 PROGRAMMABLE FILTERS

Fig. 5. Noninverting integrator using a voltage-controlled current amplifier.

Fig. 6. A digital potentiometer; the resistance of the selector switches does not affect the accuracy of potential division
between A and B.

This technique can provide very high linearity performance since there is no signal voltage on either the input
or output terminals of the VCCA due to the virtual earth at the input of the operational amplifier—only the
current changes with differing input signals.
Switched Voltage or Current Dividers. These are voltage or current dividers and variable transcon-
ductances constructed from switched arrays of resistors, whose division ratio can be altered by applying some
form of control. This might be thought to be simply an extension of the switched two-terminal component array,
but elements which offer attenuation (or gain) are used in quite separate roles in filter circuits from simple
two-terminal impedances and are worth considering separately.
Digital Potentiometers. An electronically switched version of the conventional resistive potentiometer
has become popular; this version consists of a “string” of resistors connected in series such that each of the
points at which adjacent resistors join can be selected for connection to the “wiper” terminal (Fig. 6). This type
of circuit is easier to implement in low-cost silicon processes because it is much easier to make a large number
of resistors whose absolute value is not too important but whose matching and tracking is quite critical than
it is to make even a small number of resistors whose value is accurate and stable. As a result, these “digital
pots” find favor in circuits where their rather unpredictable impedance does not affect the circuit response at
all, this being done by the very stable division ratio afforded by the chain of well-matched resistors; in other
words, these “pots” are being used purely for their division properties and not as variable resistances.
Digital potentiometers are also available with built-in nonvolatile memory, enabling them to store their
setting when power is removed. This makes them useful for programming filters where the setting needs to be
changed only occasionally (e.g., for calibration purposes).
The R-2R Ladder and the MDAC. Another circuit arrangement of resistors and switching, which can
be used to form a programmable divider for either voltage or current, is the “R–2R ladder,” which is a key
element of a component called a multiplying digital-to-analog converter (MDAC) (10).
PROGRAMMABLE FILTERS 7

Fig. 7. A 3-bit R–2R network controlling an integrator.

The R–2R ladder has the useful property that, when fed by an input voltage, the voltage on each successive
node on the ladder is reduced by a factor of exactly 2 from that on the previous node. To see why this is, we first
prove by induction that the input resistance of an R–2R ladder which is terminated at its last node by a resistor
of value 2R has itself an input resistance of 2R. Figure 7 shows a single section of the ladder terminated into
2R; by inspection, the resistance from node 2 to ground is the parallel combination of two 2R resistors—in
other words, R. The input resistance measured at node 1 is therefore R + R = 2R, and the voltage at node two
is just V i R/(R + R) or V i /2.
We can cascade as many of these ladder sections as we require; and note that since the voltage at each
successive node is reduced by a factor of 2, then so is the current in each of the 2R resistors. In other words, the
currents in the grounded arms of the ladder form a binary weighted set. A selection of these binary weighted
currents can be routed to the virtual earth of an op-amp instead of to ground, and it can be deployed to program
an integrator with a programmable time constant (Fig. 8 shows a circuit with three branches but MDACs are
available with up to 16 branches, offering very high resolution):

with

where any Dx can equal 0 or 1 depending on whether the branch current is switched to ground or to the op-amp
input.
Since Ii = V i /2R and I2 = Ii /2, I3 = I2 /2, I4 = I3 /2, we have

where here a ranges between 0 and 78 .


The fundamental value of the MDAC resistors is sometimes not known to within a certain tolerance error,
due to the limitations of integrated circuit (IC) processing. The time constant of an integrator made with the
MDAC will similarly suffer from this same error because it is proportional to the reference resistance R (11).
8 PROGRAMMABLE FILTERS

Fig. 8. A mark:space ratio controlled integrator; any suitable electronic switch can be used for SW1.

One solution to this is to use the MDAC instead in a programmable attenuator which can be used to provide
an adjustable integrator in the manner of Fig. 4(a) or Fig. 4(b).
Time-Domain Switching. This refers to circuits using some form of signal division in the time domain
to manipulate an existing fixed component in order to synthesize a component which appears to have a value
which is dependent on the nature of the controlling signal or “clock.”
Mark:Space Ratio Control. A technique for varying the apparent value of a resistor is to place it in
series with a switch which is periodically opened and closed at a rate which is much higher than the frequency
of any interesting signals in the circuit (12). In Fig. 8, if the mark:space ratio of the switching waveform is
1:1, it should be clear that the average value of the current which can flow when a voltage is impressed on the
resistor–switch combination is only half that which could flow if the switch were always on. In fact the effective
conductance is simply proportional to the fraction m of time that the switch is “on,” so Ii = V i m/R. Since this
adjustable mark:space ratio can be generated by a very precise digital divider, it is clear that this can be used
to turn an accurate resistor into an accurate digitally programmed resistor over quite a wide range:

Switched Capacitor Filters. The other, highly significant technique is that of the “switched capacitor”;
this is an important configuration in modern electronics and is covered elsewhere (see Switched capacitor
networks). However, a brief review of the basic principle should illustrate how this technique relates to the
other methods described here.
Consider Fig. 9, in which the capacitor C1 is switched between positions 1 and 2 by an input clock, which
we shall assume has a 1:1 mark:space ratio. In position 1, the voltage on capacitor C1 rapidly assumes the
value of the input voltage V i (we assume that the switch resistance is low enough for this to happen). When
the switch moves over to position 2, the charge in capacitor C1 is transferred to C2 ; in other words,

Therefore
PROGRAMMABLE FILTERS 9

Fig. 9. A switched-capacitor integrator.

Since this transfer happens once every period t where t = 1/F s , we can integrate the successive small changes
in V 0 to get

Once again, this circuit is a controllable integrator, and thus it can be used in any active filter circuit which
consists of combinations of integrators—several of which are ideal candidates for programmable filters as we
shall now see.

Active Filter Architectures and Programming

This section provides a quick and practically biassed summary. Coverage of particular active filter circuits can
be found in Van Valkenburg (13) and particularly in Moschytz and Horn (14). The two most common forms of
active filter synthesis are the cascade method and the ladder-derived method; this article will focus on cascade
synthesis since it is the most common and practical method for programmable filters.
Filter circuit blocks which have a frequency response which can be described by a pair of poles (and possibly
one or two zeroes) are used as basic building blocks to construct a filter circuit of high order; these blocks are
called second-order filter sections, or sometimes just “biquads.” Higher-order filter circuits are needed when
greater discrimination is needed between different frequencies in the input signal—in common parlance, when
a “sharper” filter is required. If the filter circuit blocks can be made programmable by using the techniques of
the last section, then several of these blocks can be combined to make a programmable filter with the required
response to do the job.
Some Representative Filter Sections and their Applications. A second-order active filter section
will consist of at least one amplifier [which is usually an op-amp (voltage in, voltage out)], at least two capacitors,
and several resistors. The analysis of the popular sections has been carried out many times, and the results are
widely published. Figures 10, 12, and 13 show three second-order all-pole low-pass filter sections in a format
representative of published filter textbooks (14), and they show equations which relate their component values
and the parameters which the sections are intended to produce. These are expressed here as the pole frequency
wp and the pole quality factor qp , which is the most common way of describing such a section. Sections which
also create a transmission zero are not covered here, but the same principles will apply.
Examples of practical applications are also shown for these filter circuits, but practical considerations
such as component choice and the effect of device imperfections are covered in detail in the section entitled
“Design for Manufacturability.” Note that there are many more active filter topologies than can be covered by
this article, and the reader is encouraged to seek them out and apply these principles to determine how they
can be programmed.
10 PROGRAMMABLE FILTERS

Fundamental Restrictions on Programmability. Before examining the individual circuit topologies,


it is worth asking the question, “How may components need to be altered in a programmable filter?” These all-
pole filters can be completely described by two parameters wp and qp , which are functions of the components
in the filter. It will usually (but not always) be possible to rearrange the expressions so that two selected
component values are a function of all the other component values plus wp and qp , which means that in general
any filter circuit can be set to the required wp and qp by programming just two components. However, the
functional relationship between filter parameter and control code may be highly nonlinear, and there may also
be forbidden regions where parameter values cannot be set.
Circuits which are useful bases for programmable filters therefore need to conform to more stringent
criteria so that the programming approaches described in the previous section can be used effectively. The
majority of applications considered require that the pole frequency of the filter section be adjusted while the
pole quality factor remains constant. This is needed to ensure that the shape factor of the filter does not change
when the frequency is programmed.
This leads to an important rule: If two components are such that pole frequency is a function of only the
product of their values and the pole quality factor is a function of only the ratio of their values, then the cutoff
frequency of the filter section can be adjusted without change of filter shape by making an equal fractional
change to each component. As long as the component ratio stays constant, the pole quality factor does not
change. Equally, but of slightly less importance, the quality factor can be altered by changing the ratio of the
components, and the pole frequency will not change as long as the product of the component values is invariant.
If, for a given filter section, a pair of components can be found for which the rule above is valid, then the
section can be called “canonically programmable.” A particular circuit might offer several pairs of components
for which it is canonically programmable, in which case the choice depends on the suitability or attractiveness
of the programming techniques available for the project.
The VCVS or Sallen & Key Circuit. The first filter section is commonly called the Sallen & Key circuit;
requiring only one operational amplifier, set in this case to have unity gain, it has a low component count. Note
by examining the equations in Fig. 10 that the pole frequency is a simple function of the four basic passive
components, and that changing any one of these will change the frequency. If we look at the expression for the
pole quality factor qp , we can see that this constrains the changes we can make to the components if we also
don’t want the qp to change. We can see that qp is actually a function only of the ratios R3 /R1 and C2 /C4 , so
if we can keep these ratios constant we will keep the qp constant and thus preserve the shape of the filter; in
other words, this section is canonically programmable for (R1 , R3 ) and for (C2 , C4 ). In this simple Sallen & Key
circuit, value-programmable components are ideal control elements, whereas programmable dividers cannot
be so easily employed.
In the unity gain lowpass version of the Sallen & Key circuit shown, the resistors can be set to be of equal
value whereas the capacitors will be unequal, unless the pole quality factor is equal to 0.5, which is unlikely.
Therefore for this lowpass version, it is common to see the tuning carried out by two identical banks of switched
resistors—with the capacitors being fixed, or possibly switched in decade steps to give a really large overall
range of cutoffs.
The Sallen & Key circuit is also frequently used in a highpass role (Fig. 11), and in this application it is
usual to have the capacitors of equal value and use the ratio between the resistors to set the pole quality factor.

The GIC-Derived or Fliege Circuit. The second filter type is usually known as the Generalised
Impedance Converter (GIC)-derived filter section (Fig. 12 shows the lowpass circuit). Requiring two opera-
tional amplifiers and a few more passive components than the Sallen & Key circuit, it has been shown to be
much more suited to high values of pole quality factor (15).
Comparing the expressions for wp and qp , it can be seen that the circuit is only canonically programmable
for (C1 , C4 ). This means that the GIC-derived section can be programmed for cutoff frequency with adjustable
capacitors. Interestingly, the component R1 is absent from the expression for the cutoff frequency, and therefore
PROGRAMMABLE FILTERS 11

Fig. 10. The voltage controlled voltage source (VCVS) or Sallen & Key unity-gain low-pass filter. (Adapted from Ref. 14,
with permission.)

Fig. 11. The Sallen & Key unity gain high-pass filter. (Adapted from Ref. 14, with permission.)

it is possible to adjust the pole quality factor freely by adjusting this resistor, which could be implemented as
a single programmable resistor array.
Variations of this circuit cover high-pass, bandpass, and notch responses and share the same characteristic
as the lowpass circuit, namely that the pole frequency can only be canonically tuned by adjusting the capacitor
values.
State-Variable Circuits. The third filter type, shown in Fig. 13, is variously known as the state-variable
or Kerwin–Huelsman–Newcombe (KHN) biquad. It employs three operational amplifiers, which might be
considered to be wasteful in comparison to other circuits, but the cost and area penalties using modern amplifier
technologies are small. The only significant disadvantage is the increased power consumption entailed.
Inspection once more of the expressions for wp and qp shows that both the resistor pair (R5 , R6 ) and
the capacitor pair (C6 , C8 ) meet the criterion for canonical programmability. The ratio of resistors R3 and R4
12 PROGRAMMABLE FILTERS

Fig. 12. The generalized impedance converter (GIC)-derived or Fliege low-pass filter. (Adapted from Ref. 14, with permis-
sion.)

appears in both expressions, meaning that this ratio should best be left alone. The ratio of resistors R1 and R2
appears only in the expression for qp , providing an independent method for adjusting the pole quality factor,
which will be found useful.
Examining the topology more closely, it can be seen that the component pairs (R5 , C6 ) and (R7 , C8 ) are
each employed with an amplifier to form an integrator; in fact, only the products R5 C6 and R7 C8 appear in
the expressions for wp and qp . In other words, the structure is canonically programmable for integrator time
constants (t1 , t2 ). This is extremely useful because it means that the integrators can be replaced with any of
the programmable integrator structures discussed in the previous section. This versatility has resulted in the
KHN biquad being the filter topology of choice for the majority of programmable filter applications requiring
high performance and closely predictable responses.
Most of the programmable integrator techniques discussed earlier can be employed in a KHN biquad
circuit. Figure 14 shows such a biquad programmed by binary-weighted switched resistors and decade-selected
capacitors; all the switching is done by an integrated switch array. Note that the switches are referred to
the inverting inputs of the integrator amplifiers, which means that there is no signal swing on a conducting
switch (see section entitled “Component Nonlinearities and Imperfections”). It is important to ensure that
enough control voltage is available to turn the switches on and off fully over the entire signal voltage swing;
commercially available integrated complementary metal-oxide semiconductor (CMOS) switch packages will
achieve this, but more care must be taken with individual JFET or DMOS switches.
PROGRAMMABLE FILTERS 13

Fig. 13. The KHN state-variable low-pass filter, shown in its noninverting configuration. (Adapted from Ref. 14, with
permission.)

Figure 15 shows the classical circuit for using an OTA in a KHN biquad circuit (8). This circuit is limited in
its dynamic range not by fundamental constraints but because the integrated circuit OTAs currently available
have a very limited input voltage range, only a few tens of millivolts for linear operation, which is the reason
that input attenuation must be used at the front of each OTA.
An alternative topology (Fig. 16) using OTAs relies on their very high output impedance to allow a
grounded capacitor connected at the output to directly integrate the output current. The capacitor is then
buffered to prevent the next stage from loading it. Integrated circuits combining OTAs and buffers are readily
available. In this circuit the integrators are noninverting, which allows a passive summation network to be
used to combine the state feedback, eliminating one op-amp.
A common audio frequency application of the programmable state-variable circuit is in the design of
equalizers for audio systems. Reference 9 describes a representative circuit employing VCCA tuning for the
integrators in a KHN biquad; the current actually injected into the virtual earth of the amplifier is equal to
the current flowing through the VCCA’s input resistor multiplied by a scaling factor which is the logarithm of
the input control voltage divided by a reference voltage. This results in a linear decibels per millivolt function
which is appropriate for audio applications. Note also that the VCCA used here produces an output current
which is in the same direction with respect to the VCCA as the input current is. From the point of view of the
filter circuit, this turns the integrator in which the VCCA is embedded into a noninverting one, and so the
polarity of the feedback from the output of the first integrator must be reversed to allow for this. The reference
14 PROGRAMMABLE FILTERS

Fig. 14. The KHN low-pass filter programmed with arrays of resistors and capacitors.

Fig. 15. A KHN low-pass filter with op-amp integrators tuned by operational transconductance amplifiers (OTAs).

also illustrates the ease in which a VCCA-based circuit can be configured to provide independent control over
wp and qp in this topology.
MDAC-Programmed State-Variable Filters. The MDAC has found wide application in programmable
KHN biquads; many manufacturers produce highly accurate MDAC devices and provide application notes on
their use in filter design. Figure 17 shows a circuit in which not only the integrator time-constants but also the
feedback paths which were represented by (R3 , R4 ) and (R1 , R2 ) (see Fig. 13) are replaced with MDACs (11),
PROGRAMMABLE FILTERS 15

Fig. 16. An alternative OTA-tuned low-pass filter with two integrators, not requiring a summing amplifier.

Fig. 17. An MDAC-programmed KHN state-variable low-pass filter, with additional MDACs to give finer control of pole
frequency and quality factor.

with an extra inverter employed to ensure that all feedback can be returned to the virtual earth of the input
op-amp. The extra MDACs a and b provide higher resolution for setting the wp and qp values of the section; the
MDACs controlling the integrators (in multiple biquads which may be cascaded to make a higher order filter)
can be controlled with a common code. The tolerances of the programming MDACs’ fundamental resistance
can be compensated for with the extra MDACs.
The MDAC-programmed integrator has several subtleties which can affect the performance of filters into
which it is embedded. Firstly the output capacitance is a nonlinear function of the code applied, which can
cause the behavior of filters using this technique to depart from ideal at high frequencies (16).
A further difficulty is not immediately obvious but restricts the performance of MDAC-based filters
primarily at the lower extremes of their programming range and also affects any other tuning method in
which some form of resistive voltage or current division is used to alter the integrator time constant. The
operational amplifiers used to construct the integrators contribute to the overall noise and direct-current (dc)
16 PROGRAMMABLE FILTERS

Fig. 18. A test circuit to explore the effect of the dc offset of a standard integrator in a feedback configuration.

Fig. 19. A test circuit to explore the effect of the dc offset of an attenuator-tuned integrator in a feedback configuration.

offset performance of the filters; and the greater the voltage division set by the programming circuits for the
integrators, the greater is the consequent magnification of these effects, due to the overall dc feedback which
exists around the KHN circuit (17).
Consider the two integrators of identical time constant as shown embedded in the circuits of Figs. 18 and
19. In Fig. 18 the time constant is set to be RC by means of components of those values; in Fig. 19 the same
time constant is achieved by feeding a resistor of value R/100 through an attenuator with a gain of 0.01; this
produces the same current into the integrator and hence the same time constant. These integrators are each
embedded in the feedback loop of an ideal test op-amp with grounded input to force the integrator output V 0 to
zero (note that feedback is returned to the noninverting input of this test amplifier since the integrators invert;
also note that the op-amps in the integrators are assumed ideal for the moment). The noninverting input of
the integrator op-amps would normally be grounded, but let us inject a small voltage V e into each inverting
input and work out what the change in the output voltage of the test op-amp will be. In the case of Fig. 18 we
can see that to balance the voltage V e the output of the test opamp V a will equal V e ; at dc the capacitor has no
effect and can be ignored. This is because there is no voltage dropped across the resistor R.
In Fig. 19 we can see by the same argument that the output of the attenuator has to be equal to V e to
balance the dc conditions, but this means that the output of the test amplifier is now 100V e to achieve this.
PROGRAMMABLE FILTERS 17

These test circuits are not real filter circuits, but the split feedback loops in a state-variable filter mean
that the effective attenuation caused by either an MDAC or for instance a “digital pot” causes a buildup of
dc offset, and also low frequency noise, related to the input behavior of the integrator op-amps; it worsens as
the effective attenuation factor increases—that is, toward low cutoff frequencies. This effect is not seen when
programmable resistances are used, and it allows the latter type of filter to offer superior stability and dynamic
range compared to MDAC-tuned filters using the same filter op-amps.

Design for Manufacturability

Programmable filters of the types referred to here tend to be manufactured from separate general-purpose
electronic components, although some manufacturers have produced integrated circuits combining several of
the required functions (18). The integration of entire circuits of this type onto a monolithic substrate presents
many problems, which is why alternative techniques such as switched capacitor filtering have become popular.
This section highlights issues relating to the use of real components in programmable filters.
Device Tolerances. Clearly, since the frequency response of a filter circuit is a function of the values
of the components in it, changes in these values will change the filter response. For some special applications,
it is possible to have resistors and capacitors manufactured to the exact values determined by theory; but
this is impractical for most filter designs, whether fixed shape or programmable. Normally, the designer
must recognize the availability of “preferred value ranges” in the design process. The finite tolerances and
the availability of only discrete values of components impacts the design of programmable filters employing
directly switched components; the small deviations of the values of these components from ideal will cause the
frequency response of the realized filter, after it has been normalized to the intended cutoff frequency, to vary.
Controlling this variation usually leads to the requirement for closely matched and toleranced components.
In this respect, control techniques using MDACs, which are trimmed very accurately during the production
phase, can be very helpful.
Op-Amp Bandwidth and Predistortion. Predistortion is the term applied to the alteration of the
values used in a filter circuit so that the finite bandwidth effects of the amplifiers used are exactly compensated
for. If a programmable filter needs to cover a wide frequency range, it is quite possible that it will have to work in
regions where predistortion would not otherwise be an issue, as well as in regions where the “undistorted” values
would produce an incorrect response due to amplifier limitations. The presence of this problem presupposes that
it has not been possible within the design to find an amplifier whose performance is in all respects adequate,
and that some form of compensation will be required.
There are two approaches to a resolution of this problem. Firstly, it may be possible to set the adjustable
components or circuit elements (e.g., integrators) to values which are different from what would be expected
if the amplifiers were perfect, but in such a way that both the pole frequency and the pole quality factor are
achieved. This will require higher resolution from the controlled circuit elements than would be needed simply
to achieve the individual frequency steps required. For instance, a pair of simple 4-bit binary-switched resistor
arrays would normally be sufficient to provide a 15:1 frequency adjustment for say a Sallen & Key circuit or a
KHN Biquad at lower frequencies, but at high frequencies the 15 different values needed by each resistor (and
they will probably not be equal) might require at least 8-bit resolution, immediately doubling the complexity
of the control elements (19).
In fact, as mentioned in the section entitled “Parasitic Capacitance,” this increase in the complexity of
the control elements may itself inject another form of variability into the parameter setting process due to the
variation of parasitic capacitances which cannot be disregarded at higher frequencies, and which can combine
to make the pole frequency and pole quality factor an almost unpredictable function of the digital control
word, making automated functional calibration on a computer-controlled test fixture the only realistic way of
manufacturing these circuits (16).
18 PROGRAMMABLE FILTERS

However, the use of voltage-controlled circuit elements blends in well with this approach, since it is
usually quite easy to provide large numbers of static or slowly varying dc control signals from the central
control processor, often using a Pulse Width Modulation (PWM) technique which requires little in the way of
expensive, high-accuracy DAC components.
The other way of resolving the problem is to find a compensation technique for the circuit topology which
is orthogonal to the process for generating the theoretical component values. This involves additional (usually
passive) circuit elements which are added to the circuit so that, to at least a good approximation, the circuit
produces the intended response parameters when a particular set of component values, intended for use with
ideal amplifiers, are selected by the control circuitry.
By far the most important example of this technique is the use of phase-lead compensation in the two
popular biquads based on a pair of integrators: (a) the KHN biquad already discussed in detail, and (b) its close
cousin the Tow–Thomas biquad (20). In this technique a fixed capacitor is placed across one of the resistors
in the filter which is not adjusted when the filter is used in a programmable context (nearly always R3 in Fig.
13); the RC time constant is set to provide phase lead which cancels out the phase lag introduced by the three
amplifiers. This compensation is effective whatever the components used in the two integrators, and it is a
sound practical way to compensate programmable KHN filters. To a first approximation, the value of the time
constant for such a compensation capacitor used in a loop with three amplifiers, two of which are realizing a
standard integrator, is

where wa , the unity gain frequency of the amplifiers, is measured in rads/second. If we know the value of the
resistor, the capacitor value follows directly.
Parasitic Capacitance. Layout parasitics are generally constant and unrelated to the activities of the
control circuits in a programmable filter circuit. Such parasitics often affect the performance of an active filter
and should be taken into account in the design. Layout parasitics can be expanded to include the effects of
input capacitance of the amplifiers used; this is a significant source of error in many circuits and must also be
considered. Naturally, filter circuits containing only grounded capacitors are the easiest to make allowances
for (since the parasitic input capacitance of the amplifier is effectively connected to ground because ground and
the power supplies are, or should be, common at high frequencies).
However, a separate class of parasitics plague programmable filters and can be the limiting factor in their
successful operation at higher frequencies. All programming techniques which deploy an array of switches to
link components into the circuit—and this includes the MDAC structures discussed earlier—are affected by
the variation of the parasitic capacitance of the switch elements between the “off” and “on” states. In addition,
since various circuit branches are switched in and out by this process, static parasitics associated with these
branches are switched too, making the total amount of parasitic capacitance a complex function of the digital
code used to control the filter parameter.
The reason why this causes an unwelcome effect rather than just a smoothly varying degradation which
can be coped with in a similar way to the problem caused by op-amp bandwidth limiting relates to the way in
which a wide range of component value is implemented efficiently using some form of binary coding. As seen
earlier, this applies to both binary-weighted component networks and to MDACs.
Consider the integrator circuit of Fig. 20, in which a 4-bit binary controlled resistor network is being used
to control the time constant. The relevant parasitic capacitances are also included; C1 through C4 are the stray
capacitances across the resistor elements (note that these will in general not be related to the resistance value
in any simple way) while the switch terminal capacitances are shown as Ci and C0 (again, note that these
capacitances depend on the state of the switch). As shown previously, this circuit is capable of adjusting the
cutoff frequency of a filter in which this integrator, and others like it, are embedded, between F and 15F, where
PROGRAMMABLE FILTERS 19

Fig. 20. A resistively programmed inverting integrator showing the parasitic capacitances associated with typical 4-bit
control.

F will be set by all the other components in the filter but will be proportional to 1/RC. With switches in the solid
position, the cutoff is being set to 7F; the most significant bit is off and all the rest are on. With switches in
the dotted position, the cutoff is being set to 8F and the most significant bit is now on, with the rest off. In one
case, we have three “on” switches, together with their own parasitics and those of the connected sub-branches
(the resistors themselves), while in the other case, only one switch is on. In particular the effect of the stray
capacitance on the resistors causes a variable degradation of the performance of the integrator.
Since there is a very nonlinear relationship between a number and the number of “on” binary bits needed
for its representation, then if the parasitic elements in a circuit are significant, their effect will vary in a very
complex way as the filter cutoff frequency is programmed using a switched resistor technique. Solutions to this
problem generally involve ensuring that the ratio between the fixed capacitor used in the integrator and the
parasitic capacitances introduced by the switched components is as high as possible.
Component Nonlinearities and Imperfections. The effect of amplifier nonlinearity on filter perfor-
mance is common to both programmable and fixed response filters; however, the particular techniques used
to achieve programmability have their own influence on nonlinearity and also to a certain extent on the noise
developed by the circuit.
Circuits using electronic switches will be sensitive to the nonlinear behavior of the on resistance of the
switch used, as a function of signal voltage swing. Improving process technologies bring steady reductions in
this source of distortion, but clearly the best solution is to eliminate the signal voltage swing by some means.
The KHN biquad employing switched resistors (Fig. 14) achieves this by operating the “on” switches at a
virtual earth point, so that whatever the signal swings at the outputs of the amplifiers in the filter, there is no
modulation of the on resistance.
20 PROGRAMMABLE FILTERS

When analog switches are used to switch banks of capacitors into a filter circuit, the on resistance of the
switch will cause an error in the filter response which is difficult to compensate for. This resistance should be
kept as low as possible; if space and power consumption are not critical, small relays can be used instead of
silicon-based switches.
Even when used in series with an intentional resistor, the on resistance behavior of a silicon switch can
cause problems due to the high positive temperature coefficient of resistance which it displays. The effect of
this resistance temperature coefficient should be taken into account; it makes little sense to spend money on
ultrastable resistors only to throw it away with this source of error (17).
Testing. Filters of this complexity present a greater alignment and testing challenge than those with
a fixed shape and cutoff frequency, because the programmability must be confirmed for the whole range over
which it is offered. It may be that a single specification for the realized performance of the filter is applied
whatever the programmed parameter, or the deterioration of performance occurring toward the extremes of
the range of programmability may be recognized by a loosening of tolerances at these extremes.
Automated testing in which both the programmable filter under test and the test equipment employed
are sent through a sequence of settings is essential for testing to be both efficient and effective. The nature of
the tests employed can be thought of as providing a “test vector” which is quite analogous to that employed in
the testing of digital circuits. The test vector, or set of tests to be performed, must be constructed to ensure that
(1) the end user of the circuit can see clearly that specification-related tests have been performed and have
been passed and (2) any potential areas known to the designer where failure may occur have been carefully
explored.

BIBLIOGRAPHY

1. U. Kumar A decade range linearly tuneable versatile filter, IEEE Trans. Instrum. Meas., IM-30: 165–167, 1981.
2. E. Damm Spannungsgesteuerte Analogfilter, Elektronik, 10: 90–92, 1976.
3. A. M. Durham W. Redman-White J. B. Hughes Digitally-tuneable continuous time filters with high signal linearity,
IEE Colloquium Digital Analogue Filter Filtering Syst., 1991, pp. 9/1–9/4.
4. R. Schaumann Design of continuous-time fully integrated filters: A review, IEE Proc., 136 (4, Pt. G): 184–190, 1989.
5. S. Franco Use transconductance amplifiers, Electron. Des., 24 (19): 98–101, 1976.
6. Y. P. Tsividis J. O. Voorman (eds.) Integrated Continuous-Time Filters: Principles, Designs & Applications, New York:
IEEE Press, 1993.
7. K. Fukahori A bipolar voltage-controlled tuneable filter, IEEE J. Solid-State Circuits, SC-16: 729–737, 1981.
8. H. A. Wittlinger Applications of the CA3080 high performance operational transconductance amplifier, application note
ICAN6668, NJ: RCA, 1973.
9. G. K. Hebert F. Floru Digitally-controllable audio filters and equalizers, 13th Int. Conf. AES, Dallas, TX, 1994.
10. D. J. Sheingold Application Guide to CMOS Multiplying D/A Converters, Norwood, MA: Analog Devices, 1978, pp.
12–14.
11. J. M. Zurada Digitally tuneable active filter biquads, Proc. Southeastcon ’81, 1981, Huntsville, AL, pp. 364–368.
12. R. W. Harris H. T. Lee Digitally controlled, conductance tuneable active filters, IEEE J. Solid-State Circuits, SC-10:
182–185, 1975.
13. M. E. Van Valkenburg Analog Filter Design, Philadephia: Holt-Saunders, 1982.
14. G. S. Moschytz P. Horn Active Filter Design Handbook, New York: Wiley, 1981.
15. N. Fliege A new class of second-order RC-active filters with two operational amplifiers, Nachrichtentech. Z., 26 (6):
279–282,1973.
16. K. Goodman J. M. Zurada Frequency limitations of programmable active biquadratic filters, Proc. Southeastcon ’81,
1981, Huntsville, AL, pp. 369–373.
17. K. Castor-Perry Using resistor-set filters, application note 7, Kemo, Ltd.
18. UAF42 Universal active filter data sheet, Tucson, AZ: Burr-Brown.
PROGRAMMABLE FILTERS 21

19. K. Goodman J. M. Zurada A predistortion technique for RC-active biquadratic filters, Int. J. Circuit Theory Appl., 11:
289–302, 1983.
20. L. C. Thomas The biquad: part I—some practical design considerations, IEEE Trans. Circuit Theory, CT-18: 350–357,
1971.

READING LIST
D. Lancaster Active Filter Cookbook, Indianapolis, IN: Sams.
P. Horowitz W. Hill The Art of Electronics, 2nd ed., Cambridge, UK: Cambridge Univ. Press, 1989.
R. Schaumann M. A. Soderstrand K. R. Laker Modern Active Filter Design, New York: IEEE Press, 1981.
W. Heinlein H. Holmes Active Filters for Integrated Circuits, New York: Springer-Verlag, 1974.

KENDALL CASTOR-PERRY
Burr-Brown Corp.
J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering
Copyright c 1999 John Wiley & Sons, Inc.

PULSE-SHAPING CIRCUITS
Digital communications systems such as wireless, optical, and wireline telecommunication networks have
numerous well-known advantages over analog systems. These systems format binary data into discrete time
symbols, modulate the carrier using some form of digital modulation scheme (modulation in this simplified
context includes symbol modulation and up-conversion to a carrier frequency) to convert them to transmittable
continuous-time signals, and transmit them over a channel. At the receiver the received signal is demodulated
(demodulation includes both down-conversion and symbol demodulation), symbol timing is recovered, and the
received symbols are reformatted into a usable form. This process is shown in simplified form in Fig. 1.
The discrete time symbols of a digital communication system are of duration T and are sequential, that
is, they are orthogonal in time. To preserve the fixed time duration of symbols generated in the transmitter
would require infinite bandwidth in the signal processing of the communication system and the communication
channel. Finite bandwidth processing causes the symbols to spread in time. This causes the symbols to overlap
in time and induces intersymbol interference (ISI).
As will be described in the next section, even when finite bandwidth signal processing is used in the
communication system, the effects of ISI could be eliminated if ideal signal processing components (brick
wall filters as an example) could be implemented. This is of course not possible. Pulse shaping is used in the
implementation of digital communication systems to minimize ISI caused by nonideal channel characteristics
and nonideal component implementations.
Pulse shaping is performed in the transmit and receive filters of a communication system. The most
common class of filters used for pulse shaping are Nyquist filters (1,2,3). This article gives an overview of the
theoretical foundations of pulse-shaping and describes some practical implementations of pulse shaping filters.
The material in this article assumes that the communication channel can be modeled as a linear time-invariant
filter with additive white Gaussian noise (AWGN). For more detailed and in-depth coverage of pulse shaping
the reader is referred to Refs. 1 to 3.

Theoretical Background

Ideal data symbols are of fixed duration T. The spectrum for such a symbol is of the form

1
2 PULSE-SHAPING CIRCUITS

Fig. 1. Simplified block diagram of a digital communication system.

Fig. 2. General form of a pulse shape for an ideally band-limited symbol.

Thus such a symbol would require infinite bandwidth, which is of course impractical. Real communication
channels are of fixed bandwidth W. The ideal band-limited channel response (1) is

The transmit filter, which is designed to shape the transmit symbols to meet the power spectrum con-
straints of the channel, has an ideal frequency-domain response (1) of

The time-domain transmit filter response is therefore

This response is referred to as the pulse shape. hT (t) is the familiar sinc function, which has zero crossings
at integer multiples of Wt except at Wt = 0 where it has a value of 1. If we assume a symbol sequence Sn and
set T = π/W, then the pulse for each symbol is of the form shown in Fig. 2 for a single pulse and in Fig. 3 for
multiple pulses.
From Fig. 3 it is obvious that if symbols are sampled at times t = nT, where n is an integer, the effects of
ISI are mitigated. This is because the contribution to the composite waveform is zero for all pulses except for
the pulse corresponding to S 0 because they are all at a zero crossing point.
The problem is that to produce hT (t) = sin(Wt)/Wt requires an ideal brick wall filter, which is impossible
to implement. One could attempt to approximate the ideal filter but this would not be cost effective and would
PULSE-SHAPING CIRCUITS 3

Fig. 3. Multiple pulse shapes for an ideally band-limited symbol.

have other undesirable effects on the system such as making timing recovery difficult (1). To address these
problems, more practical pulse shapes have been developed.
The pulse shape at the input to the demodulator, hp (t), is the convolution of the transmit filter, the channel
response, and the receive filter, that is,

From our preceding discussions it is clear that to eliminate ISI, hp (t) should be forced to cross zero at
nonzero integer multiples of T. This can be written as

The composite input to the demodulator is

where N R (t) is the noise input at the demodulator, that is,

From Eq. (1) it can be seen that forcing the composite pulse to cross zero does not necessarily produce an
optimal solution because it ignores the noise contribution. Joint optimizations are possible but they are beyond
the scope of this discussion. The reader is referred to 1 for in-depth coverage of this subject matter.
Taking the Fourier transform of Eq. (6) and applying the Nyquist sampling theorem, we get

Equation (9) is referred to as the Nyquist criterion, and filter responses (pulses) that meet this criterion
are referred to as Nyquist filters (pulses).
These filters require more than the ideal bandwidth defined in Eq. (3). While simplifying the system
implementation, this additional bandwidth requirement costs additional spectrum and noise bandwidth at the
4 PULSE-SHAPING CIRCUITS

receiver. The additional bandwidth is referred to as excess bandwidth and is usually expressed as a percentage
of the ideal bandwidth, that is,

The actual pulse shape used in a particular application is highly dependent on the targeted channel
characteristics. The most commonly used filters satisfying the Nyquist criterion for pulse shaping are raised
cosine filters. For this reason raised cosine pulse shaping will be used in this article to describe implementations.
Raised cosine filters are of the form

where α controls the rate at which the energy rolls off. The smaller α is, the faster the roll off. Note that for
α = 0,

which is the ideal pulse shape for a band-limited channel.


In most actual implementations the raised cosine filter is partitioned with part of the response imple-
mented in the transmit filter and part implemented in the receive filter. The most common partitioning is to
implement each as the square root of the raised-cosine filter. This is commonly referred to as a root-raised-cosine
filter (1) and is given as
PULSE-SHAPING CIRCUITS 5

Fig. 4. Pulse-shaping process in a digital transmitter.

and

Implementation

In contemporary digital communication systems it is often not possible to achieve economically the required
performance from analog pulse-shaping filters. Shaping filters are therefore implemented using a hybrid
approach. The bulk of the shaping is done at baseband using finite impulse response (FIR) digital filters, which
implement a truncated version of the pulse shape.
On the transmit side of a communication system data symbols are passed to an interpolating digital filter
(4). The impulse response of the digital filter typically spans multiple symbol times and is the convolution of
the desired pulse-shaping response and precompensation for the roll-off frequency response of the subsequent
digital-to-analog converter (DAC) if the roll-off is great enough to affect system performance. The output of the
digital filter is passed to a DAC and a subsequent image reject filter for conversion to continuous-time analog
format. The output of the DAC in the spectral domain is the baseband spectrum and images of the baseband
spectrum occurring within bands, which are bounded by integer multiples of one-half of the sampling rate f s ,
all multiplied by a sinc function with nulls occurring at integer multiples of the sampling rate. In the case of
low-pass signals the images are present near integer multiples of the sampling rate. In the time-domain the
output waveform is “stair stepped.” The image reject filter removes all of the spectral images and passes the
baseband spectrum, thus “smoothing” or interpolating the time-domain waveform.
At the output of the image reject filter, the shaped symbol pulses are in continuous-time analog format.
They can then be up-converted to the desired radio frequency (RF) for transmission. This process is shown in
Fig. 4.
The operation at the receiver is the transpose of the transmit operation. The analog front end (AFE) of
the receiver translates the RF signal into a convertible pulse train. The pulse train is then converted to a
digital form via an analog-to-digital converter (ADC). The pulse train is filtered by a decimating FIR filter (4)
with a root-raised-cosine response to complete the raised-cosine pulse-shaping operation. The receive process
is shown in Fig. 5.
6 PULSE-SHAPING CIRCUITS

Fig. 5. Pulse-shaping process in a digital receiver.

Digital Pulse-Shaping Network. The actual design procedure for a pulse-shaping network is now
presented. A transmit-side root-raised-cosine pulse-shaping network is described. The receive-side network
will not be addressed since it is the network transpose of the transmit network.
Assume a digital data transmission system has a symbol period of T seconds, that is, every T seconds a
new b Q bit symbol is transmitted. In this description we assume bQ = 1 for simplicity of illustration, although
this is not necessary. Before transmission, it is necessary to shape each transmitted pulse. In this case a positive
pulse shape is transmitted for a bit value of one, and a negative pulse is transmitted for a bit value of zero. In
addition to considering the desired pulse type, it is necessary to determine the length of the pulse relative to
the symbol length and the resolution of the pulse or the number of bits used to compute the pulse values.
The interpolating FIR filter shown in Fig. 4 must be economically implementable and can therefore only
approximate a desired pulse shape, the root-raised cosine given by Eq. (14) in this case. The response is
approximated by first determining the desired filter length. The filter length N is the product of the symbol
interpolation factor L and the desired span M. The span is the number of symbol periods over which the shaping
filter will operate.
The interpolation factor is selected to minimize the sinc(x) distortion at the upper edge of the band
of interest caused by the DAC and to simplify the implementation of the image reject filter: the greatest
consideration is the latter. As was mentioned previously, sinc(x) distortion in the band of interest can be
compensated for by putting a precompensation function [1/sinc(x)] in the interpolating filter’s response. The
higher the interpolation rate, the more distance there is between the band of interest and the first image in
the spectral domain. The more distance there is, the simpler the image reject filter. Thus the interpolation
factor becomes a trade-off between the complexity of the digital interpolating filter and DAC speed, and the
complexity of the image reject filter. Typically, an interpolation factor of between 8 and 16 is a reasonable trade.
The span over which the impulse response is approximated depends on the amount of out-of-band energy
that can be tolerated. An ideal impulse response begins to approach zero after a number of time-domain side
lobes. However, the synthesized impulse is of finite duration. How closely the tails of the response approach
zero is dependent on the length of time the impulse is approximated. Out-of-band energy is reduced as the
span increases so the trade-off becomes filter order versus out-of-band energy due to time-domain side-lobe
truncation. Typical values of the span factor are 4 to 16. A typical root-raised-cosine pulse shaper would have
an interpolation factor of 8 coupled with a span of 8 to yield a total filter length of 64.
Filter Coefficient Calculation. There are a number of possible methods for calculating the coefficients
of the pulse-shaping filter. Two will be discussed here: the direct and optimal methods.
The Direct Method.. An FIR digital filter is of the form
PULSE-SHAPING CIRCUITS 7

The direct method samples the continuous-time impulse response at appropriate points in time to generate
the filter coefficients a. Under the assumption of proper sampling

where H D (z) is the desired frequency-domain pulse shape and N − 1 is the filter order.
As stated previously, the total number of coefficients, N, is related to the span and interpolation factors
by N = LM. Thus, continuing with the root-raised-cosine example one would simply calculate N evenly spaced
values using Eq. (14) to calculate the coefficients a n . Since hT (t) is an even function about t = 0, it is necessary
to shift hr (t) in time such that the sampled version of hT (t) [ denoted by h(n) ] is evenly symmetric around
samples (N − 2)/2 and N/2 to ensure a fully linear phase response (5). Since there are L samples per symbol
period T, h r (t) should be evaluated at integer multiples of T/L. This, along with the time-shift requirement,
dictates that the discrete-time values necessary to define h T (t) are given by

Substituting this into Eq. (14) gives the sampled version of h T (t),

This process is illustrated in Fig. 6 for a filter of length N equal to 64 and including 4 12 side lobes on either
side of the main lobe of the root-raised-cosine function. Figure 7 shows the corresponding frequency-domain
response of the pulse-shaping filter.
As is the case with any digital filter coefficient design method, once the floating-point coefficients are
calculated, they must be converted to fixed-point format if the target digital filter is to be implemented in fixed-
point arithmetic. Conversion of coefficients to fixed-point format can be as simple as truncation or rounding or
can require sophisticated optimization processes. Let

be the quantized coefficients. The next step in the coefficient design process is to calculate the frequency
response of the quantized filter coefficients and compare it with the desired frequency response to see if the
filter is within acceptable error bounds. The frequency response of an FIR filter is found by evaluating the
filter’sz transform on the unit circle. That is, the magnitude frequency response of the quantized coefficients is
8 PULSE-SHAPING CIRCUITS

Fig. 6. A discrete time sampled root raised cosine.

Fig. 7. Frequency-domain response of the sampled root-raised cosine shown in Fig. 6.

given as

H  (z) can be evaluated directly or by using a form of the DFT. If the filter’s frequency response does not meet
the required error bounds, then either the filter order N or the number of bits in the coefficient quantization
must be increased and the appropriate steps in the coefficient design process must be repeated.
Coefficient quantization is not as straightforward as data quantization. The quantization of data words
yields 6.02 dB of signal-to-noise ratio per bit. The number of bits required for coefficient quantization is a
function of filter order and the frequency-response shape. A good rule of thumb for a worst-case metric (6) for
PULSE-SHAPING CIRCUITS 9

the number of coefficient bits required is

so that

assuming no limiting roundoff error in the actual filter implementation. In most practical pulse-shaping filter
implementations, the coefficient word length should be closer to the lower bound.
The floating-point coefficients (a) for the case M = L = 8 are shown in matrix form. Each column represents
samples in adjacent symbol periods. Since the impulse response spans eight symbol periods, there are eight
corresponding columns.

In converting the floating-point coefficients to fixed-point coefficients, maximum arithmetic efficiency can
be obtained by scaling the fixed-point coefficients so that the maximum possible filter output is at the overflow
threshold. Worst-case peak signals would occur for data patterns in which the maxima and minima of the
impulse response overlap, or add (in the case of a negative pulse, the absolute value of the minima adds to the
composite pulse). It turns out for the example here that an efficient peak value for the impulse response to
take is 194 for a 9-bit integer two’s complement representation (maximum possible positive value of 255).
10 PULSE-SHAPING CIRCUITS

Fig. 8. Illustration of the conceptual interpolation process.

Scaling the matrix of the floating-point values to a peak value of 194 and rounding each coefficient to the
nearest integer results in the coefficient set shown here, which completes the synthesis of the pulse coefficients.

The Optimal Method.. The optimal method requires an optimizing filter design program such as the
Parks–McClellan program (5,7) but guarantees an optimum solution. To design the pulse-shaping filter coeffi-
cients using the optimal method the EFF subroutine (EFF is the actual name of the subroutine in the published
program) in the Parks–McClellan computer design program must be modified by inserting a floating-point,
discrete-frequency version of the desired frequency- domain pulse shape. The program is then executed with
a desired filter order and the same iterative process of evaluating the frequency response of the quantized
coefficient set as described previously for the direct method is followed until a set of coefficients is generated
that is within the desired error bound. This method yields much more reliable results than the direct method.
Even more sophisticated filter programs exist that optimize quantized coefficients. These programs allow
designers to optimize the efficiency of a filter implementation.
Filter Hardware Implementation. The actual hardware realization of a pulse-shaping filter is highly
dependent on symbol rates, filter order, process, etc. Assuming symbol rates that allow implementations in
state-of-the-art semiconductor processes, the filter would be implemented as some variation of a polyphase
architecture (4).
Interpolation is the process of increasing the sampling rate while preserving the signal’s spectral content.
The conceptual first step in interpolation is to insert L − 1 zero-valued samples between each valid input
sample, expanding the sampling rate by L. This causes the original signal spectrum to be repeated L − 1 times.
This process is referred to as sample rate expansion. To complete the interpolation, the zero-valued input
samples are converted to spectrally accurate approximations of the signal. This is equivalent to preserving the
original signal spectrum. Thus, and again conceptually, the zero-stuffed input stream is filtered by a low-pass
filter with a passband at the original spectral location and a passband gain of L. This filters out all of the
repeated spectra. This conceptual process is shown in Fig. 8.
In real implementations it would be a waste of storage and computational resources to store and multiply
zero-valued data samples. For this reason, polyphase structures are used. A polyphase interpolator configures
PULSE-SHAPING CIRCUITS 11

Fig. 9. Polyphase implementation of an FIR interpolation filter.

the standard N-tap filter structure into k phases of an (N − 1)-element delay line. For example, an eighth-order
(N = 9) FIR filter with k = 3 phases has three sets of three coefficients. The coefficients for the eighth-order
filter are a0 , a1 , . . ., a8 . The first polyphase taps the eight element delay line at the input to the filter (a0 ), after
the third delay (a3 ), and after the sixth delay (a6 ). The second phase taps a1 , a4 , and a7 . The third phase taps
a2 , a 5 , and a8 . However, since all but every third element in the delay line would contain a zero-valued data
sample, the delay line can be collapsed to a two-element delay line (N/k − 1 elements) with all phases using the
same samples. The output of the interpolator is the commutated output of the three phases. Figure 9 illustrates
the polyphase implementation of the nine-tap interpolator. Reference 4 details the efficient implementation of
interpolation and decimation filters.
An interpolation filter can be implemented using standard multiply and accumulate elements, or in some
cases it is most efficient to use table look up based bit-slice filter methods (8). The trade-off is dependent on
12 PULSE-SHAPING CIRCUITS

the number of bits required for the representation of a symbol. For low-bit count, the bit-slice implementation
is usually more efficient. As bit count increases, table sizes become unmanageable.

DAC

The DAC has the task of generating an analog output based on the digital input supplied by the FIR filter.
The DAC must be linear to ensure no unwanted spurious energy is generated. Several implementations are
possible depending on the DAC resolution required. For relatively modest resolutions of 4 to 8 bits, simple
binary weighted current or charge-based approaches are possible. For higher resolutions, it may be desirable
to use segmented approaches where each least significant bit (LSB) step has a separate current- or charge-
based generator. For example, a 5-bit segmented current-steered DAC would have 32 separate current sources
feeding a common resistor to generate the desired output voltage. Sources are turned on or off depending on
the value of the control word. This is opposed to a binary approach in which only five current sources are used
scaled in binary fashion. For higher-bit resolutions, the binary approach can suffer from linearity errors due to
mismatches at major carries. The advantage of a binary approach is smaller implementation area. For DACs
of 9-bit resolution or higher, a combination of binary [for the most significant bits (MSBs)] and segmented (for
the LSBs) is frequently used.
Standard DACs offer no image suppression, even if the DAC runs at a rate greater than the digital
word update rate. Image suppression could be improved if the DAC could interpolate between successive word
updates. Such a converter can be demonstrated, following up on the example begun for the pulse-shaping
network. Suppose specifications require that all spurious energy be at least 40 dB down with respect to the
baseband level. This requires that all spectral images of the FIR filter be attenuated sufficiently to achieve this.
Further suppose that the symbol rate is 128 kbaud. The update rate f s of the digital filter is 1.024 MHz (due
to the 1:8 interpolation factor from the preceding example), and hence the first image replica will appear there.
If the DAC were updated at the 1.024 MHz rate, then the amount of attenuation of the image due to roll off
is only 17 dB. Consider an interpolating DAC operating at five times the digital update rate (f s1 = 5.12 MHz).
The block diagram of an architecture suitable for monolithic integration accompanied by illustrative spectral
plots is shown in Fig. 10. In this architecture, it is shown that interpolation can provide 20 dB of additional
attenuation.
A simplified single-ended schematic of an interpolating DAC is shown in Fig. 11 (9). φ and φ2 are nonover-
lapping clocks operating at the interpolation frequency (5.12 MHz in the preceding example). The operational
amplifiers are conventional fully differential folded cascade topologies. The input voltage reference is selected
based on specific channel requirements and typically ranges from 250 mV to 1 V. It is usually supplied by an
on-chip band-gap generator. The configuration is a cascaded charge redistribution DAC with the first stage
an offset insensitive programmable amplifier to realize the four least significant bits (10). Gain is determined
by the sum of the binary weighted capacitors at the input, dependent on the LSB word. The second stage
is a modified second-order switched-capacitor biquad (11). This stage has programmable gain to realize the
four most significant bits of the DAC and acts as the summing point for the LSB portion. A straightforward
charge-transfer analysis yields the low- frequency output level as a function of the reference voltage V ref and
critical circuit capacitor values
PULSE-SHAPING CIRCUITS 13

Fig. 10. Digital-to-analog converter top-level block diagram.

Here, b0 , b 1 , . . ., b 7 represent the digital data (possible values of 0 or 1) with b 7 as the least significant
bit. Since the DAC is fully differential, the sign bit is easily incorporated by cross-coupling the input connection
to V ref . Interpolation is accomplished by the fact that the final stage is really a switched-capacitor filter. dc
and ac analysis is simplified by replacing the DAC capacitor array structure with an equivalent single-ended
switched-capacitor network as shown in Fig. 12. Including the input offset voltages Voff2 , Voff3 for the operational
amplifiers, the defining charge equations for this network are given by

where V o2 and V o3 are outputs of amplifiers 2 and 3, respectively.


14 PULSE-SHAPING CIRCUITS

Fig. 11. Simplified single-ended DAC schematic.

Fig. 12. Interpolating filter.

Under steady-state conditions n→ ∞. With V in (n) = V in (n−1) = 0, then

where V o2 and V o3 are the dc offset voltage at the outputs of amplifiers 2 and 3, respectively. Under these
conditions, solving Eqs. (27) and (28) yield the output offset voltages for the DAC,
PULSE-SHAPING CIRCUITS 15

where Eq. (31) is valid during high φ1 . It is interesting to note that although the DAC output is a fully held
signal over the 5.12 MHz clock period, it has no operational-amplifier-induced dc offset. This is simply due to
the fact that output offset at this point is due solely to amplifier 2, which has been nulled out.
Ignoring offset, Eqs. (27) and (28) may be transformed to the z domain, where the following transfer
function may be derived:

This is the form of a second-order low-pass filter. Interpolation is accomplished by designing the filter such that
little passband loss occurs at the digital filter’s 3 dB point of 64 kHz. Setting specifications for the interpolating
filter at no more than 0.3 dB loss at 100 kHz; then with a sampling frequency of 5.12 MHz, this leads to a
desired transfer function of

Coefficient matching with Eq. (32) yields the necessary capacitor values. With 17 dB of loss due to the S/H effect
and the loss at 1.024 MHz due to Eq. (33), the image at the DAC output is 37 dB lower. Hence, an additional
20 dB of image rejection is achieved with the use of interpolation techniques.
Image Filter. There are several techniques that are used to realize continuous-time monolithic filters.
Standard active filter techniques utilizing resistors and capacitors are the simplest approach but pole frequen-
cies cannot be accurately placed due to typical process variations. If the image response is located very far away
from the baseband spectrum, then accurate pole placement may not be necessary. In that case, the filter is
simply designed such that the worst-case RC product leading to a high cutoff frequency is still sufficient to meet
stopband energy requirements. Frequently, only first-order filters are required, but if the passive variation is
significant enough, higher-order filters may be necessary to provide sufficient attenuation. If a process has
very linear components, such as thin-film resistors and double polysilicon or metal capacitors, it is possible to
realize wide dynamic range filters with excellent linearity. Dynamic ranges over 93 dB are possible if linearity
is a concern. If only diffused resistors or depletion capacitors are available, then the corresponding voltage
dependence of the passive devices reduces linearity considerably. In that case, interpolating DAC techniques
may be desirable such that only a simple external RC filter is necessary for image filtering. Of course linearity
requirements must be considered to make a final decision.
Another technique that may be used includes MOSFET-C (metal oxide semiconductor field-effect tran-
sistor capacitance) filters (12), where MOSFET transistors operated in the linear region are used to replace
resistors in active filters. Using special linearization techniques, MOSFET-C filters can achieve reasonable
linearity (50 dB to 60 dB) and no special resistor material is required. However, MOSFET-C filters are limited
in the frequency range that they can operate due to operational amplifier limitations and distributed parasitics
(13). They also require the use of tuning networks to ensure that the MOSFET devices stay in the linear region
and close to the resistor values necessary to achieve the necessary time constants.
Finally, transconductance-C (g m –C) networks can be used as image filters (14). g m –C filters have the
advantage of being able to achieve very high cutoff frequencies, typically in the 10 MHz to 100 MHz area.
These may be useful in cases where data rate is very high and image frequencies may be close to baseband.
16 PULSE-SHAPING CIRCUITS

Linearization techniques can achieve dynamic ranges in the area of 50 dB to 65 dB. g m –C filters have pole
frequencies proportional to g m /C, so stable transconductance networks are required to achieve stable pole
locations. Usually, this implies some sort of tuning network to accurately set gm for the desired cutoff.

Summary

In this article an overview of the motivation, theory, and implementation of pulse shaping in digital commu-
nication systems has been presented. Digital communication systems transmit digital data as discrete-time
symbols modulating a continuous-time waveform. Because actual communication channels are band limited,
symbol times can overlap, inducing intersymbol interference. Pulse shaping can reduce or eliminate intersym-
bol interference if it is closely matched to the characteristics of the channel.
A theoretical background for pulse shaping was established based on a channel that can be modeled as
a linear time-invariant filter with additive white Gaussian noise. It was shown that for this type of channel a
class of filters known as Nyquist filters are the most commonly used for pulse shaping and the most popular
filter in this class is the raised cosine filter. Since the implementation of pulse shapers are commonly distributed
between the transmit and receive sides of a communication system, an example of the implementation of a
transmit-side square-root-raised-cosine filter was presented.
The square-root-raised-cosine filter implementation presented was a hybrid analog and digital implemen-
tation, taking advantage of the strengths of both technologies.

BIBLIOGRAPHY

1. E. A. Lee D. G. Messerschmitt, Digital Communication, 2nd ed., Norwell, MA: Kluwer Academic, 1994.
2. B. Sklar, Digital Communications, Fundamentals and Applications, Englewood Cliffs, NJ: Prentice-Hall, 1988.
3. R. E. Ziemer R. L. Peterson Digital Communications and Spread Spectrum Systems, New York: Macmillan, 1985.
4. R. E. Crochiere L. R. Rabiner, Multirate Digital Signal Processing, Englewood Cliffs, NJ: Prentice-Hall, 1983.
5. L. R. Rabiner B. Gold, Theory and Application of Digital Signal Processing, Englewood Cliffs, NJ: Prentice-Hall, 1975.
6. G. A. Mian A. P. Nainer, On the performance of optimum linear phase low-pass FIR digital filters under impulse
response coefficient quantization, IEEE Trans. Acoust. Speech Signal Process. ASSP-29: 925–932, 1981.
7. T. W. Parks McClellan, A program for the design of linear phase finite impulse response digital filters, IEEE Trans.
Audio Electroacoust., AU-20: 195–199, 1972.
8. F. J. Taylor, Digital Filter Design Handbook, New York: Dekker, 1983.
9. B. A. Myers et al., A frequency agile monolithic QPSK modulator with spectral filtering and 75  differential line
driver, IEEE J. Solid State Circuits, 33: 1394–1405, 1998.
10. R. Gregorian G. Temes, Analog MOS Integrated Circuits for Signal Processing, New York: Wiley, 1986, pp. 414–416.
11. R. Gregorian, Switched capacitor filter design using cascaded sections, IEEE Trans. Circuits Syst., CAS-27: 515–521,
1980.
12. Y. Tsividis, M. Banu, J. Khoury, Continuous-time MOSFET-C filters in VLSI, IEEE J. Solid State Circuits, SC21:
15–30, 1986.
13. J. Khoury Y. Tsividis, Analysis and compensation of high frequency effects in integrated MOSFET-C continuous-time
filters, IEEE Trans. Circuits Syst., CAS-34: 862–875, 1987.
14. K. Laker W. Sansen, Design of Analog Integrated Circuits and Systems, New York: McGraw-Hill, 1994, pp. 652–657.

BRENT A. MYERS
DAVID B. CHESTER
Intersil Corporation
220 RAMP GENERATOR

RAMP GENERATOR C

Vref
Ramp generators are found in many circuit applications – R
where a linear change of some voltage is required. For exam- –
ple, ramp voltages are used to generate the x and y scan volt- +
R1 +
ages in a CRT or in electronic motor controllers. A voltage
VS Vo
that rises or falls linearly in time can be generated very sim-
ply, by charging a capacitor at a constant rate over a period
of time. When a capacitor is charged from a simple voltage R2
source Vo, via a series resistor R, the voltage on the capacitor
rises according to the well-known exponential relationship
VC ⫽ Vo(1 ⫺ e⫺t/RC). This voltage is not a linear ramp, because Vo
the charging current is not constant. To render the ramp volt-
age linear, a constant current source must be substituted for
the resistor-voltage source combination, to provide the de-
VM
sired linearity. Now all that is required is a system of control-
ling the pattern and rate of capacitor charge and discharge,
to determine whether the circuit is a triangle-wave or saw- t
tooth-wave generator. T1 T2

Figure 2. Triangle-wave generator using an integrator and showing


GENERATING A RAMP VOLTAGE
the relationship between T1 and T2.

The next sections contain some examples of how practical cir-


cuits might be constructed using comparators, discrete com-
ponents and a 555 timer. The ramp period in all of these cir- circuit works by feeding back the output voltage Vo via the
cuits is effectively calculated from the magnitude of the resistor R to provide a current that charges and discharges
voltage swing, the magnitude of charging current, and the the capacitor C in an oscillatory manner. Whenever the out-
value of timing the capacitor, although, in those circuits, em- put changes state, the positive input to the comparator snaps
ploying integrators it is convenient to use the expression for to a new value, above or below earth potential, providing the
the integrator output in the derivation of output period. regenerative action. The changing VC causes the differential
input to become less and eventually to change sign, at which
Non-linear Ramp point the output changes state again. If one looks at the ca-
pacitor voltage, VC, also shown in Fig. 1, one can see that this
Consider the square wave generator circuit based on a regen-
is an approximation to a triangle wave, but is not linear as
erative comparator (Schmitt Trigger) shown in Fig. 1. This
explained above.
An operational amplifier integrator circuit can be used to
R ensure that the capacitor charging current is constant and
Vc Vo the linear ramp output of the circuit then only need be fed
+VA back to the comparator input to produce a circuit that is si-

multaneously a square wave and triangle-wave generator.
+ This approach requires just one further change to the circuit.
–VA R1 Since an integrator is an inverting circuit, such feedback will
C produce a ramp voltage on the comparator that is in anti-
phase with that required to make the two inputs converge,
R2 and can be corrected by connecting an inverting buffer be-
tween the integrator and the capacitor.

Triangle-Wave Generator
Vc
A slightly different approach has been taken in the circuit
βVA
shown in Fig. 2. The square-wave output of the comparator is
t applied to an integrator as described, but the integrator out-
–βVA put is then fed back to the noninverting input of the compara-
tor rather than the inverting input, thus eliminating the need
for an additional inverting buffer (1,2). The frequency of the
Vo
output, f o, for this circuit is (2):
VA  
t R1 VS2
fo = 1− 2 (1)
—VA 4R2 RC VA

Figure 1. Square-wave generator showing the presence of a nonlin- The median point of the output waveform is set according to
ear ramp voltage on the timing capacitor. Eq. (2) and the ratio of ramp-up and ramp-down periods,

J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
RAMP GENERATOR 221

T1 : T2, is set by Eq. (3), where the comparator output switches VCC
between ⫾VA (2).
R + R2
 RC1 RC2 RC3
1
VM = Vref (2) R1
R1
Q1
T1 V + VS
= A (3) R2 Q2 Q3
T2 VA − VS

Even though the T1 : T2 ratio can be varied widely, this circuit RBB
Q5 REE
is not such a good choice if a sawtooth waveform is required,
since either T1 or T2 is then required to be zero. If a single Vo
ramp is required rather than the repetitive output provided C
–VEE VBB
by this circuit, it can be easily converted to monostable opera- RE5 Q4
tion (1).
This circuit has a useful operating frequency range up to
about 40 kHz, with the limit set by the comparator output
Figure 4. Sawtooth generator constructed from discrete components
slew rate. A general purpose operational amplifier can easily
which also makes use of a (single transistor) current source.
be used here, but for higher frequency operation a high slew
rate device, such as an LM6365, can be used to extend the
maximum frequency (depending on the voltage swing re-
quired). However, it should be noted that bipolar operational can be omitted and replaced by a short circuit, so that C can
amplifiers do not generally provide full rail to rail output volt- be charged or discharged using a very short time constant
age swing, which can lead to drift in the Schmitt Trigger circuit. The current sources themselves could be either simple
thresholds, so for general use, an FET output operational current regulator connected BJTs or FETs, or a more complex
amplifier which does give full rail to rail voltage swing is a current regulator device, depending on the accuracy and sta-
better choice. bility required. Once again, the maximum useful operating
frequency is set by the comparator output slew rate, but may
Current Source-Controlled Circuit be pushed beyond the normal operating range of the compara-
The direct approach, shown in the circuit of Fig. 3, uses one tor if great accuracy is not required (and also no use is being
current source to charge the timing capacitor and a second to made of the square-wave output). Note that if the output is
discharge it, so that the rising and falling slopes are set by driving a low impedance load, a buffer circuit will be required
the ratio of the current sources. The charge and discharge of to prevent load current from affecting the charge and dis-
the capacitor is once again controlled by a regenerative com- charge rates of C.
parator, this time connected to a complementary pair of
switches. When the comparator output is high, S1 is closed, Sawtooth Circuit Using Discrete Devices
allowing C to be charged by I1, while S2 is open. When the
differential input to the comparator changes sign, its output It is usually simpler to use operational amplifier-based cir-
changes state and S2 is closed, while S1 is open, and C can be cuits, where the specifications allow, but their slew rate limit
discharged via I2, until the comparator differential input can be overcome by using discrete components, and one im-
changes sign again. This circuit can be easily used for saw- plementation of a sawtooth generator is shown in Fig. 4. The
tooth generation, since one or the other of the current sources p-n-p Q1 is configured as a current source, but a more accu-
rate current regulator circuit could be substituted, if required.
The collector current from Q1 charges the timing capacitor,
C, with the capacitor voltage used as the input to the differen-
S2 S1 tial pair Q2 and Q3 connected as a Schmitt Trigger. Initially,
let Q2 be off and Q3 be on. When the voltage on the base of
+VS Q2 rises above that on the base of Q3, the circuit switches
regeneratively, so that its output at the collector of Q3 goes
Vo I1 high. This output, in turn, switches on Q4 and discharges C
– turning Q2 off and Q3 on again.
+ This configuration has one disadvantage, in that Q4 must
I2 R1 conduct both the discharge current from C and the charging
C current from Q1. Q4 must accommodate both of these currents,
and the discharge of C is thus slowed. A useful modification
R2 is to use the Schmitt Trigger output from the collector of Q3,
to set the base voltage on Q1, so that it switches on and off in
antiphase with Q4, but output levels of the Schmitt Trigger
–VS
must be compatible with the bias requirements of Q1.
Figure 3. Ramp voltage generator using current source switching for The input switching levels for the Schmitt Trigger can be
triangle or sawtooth output, showing the action of S1 and S2 to charge varied by changing VBB, allowing the circuit to be used as a
and discharge C. voltage to frequency converter if desired, since the time taken
222 RAMP GENERATOR

– C
+ Q1 Q2
R1 R
V3
+Vi –
–Vi Vo
+
R2
R3

+
Figure 5. Voltage-controlled circuit for triangle-wave R3
generation illustrating the use of a CMOS inverter to Vi
integrate the correct polarity of the input voltage Vi.

for the capacitor voltage to ramp to the switching point will tooth circuit, which makes use of the THRESHOLD (TH) and
vary. Q5 is simply an emitter follower to buffer the load. TRIGGER (TR) inputs and the DISCHARGE (DIS) terminal
(3). The capacitor C is charged via the p-n-p transistor work-
VOLTAGE-CONTROLLED ARCHITECTURES ing as a current source. When the voltage on the capacitor is
high enough to activate the TH input, C is discharged quickly
Some of the circuits can be modified to operate in voltage- through the DIS pin (achieved by turning on an internal dis-
charge transistor). When the voltage on C has fallen to the
controlled mode, an example of which is shown in Fig. 5, and
value that activates the TR input, the discharge transistor is
is based on the triangle-wave generator from Fig. 2. Here the
turned off and the cycle begins again. The voltage on the
comparator output controls two MOS transistors, Q1 and Q2,
capacitor is therefore a sawtooth wave, which varies between
acting as a CMOS inverter, effectively selecting either the
1/3 VCC and 2/3 VCC (in a 555 the TH input is activated for a
voltage on the n-channel source or the voltage on the p-chan-
voltage greater than 2/3 VCC and the TR input is activated for
nel source. The input control voltage, Vi, is applied to the p-
a voltage less than 1/3 VCC). A buffer is required as before to
channel source and its inverse is applied to the n-channel avoid loading the capacitor. The frequency of operation can
source, via the unity gain inverting amplifier. Thus the inte- be calculated from the values of VCC, C, and the current
grator is integrating ⫾Vi rather than the comparator output, source.
so that the slope rate of the integrator output now depends
upon Vi. Since the voltage required at the input of the com-
parator to cause it to switch is constant, the effect is that
output frequency, f o, depends on Vi and, where the compara- Vref
tor output switches between ⫾VA, is given by (2):
Voltage controlled
=
V 1 + R1 /R2 Rt current source (LM)
fo = i · (4)
VA 4RC
LM1
Note that the presence of the CMOS inverter circuit, in effect,
provides the additional inverter missing from the original tri-
angle-wave generator (as discussed above) and the feedback A
connection is brought to the inverting input of the comparator B
this time. The operation is once again limited by amplifier S1
slew rate and the frequency varies linearly over a reasonably
large range. Care must be taken, however, to ensure that Vi C

does not become too large; otherwise, the amplifier inverting
D
Vi will saturate and the circuit will not function correctly. +
Figure 6 shows a further approach, where the circuit is E Ct
S2
an extension of the current source-controlled circuit. Voltage-
controlled switches are switched between their open and F R1
closed states by the operational amplifier output. The capaci-
tor charge and discharge current is set by the voltage-con- R2
trolled current sources whose control voltage is determined LM2
by the input voltage Vi.
–Vref
USING THE 555 Input Vi Output Vo
Figure 6. Voltage-controlled circuit providing variable frequency tri-
555 integrated circuit components are used in many timing angle or sawtooth output using voltage-controlled current sources
circuits, as well as in ramp generators. Figure 7 shows a saw- switched to charge and discharge C.
RANGE IMAGES 223

+15 V (VCC) drops below VCC. This would then leave insufficient voltage
across the current sources, to allow for conduction (about 1 V
R3 for the current regulator and a further 0.7 V for the gate-
4 8 drain diode). The CMOS 555, however, produces a full-range
R1
R VCC output swing.

6 3
R2 TH 555 BIBLIOGRAPHY
2
TR
7
DIS 1. J. Millman and C. C. Halkias, Integrated Electronics: Analog and
1 Digital Circuits and Systems, New York: McGraw-Hill, 1972.
= 50 µA
2. P. M. Chirlian, Analysis and Design of Integrated Electronic Cir-
+10 cuits, 2nd ed., New York: Wiley, 1987.
Vc
+ 3. P. Horowitz and W. Hill, The Art of Electronics, 2nd ed., Cam-
+5
bridge: Cambridge University Press, 1989.
C – Sawtooth
L. I. HAWORTH
A. F. MURRAY
Figure 7. 555 timer circuit for sawtooth-wave generation using a University of Edinburgh
transistor current source to charge C and the DIS terminal to dis-
charge C.

RANDOM ACCESS MEMORIES. See SRAM CHIPS.


If a triangle wave is required, the circuit of Fig. 8 can be RANDOMNESS. See PROBABILITY.
used (3), which operates in a similar way to the sawtooth cir-
cuit. It does not make use of the DIS pin, but does require a
bidirectional current source, which can be implemented as
two current-regulator-connected JFETs in series (e.g.,
1N5287, providing about 33 mA). Current flow is bidirec-
tional, because one JFET will regulate the current, while the
other behaves like a forward-biased diode, due to gate-drain
conduction, and the square wave output of the 555 is used to
drive the current sources. When the 555 output is at VCC the
capacitor charges up to 2/3 VCC, whereupon the output
switches to 0 V and the capacitor discharges to 1/3 VCC, caus-
ing the output to switch back to VCC again. Once again, the
output varies between 1/3 VCC and 2/3 VCC, and an output
buffer is required. Finally, if this circuit is used with a 5 V
power supply it is essential to use a CMOS 555 variant, be-
cause bipolar 555s typically have a high output, two-diode

+15 V (VCC)

4 8
R VCC

6 3
TH 555
2
TR

+10
Vc
+
+5
C – Triangle out

Figure 8. 555 timer circuit for triangle-wave generation which uses


two current-regulator-connected JFETs to provide charge and dis-
charge current for C.
302 RECTIFYING CIRCUITS

RECTIFYING CIRCUITS

Almost all electronic circuits need to utilize direct current (dc)


sources of power for operation. Hand-held and portable elec-
tronic equipment use replenishable or rechargeable batteries
as their main source of power. Normally, a power supply pro-
vides energy for all other electronic equipment. A power sup-
ply is composed of electronic circuitry that essentially con-
verts alternating current to direct current. Electronic circuits
designed to accomplish this conversion are known as rectify-
ing circuits (see Table 1). They are classified into three ma-
jor categories:

Half-wave rectifier (HWR)


Full-wave rectifier (FWR)
Bridge rectifier

J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
RECTIFYING CIRCUITS 303

Table 1. Comparison of the Three Rectifier Circuits the valleys of the pulsating dc signal output of the rectifier
Half-Wave Full-Wave Bridge and strives to accomplish a ‘‘smooth’’ dc output. The voltage
regulator tries to maintain a constant output voltage regard-
Number of diodes One Two Four less of the fluctuations in the input ac signal and the output
Peak line voltage Vm Vma Vm
dc load current consumption. Main equations pertaining to
rms line voltage V m / 兹2 Vm / 兹 2 Vm / 兹 2
Peak inverse voltage Vm 2Vm Vm
the three rectifier circuits can be found in the Appendix 1, 2,
dc output voltage 0.318Vm 0.636Vm 0.636Vm and 3.
Ratio of rectification 0.406 0.812 0.812
Transformer utilization factor 0.287 0.693b 0.812
HALF-WAVE RECTIFIER CIRCUIT
Ripple frequency f 2f 2f
Ripple factor 1.21 0.482 0.482
The basic action of a simple half-wave rectifier circuit with a
a
One-half the secondary coil voltage. resistive load can be examined using a circuit shown in Fig.
b
Average of primary and secondary coils.
3(a). A semiconductor diode, a load resistor, and the second-
ary coil of a transformer are connected in series. The primary
coil of the transformer receives the ac input supply. Let the
At the present time, the silicon diode is the most commonly output voltage of the transformer secondary coil be repre-
used electronic device for rectification purposes. Semiconduc- sented as vi ⫽ Vm sin 웆t where vi is the instantaneous value
tor diodes have replaced previously used devices such as vac- of the voltage and Vm is the peak value. Furthermore, let us
uum tube diodes and dry-disk or metallic rectifiers. Figures assume that the forward voltage drop of the diode is very
1(a) and 1(b) show the constructional features of four types of small (0.7 V for silicon and 0.3 V for germanium) compared
rectifiers that can be used to accomplish the task of con- to the input signal. We find the relation i ⫽ id ⫽ iR, where i is
verting alternating current (ac) to dc. The principle of rectifi- the current in the transformer secondary coil closed circuit,
cation is to permit current flow in one direction only. The di- id is the diode current, and iR is the current in the resistance
ode is capable of accomplishing this task because it conducts R. The diode offers a resistance Rf while conducting in the
only when it is forward biased. This means that the anode or ‘‘on’’ state and offers infinite resistance while not conducting
the positive electrode of the diode receives positive voltage. in the ‘‘off ’’ state. Therefore, mathematically speaking, the
When the cathode or the negative electrode is connected to the half-wave rectifier obeys the following equations:
positive supply terminal, the diode is reverse biased. Thereby 
id = iR = Im sin ωt if 0 ≤ ωt ≤ π
the diode can be used in an appropriate circuit, called a recti- i=
fier circuit, to convert an alternating waveform to a unidirec- 0 if π ≤ ωt ≤ 2π
tional waveform. While discussing rectifier circuits through-
out this article a sinusoidal waveform is considered as input, where Im ⫽ Vm /(Rf ⫹ R).
for convenience of mathematical treatment. Figures 3(b) and 3(c) represent the input and output wave-
A rectifying circuit is normally used in conjunction with a forms. It is observed that the output load resistance experi-
transformer, filter, and a voltage regulator. Figure 2 shows a ences only one half cycle for every one full cycle of input wave-
block diagram representation of a power supply. The trans- form. The average value of one full cycle of the input
former reduces or increases the amplitude of the ac voltage so waveform can easily be computed to be equal to zero. Simi-
that appropriate dc magnitude is obtained after rectification larly, the average value of the output dc current can be calcu-
filteration and regulation. In addition, the transformer pro- lated by considering one full cycle of the output waveform. It
vides electrical isolation that may be necessary. The rectifier needs to be recognized that the output waveform is only one
circuit converts the sinusoidal output of the transformer to a half cycle of the sine wave input. This is because the negative
unidirectional pulsating dc signal. The filter circuit ‘‘fills in’’ half of the input waveform is not admitted to pass through

Cathode end

Metal

Cathode end Cathode end Cathode end


Barrier layer
Copper Bismuth-cadmium alloy Magnesium

Barrier layer Barrier layer Barrier layer p–n junction

Cuprous oxide Selenium Cupric sulfide


Metal
Lead Aluminum Copper

Anode end Anode end Anode end Anode end


(a) (b)
Figure 1. Salient features of (a) metallic rectifiers and (b) a semiconductor diode (both not to
scale).
304 RECTIFYING CIRCUITS

Ac input Dc output
supply Transformer Rectifier Filter Regulator to load
Figure 2. Block diagram showing compo-
nents of power supply.

the diode. When not conducting, the diode has to withstand Similarly the dc voltage across the diode can be calculated
this peak inverse voltage (PIV) offered by the negative half mathematically. However, a simpler way is to apply Kirch-
cycle. In this case, the magnitude of PIV [also called peak hoffs voltage law. Since the sum of dc voltages should total
reverse voltage (PRV)] equals Vm. The output waveform ex- zero, it is easily observed that the dc voltage across the diode
tends to 2앟 radians; however, only one half of the sine wave Vdiode ⫽ ⫺ImR/앟 and the rms value of the current Irms ⫽ Im /2.
from 0 to 앟 is available across the load resistor. Expressed
mathematically, this means
FULL-WAVE RECTIFIER CIRCUIT
 π
Idc = (1/2π ) Im sin ωt dt = Im /π The full-wave rectifier circuit can be visualized as comprising
0
two half-wave rectifier circuits, strategically connected to the
center top of a transformer secondary coil. Such a circuit is
The integration is carried out from 0 to 앟 because the current
shown in Fig. 4(a). Two semiconductor diodes are connected
between 앟 and 2앟 is zero. Across the output load resistance
to the two ends of the transformer secondary coil. The load
the dc voltage Vdc ⫽ IdcR ⫽ ImR/앟.
resistor is connected between the center tap of the trans-
former secondary coil and the junction of the negative elec-
trodes of the two diodes. The primary coil of the transformer
Rectifier receives the ac input supply. The output voltage of the trans-
diode former secondary coil is again represented as vi ⫽ Vm sin 웆t.
Here Vm represents the peak transformer secondary coil volt-
+ –
age from one end to the center tap. Furthermore, let us again
assume that the forward voltage drop of the diode is very
Ac Load small (this is normally represented as V웂) compared to the
supply resistor
input signal from the center-tapped transformer secondary
coil. We have the relations i1 ⫽ id1 and i2 ⫽ id2, where i1 is the
current in one half of the transformer secondary coil circuit,
Transformer i2 is and the current in the other half of the transformer sec-
ondary coil circuit, and id1 and id2 are diode currents. Also,
(a)
iR ⫽ i1 ⫹ i2, where iR is the current in the resistance. The dc
current can be calculated as in the previous case:

Idc = 2Im /π
Vdc = 2ImR /π
Vm

ωt where Im ⫽ Vm /Rf ⫹ R).


0 π 2π 3π 4π 5π 6π It must, however, be remembered that in this case, Vm rep-
resents the peak transformer secondary coil voltage from the
center tap to one end of the transformer. (The half-wave recti-
fier did not utilize a center-tapped secondary coil.) Figure 4(b)
(b) represents the input waveform. Figure 4(c) through 4(h) rep-
resent the output waveforms. It is observed that the load re-
sistance procures both halves of the sine wave. The output
Average value of waveforms are always positive, regardless of the fact that the
output voltage input waveform alternates between positive and negative
states every half-cycle. This is because when the top end of
–Vm
the transformer secondary coil is positive with respect to the
ωt center tap, diode D1 conducts and permits the flow of current
0 π 2π 3π 4π 5π from right to left in the load resistor R. Diode D2 is ‘‘open’’ or
‘‘off ’’ because the bottom end of the transformer secondary coil
must be negative with respect to the center tap. When the
bottom end of the transformer secondary coil is driven posi-
(c) tive with respect to the center tap, diode D2 conducts and
Figure 3. (a) Half-wave rectifier circuit; (b) input waveform shows again permits the flow of current from right to left in the load
both halves of sine wave; (c) output waveform across the load resistor resistor R. Diode D1 is open or off because the top-end of the
shows only positive half cycle and negative half cycle appears across transformer secondary coil must be negative with respect to
diode. the center tap. It can be seen that in this full-wave rectifier
RECTIFYING CIRCUITS 305

D1 i1 circuit, the PIV across each diode is twice the maximum


transformer voltage measured from the center tap to either
+ – the top or bottom end. Voltages experienced by diodes D1 and
Vm D2 are shown in Figs. 4(g) and 4(h). In each case the voltages
R + iR
Ac
B – equal 2Vm, where Vm is the peak voltage corresponding to one
A half of the secondary coil.
supply
vo
Vm i2
D2
FULL-WAVE BRIDGE RECTIFIER CIRCUIT
+ –
Center-tapped transformer When a center-tapped transformer is not available, a bridge
rectifier circuit provides full-wave rectification. The bridge
(a)
consists of four diodes connected in a systematic loop as
shown in Fig. 5(a). This creates four junction points, a, b, c,
and d, as shown in the diagram. The transformer secondary
coil is connected between b and c, whereas the load resistor
Vm 4π is connected between a and d, as shown. Figure 5(b) repre-
(b) 0 ωt sents the top end of the transformer secondary coil being posi-
π 2π 3π
tive with respect to the bottom end. Only diodes D1 and D4
are capable of conducting. Diodes D2 and D3 are off. The
transformer secondary coil, diode D1, the load resistor R, and
the diode D4 all form a series circuit, permitting the current
(c) Vdc to flow in the load resistor from left to right. During the next
0 ωt half cycle, the top end of the transformer secondary coil is
π 2π 3π 4π
negative with respect to the bottom end. Therefore, only di-
odes D2 and D3 are capable of conducting. Diodes D1 and D4
are off. The transformer secondary coil, diode D2, the load
resistor R, and the diode D3 form a series circuit, permitting
(d) Idc
Im the current to flow in the load resistor, again, from left to
0 ωt
π 2π 3π 4π right. This is shown in Fig. 5(c). It is important to observe
that the current in the load resistor is always from a to d
regardless of whether b is positive or negative. The bridge
rectifier circuit is the best choice; however, it suffers from a
(e) minor disadvantage. It needs four diodes instead of two. How-
Vm
ωt ever, the PIV of each diode is only Vm and not 2Vm, as in the
0
π 2π 3π 4π case of full-wave rectifier. Currently, ready-made bridges are
mass manufactured and are available in an ‘‘encapsulated’’
form. A schematic is shown Fig. 5(d).

(f) Vm VOLTAGE REGULATION


0 ωt
π 2π 3π 4π
Voltage regulation provides the engineer a measure to study
the behavior of the circuit under changing load-current condi-
π 2π 3π 4π tions. The percentage of voltage regulation is defined as
0 ωt

2Vm VR% = [100(Vno load − Vfull load )]/Vfull load


(g)

Ideally, the voltage regulation of a well-designed power sup-


ply should be zero. In other words, the voltage delivered by
the power supply should be totally independent of the current
π 2π 3π
0 ωt drawn by the load. Suppose the supply is rated 12 V dc. The
instrument should deliver 12 V, whether it is delivering zero
2Vm
(h) load current (no load) or 600 A load current. Such stringent
specification require highly sophisticated electronic circuits.
It is possible to achieve VR% of less than 1%. An example of
a regulation curve is shown in Fig. 6. This graph indicates
Figure 4. (a) Full-wave rectifier circuit (ideal diodes); (b) input that the power-supply voltage drops from 12 V at zero current
waveform; (c) load voltage waveform; (d) load current waveform; (e)
to 8 V at full load of 5 A. The percentage of voltage regulation
current in diode D1; (f ) current in diode D2; (g) voltage across diode
D1; (h) voltage across diode D2.
can be calculated as follows:

VR% = [(12 − 8)/8](100) = 50%


306 RECTIFYING CIRCUITS

D1 D2

output voltage
Secondary
RLOAD
Ac input a d
supply + –

D3 D4

Transformer c

(a)

D1
Ac input
supply + RLOAD
(positive a d
+ –
half –
cycle) iR
D4

c
(b)

b
~ ~ + –
D2
Ac input iR
supply – + –
(negative a d
half + RLOAD
cycle)
D3
Ac input Dc output
Figure 5. (a) Bridge rectifier circuit; (b) current path, top supply
end positive; (c) current path, top end negative (observe that c delivery
leads leads
the current iR in the load resistance is always from a to d,
left to right); (d) encapsulated bridge rectifier. (c) (d)

The minimum load resistance that can be connected to the


power supply is calculated as

Rload (minimum) = Vfull load /Ifull load = 8/5 = 1.6 


14
RIPPLE
12
The output signal of a full-wave rectifier has a frequency
10 equal to twice the value of the input signal frequency. With a
60 Hz input, a 120 Hz unwanted signal appears at the output,
8
Vdc (V)

in addition to the dc output. This undesired ac component of


output is called ripple. In other words, ripple is small
6 amounts of alternating current waveforms superimposed on
the dc waveform. The ratio of the amount of ripple to the dc
4 value is called the ripple factor. It can also be expressed in
percent values and identified as percent ripple. The ripple fac-
2 tor provides the engineer with a measure to examine the ef-
fectiveness of the rectifier circuit. A ripple factor greater than
0 1 2 3 4 5
1 means that the amount of alternating current in the output
is greater than the amount of direct current in the output. It
Idc (A)
is imperative that rectifier circuits need to be appropriately
Figure 6. Regulation graph of a 12-V supply. designed to achieve ripple factors less than 1. The engineer
RECTIFYING CIRCUITS 307

Vout all electronic circuitry demands a smooth dc voltage that is


constant in value and closely resembles the output voltage of
a good battery source. This conversion of half-wave and full-
120 Hz Ripple Vc wave signals to constant dc voltage values is accomplished
using a filter. Several types of filters are available, the sim-
Vdc
plest being a single capacitor. Other types include a choke
filter, 앟 filter, and double 앟 filter. The capacitor charges when
the diode is conducting and discharges via the load resistor
when the diode is off. The action of the capacitor can be exam-
ined by referring to Figs. 8(a) to 8(e). Let us choose a large
0 Time capacitor so that the capacitance sustains a voltage as defined
(a) by the point a or c as shown in Fig. 8(b). During the positive

A B B
+ –
Vc
Vdc +
Ac RLoad
supply C

0 0
0 0
(b)
(a)

VB
b d
Vm aa c

0 2π
0 ωt
π 3π 4π 5π 6π

(c)
(b)
Figure 7. (a) Output waveform of a power supply unit contains a
120 Hz ripple; (b) dc component of the output waveform; (c) ac compo-
nent of the output waveform.
b d

a c
can thus ensure that the amount of alternating current in the Dc voltage
output is less than the amount of direct current in the output. 0 ωt
Ripple is directly proportional to the load current Idc and in- (c)
versely proportional to the capacitance. However, capacitive
filters help provide ‘‘smooth’’ dc output waveforms. Let Fig.
7(a) represent the output waveform of a power-supply unit.
This waveform is made up of two components, a dc component b d
shown in Fig. 7(b), and an ac component, shown in Fig. 7(c).
A perfectly designed rectifier circuit should deliver a smooth a Dc current c
0 ωt
dc output. (d)
Mathematically, we can write this statement as

Va2 + Vb2 = Vc2

Ripple has been defined as the ratio of Vb to Va, Vb /Va. If the


rms value of the ripple voltage is given as Vr, then the ripple
factor is Vr /Vdc. a b c d ωt
0
(e)
FILTERS Figure 8. (a) Half-wave rectifier with a capacitor filter across the
load resistor; (b) capacitor must be large enough to ‘‘fill’’ in the ‘‘val-
The pulsating dc output signals from the half-wave, full-wave, leys’’ (shaded); (c) voltage across load resistor; (d) current through
and bridge rectifiers have very limited applications. Almost load resistor; (e) diode current.
308 RECTIFYING CIRCUITS

Iron core
inductance

Ac Ac L Dc
input input Full-wave output
C C
supply rectifier to
C RLoad RLOAD

Transformer Figure 11. Full-wave rectifier with 앟 filter (L–C combination) and
Bridge
rectifier load resistor R.

(a)

where f is the power line frequency and C represents the


value of filter capacitor used.
Instead of using only a capacitance, sometimes a resis-
tance called the surge resistance is often used in addition to
Rsurge
Ac
the load resistance, as shown in Fig. 9(b). The filter capacitor
input is initially uncharged and acts as a ‘‘short circuit’’ when the
C RLoad circuit shown in Fig. 9(a) is energized. Therefore there is sud-
den inrush of current, and this is called the surge current. In
some cases this current surge may be large enough to destroy
Transformer Bridge the diodes. Therefore a current-limiting resistor is added in
rectifier series as shown in Fig. 9(b). Other combinations of resis-
(b) tances and capacitances are also used. A two-section R–C fil-
ter used in conjunction with a full-wave rectifier is shown in
Figure 9. (a) Bridge rectifier with load resistor RLOAD and filter capac-
itor C; (b) the addition of a surge resistance limits the sudden gush Fig. 10.
of current that takes place during the instant the circuit is energized. When the load current is heavy, an L–C filter, which is
also called a choke filter, is preferred to an R–C filter. The
ripple can be reduced by choosing the inductive reactance to
half of the supply cycle, when the diode is conducting, the be much higher than the capacitive reactance. For example,
capacitor gets charged. This charging operation takes place if XL is ten times as large as XC, then the ripple is attenuated
during the time interval between a and b. At point b the by a factor of 10. An example wherein a full-wave rectifier
charging current ceases because the diode is reverse biased employs one section in which L–C filters are used is shown in
and has stopped conducting. But the load continues to receive Fig. 11. This is also called a 앟 filter. Using two sections re-
current from the discharging capacitor during the time inter- sults in an improvement in the value of ripple. Still further
val from b to c. Again, at point c the capacitor begins its reduction in ripple can be accomplished by using a double 앟
charging operation and the cycle repeats. The load resistor filter as shown in Fig. 12. However, the voltage regulation
voltage and current waveforms are shown in Figs. 8(c) and may be poorer because of voltage drop in the choke filters.
8(d), respectively. A full-wave rectifier with a single capaci- Rectifier circuits combined with appropriate filter circuits pro-
tance across the load is shown in Fig. 8(e). The corresponding vide a convenient method of converting ac to dc. However, the
output waveform, with the action of its filter capacitor, is proper choice depends upon the application desired. Some of
shown in Fig. 8(f). An approximate analysis yields the factors that need to be considered are the permissible rip-
ple, the regulation required, the nature of load current de-
Vdc = Vm − Idc /4 fC sired, the size and weight of the complete network, and the
cost of components. A choke filter should consist of pure in-
ductance; however, it possesses a small amount of resistance.
D1 A 앟 filter that includes the choke resistance of the coil as well
R R
Ac input supply

C C C RLoad
Iron core
inductances

D1,D2 Rectifier diodes

D2
Ac L L Dc
input Full-wave C output
C
Center-tapped supply rectifier to
transformer RLOAD
Figure 10. Two-section R–C filter can reduce the ripple by a factor
of 움2 where 움 is the ripple factor for one R–C section. Figure 12. Full-wave rectifier with double 앟 filter.
RECTIFYING CIRCUITS 309

L R
3 Vm

Input Output
Vm 2Vm
from C1 C2 to
rectifier load – + – +
C1 C3
Vm D1 D2 D3
C2
Figure 13. Capacitance input 앟 filter.
– +

2Vm
is shown in Fig. 13. This is also called a capacitance input
Figure 15. Voltage tripler.
filter. Another version, called a ‘‘Tee’’ filter or a choke input
filter is shown in Fig. 14. Under light load conditions, capaci-
tor input filters help rectifiers generate fairly smooth dc sig- the supply source is positive with respect to the bottom end.
nals with small ripple. However, the regulation is relatively Similarly, diode D2 charges the capacitor C2 when the bottom
poor. In addition, as the load currents increase ripple also in- end is positive with respect to the top end of the input source.
creases. In this circuit, each capacitor is charged once per cycle, but at
different times. The capacitors therefore receive two charging
RATIO OF RECTIFICATION pulses per cycle. Furthermore it is observed that capacitances
C1 and C2 are in series. This results in a doubling effect.
The ratio of rectification is defined as the ratio of dc output Figure 13(c) shows the waveform across the two capacitors
power delivered by the rectifier circuit to the ac input power and indicates how they add up. The load resistor may be con-
delivered to the rectifier circuit. It needs to be recognized that nected between the two extreme ends of the two capacitors
the transformer is an ac apparatus and therefore it is possible as shown in the diagram. The ripple frequency is twice the
to calculate the rms value of the load voltage and the rms frequency of the supply and the ripple is greater and the regu-
value of the load current. The product of these two values lation poorer compared with an equivalent full wave rectifier.
yields the total power delivered by the transformer. However, Figure 14(a) shows the half-wave voltage doubler circuit.
the objective of the rectifier circuit is to provide direct current It is also called the cascade voltage doubler circuit. Diode D1
to the load. Therefore one can calculate the dc voltage and operates when the bottom end of the supply is positive and
the dc current at the load resistor. The product of these two charges the capacitor C1 to the maximum value of the supply
quantities results in the dc load power. The ratio of rectifica- voltage Vm as shown. During the next half cycle, when the top
tion can thus be determined. However, this ratio should not end of the supply is positive, the supply voltage is actually
be confused as an efficiency measure because efficiency calcu- ‘‘aiding’’ the capacitor C1 because of the series connection of
lations include the losses in the transformer as well as the the supply and capacitor C1. The maximum possible value is
diodes. 2Vm, and therefore the capacitor C2 charges to the same value
of 2Vm via diode D2. The load need only be connected across
Voltage Doublers capacitor C2, unlike the previous case wherein the load was
connected across a series combination of capacitors C1 and
By suitably modifying the full-wave and the bridge rectifier C2. Therefore the load receives only one charging pulse per
circuits, it is possible to create circuits that can provide twice cycle. The ripple is very high, but its frequency in this case is
the peak supply voltage, or 2Vm. By cascading several voltage the same as the supply line frequency. The voltage regulation
doubler circuits suitably, it is possible to generate very high of this circuit is also very poor.
dc voltages. The full-wave voltage doubler circuit is shown in Voltage tripler and voltage quadrupler circuits are shown
Fig. 13(a). Another interpretation of the circuit is shown in in Figs. 15 and 16. It is possible to design circuits that provide
Fig. 13(b) wherein the load resistor is omitted for sake of clar- more than 4Vm. However, the such circuits result in extremely
ity. Diode D1 charges the capacitor C1 when the top end of poor voltage regulation.

L1 R1 L2 R2 Vm 2 Vm

– + – +
C1 C3
Input Output D1 D2 D3 D4
from C to
rectifier load C2 C4
– + – +

2 Vm 2 Vm
4 Vm
Figure 14. Choke input tee filter.

Figure 16. Voltage quadrupler.


310 RECTIFYING CIRCUITS

Output
Input

RL 0
V Rs

Output
Input
V V

V
Output
Input

RL
Rs
V
V

Output
Input
0
V

V
Output
Input

RL 0 Rs V
V

Output
Input

V
Output
Input

RL
Rs
Output

V
Input

0 V
V

(a) (b)
Figure 17. Clipper circuits modify the sine wave input. (Input voltage waveform remains the
same for all circuits.) (a) Left: Rectifier diodes used as ‘‘clippers’’; Right: Waveform across output
load resistor RL. (b) Left: Rectifier diodes used as ‘‘clippers’’; Right: Waveform across, output,
diode–battery series combination where RS ⫽ series resistor.

Clippers ples of clamper circuits are shown in Fig. 18. The clamper is
also called a dc restorer, particularly when associated with
Many electronic circuits demand the removal of unwanted
television circuitry. All these circuits assume a sinusoidal in-
signals below or above a predetermined or specified voltage
put voltage of 50 V peak-to-peak magnitude (Vm ⫽ 25 V).
level. By suitably rearranging a diode rectifier circuit and a
dc battery power supply, it is possible to obtain a variety of
clipper circuits. A sample of selected clipper circuits along
with their output waveforms is shown in Fig. 17. All these APPENDIX 1. HALF-WAVE RECTIFIER CALCULATIONS
circuits assume a sinusoidal input voltage of 50 V peak-to-
peak magnitude (Vm ⫽ 25 V). The rms value of a complete sine wave is Vm / 兹2. The output
of the half-wave rectifier is only one half of a sine wave.
Clampers
Therefore, the rms value of the load voltage is equal to
A clamper adds a dc component to the signal. The principle is (1/ 兹2)(Vm / 兹2) ⫽ Vm /2. The average or dc value Vdc of this
to utilize the charging nature of a capacitor. Selected exam- rectified sine wave is Vdc ⫽ Vm /앟 ⫽ 0.318Vm. The rms value of
RECTIFYING CIRCUITS 311

+2Vmax
C

Output
Input
R
0
C Output
0
Input

+2Vmax

C
VB

Output
Input
R +2Vmax
C 0
VB
Output
Input

R +2Vmax
0
VB VB

0
VB
C

Output
Input

C
+2Vmax R +2Vmax
Output
Input

R
VB 0
VB
VB

(a) (b)
Figure 18. (a) Clamper circuits retain shape of the input sine waveform, but add a dc ‘‘bias’’ to
the waveform. (b) Left: While designing clamping circuits, it is essential that 5 RC Ⰷ T/2, where
T ⫽ period of sine wave; Right: Output waveforms of clamping circuits obtained across resis-
tance, R (Input voltage waveform for all six clamping circuits).

the ripple voltage is Vr. Therefore (Vm /2)2 ⫽ (Vm /앟)2 ⫹ (Vr)2 ac power rating of the trans- (Vm / 兹2)[(Vm /2)/R] ⫽
Solving, Vr ⫽ 0.386Vm. former Vm2 /2兹2R W
The ripple factor is Vr /Vdc ⫽ 0.386Vm /0.318Vm ⫽ 1.21. Transformer utilization (dc load power)/(ac power
factor rating)
ac load voltage Vm /2 Transformer utilization (Vm2 /앟2R)/(Vm2 /2兹2R) ⫽
ac load current (Vm /2)/R factor 2兹2/앟2 ⫽ 0.287
ac load power (Vm /2)[(Vm /2)/R] ⫽ Vm2 /4R
dc load voltage Vm /앟
dc load current Vm /앟R APPENDIX 2: FULL-WAVE RECTIFIER CALCULATIONS
dc load power (Vm /앟)(Vm /앟R) ⫽ Vm2 /앟2R
In this case both halves of the ac input sine wave are rectified
The ratio of rectification is the dc load power divided by the and utilized. Therefore the magnitude of several of the values
ac load power, or (Vm2 /앟2R)/(Vm2 /4R). The ratio of rectification that were calculated for the half-wave rectifier gets multiplied
is 4/앟2 ⫽ 0.406. This is no indication of the efficiency; however, by a factor of 2. The rms value of a complete sine wave is
it can be stated that the overall operating efficiency of a half- Vm / 兹2. The average of dc value of the rectified sine wave
wave rectifier with a resistive load cannot be greater than Vdc ⫽ 2Vm /앟 ⫽ 0.636Vm. The rms value of the ripple voltage
40.6%. An ideal rectifier has no losses and has therefore a is Vr. Therefore (Vm / 兹2)2 ⫽ (2Vm /앟)2 ⫹ (Vr)2. Solving, Vr ⫽
100% ‘‘power’’ efficiency. 0.307Vm.
The rating of the secondary winding of the transformer is The ripple factor is Vr /Vdc ⫽ 0.307Vm /0.636Vm ⫽ 0.482.
Vm / 兹2 V.
ac load voltage Vm / 兹2
ac current in the secondary (Vm /2)/R A ac load current (Vm / 兹2)/R
winding the ac load ac load power (Vm / 兹2)[(Vm / 兹2)/R] ⫽ Vm2 /2R
current dc load voltage 2Vm /앟
312 RECTIFYING CIRCUITS

Table 2. Rectifier Circuit Terminology


Term Input (ac) Output (dc)
Half-wave rectifier a
VRMS ⫽ (Vm / 兹2) ⫽ 0.707Vm Vdc ⫽ VAVG ⫽ (Vm /앟) ⫽ 0.318Vm
IRMS ⫽ (Im /2) ⫽ 0.5Im Idc ⫽ IAVG ⫽ (Im /앟) ⫽ 0.318Im
Efficiency of HWR (purely
[(IDC)2RLOAD]/[(IAC)2RLOAD] ⫽ (0.318Im)2 /(0.5Im)2 ⫽ 0.406
resistive load)
VRMS ⫽ (Vm / 兹2) ⫽ 0.707Vm Vdc ⫽ VAVG ⫽ 2(Vm /앟) ⫽ 0.636Vm
IRMS ⫽ (Im / 兹2) ⫽ 0.707Im Idc ⫽ IAVG ⫽ 2(Im /앟) ⫽ 0.636Im
Efficiency of FWR (purely [(Idc)2RLOAD]/[(IAC)2RLOAD] ⫽ (0.636Im)2 /(0.707Im)2 ⫽ 0.812
resistive load)
a
It is important to observe that in a half-wave rectifier (HWR), the voltage is present during both half cycles.
Therefore, VRMS ⫽ 0.707 Vm; however, the current flows for only one half cycle. Therefore IRMS ⫽ 0.5 Im. For the
above calculations, an ideal rectifier has been assumed and therefore the internal resistance of the rectifier r웂
has been ignored.

dc load current 2Vm /앟R lations that were carried out for the full-wave rectifier are
dc load power (2Vm /앟)(2Vm /앟R) ⫽ 4Vm2 /앟2R still valid in this case. The rms value of a complete sine wave
is Vm / 兹2. The average or dc value of the rectified sine wave
The ratio of rectification is the dc load power divided by the Vdc ⫽ 2Vm /앟 ⫽ 0.636Vm. The rms value of the ripple voltage
ac load power, or (4Vm2 /앟2R)/(Vm2 /2R). The ratio of rectification is Vr. Therefore (Vm / 兹2)2 ⫽ (2Vm /앟)2 ⫹ (Vr)2. Solving, Vr ⫽
is 8/앟2 ⫽ 0.812. The overall operating efficiency is therefore
twice that of a half-wave rectifier, that is, 81.2%.
The full-wave rectifier utilizes the center-tapped secondary Vmax
winding of a transformer. But the primary coil has a single
winding. Therefore the calculations for the primary and sec-
ondary circuits must be done separately. The secondary coil
of the transformer actually contains two circuits. Each circuit
performs the function of a half-wave rectifier. Therefore the
transformer utilization factor for the secondary coil is twice
that of the half-wave rectifier. 0 π 2π
The transformer utilization factor for secondary winding is
2(0.287) ⫽ 0.574. Disregarding the center tap, we can calcu-
late the transformer utilization factor for the primary
winding.

dc load voltage Vdc ⫽ 2Vm /앟 (a)


dc load current Idc ⫽ 2Vm /앟R ⫽ 2Im /앟, Im ⫽ Vm /R.
Vmax
The rating of the transformer winding can be calculated using
the rms values of voltage and current:
√ √ VRMS VRMS =
Vmax
= 0.707 Vmax
Vrms = Vm / 2 V, Irms = Im / 2 A 2
VDC Vdc = 0.318 Vmax
Substituting and rearranging, we obtain
√ 0 π 2π
Vdc = (2 2/π )Vrms
√ (b)
Idc = (2 2/π )Irms

The dc power can now be determined in terms of the ac power: Vmax

Vdc Idc = (8/π 2 )Vrms Irms

The transformer utilization factor is the dc power divided by


the ac power or VdcIdc /VrmsIrms ⫽ 8/앟2 ⫽ 0.812. The average VDC = VAVG
transformer utilization factor is (0.574 ⫹ 0.812)/2 ⫽ 0.693.
0 π 2π
APPENDIX 3. BRIDGE RECTIFIER RIPPLE CALCULATIONS (c)
Figure 19. Half-wave rectification waveforms: (a) One full cycle of
Both halves of the ac input sine wave are rectified and uti- input sine wave; (b) Output waveform: half-wave rectifier; (c) Averag-
lized in a bridge rectifier as well. Therefore many of the calcu- ing over one full cycle.
RECTIFYING CIRCUITS 313

Vmax siderable variation, or ripple in the rectified output. A ripple


factor is therefore defined, that helps in evaluating and com-
paring different rectifier circuits.

Effective value of alternating current


portion of rectified output wave
Ripple factor =
Average value of rectified output wave
0 π 2π
A filter is a circuit that is used to eliminate undesired ripple
from the output voltage of the rectifier. Normally, a well-
designed capacitor is used to obtain a smooth dc output from
a rectifier. The necessary capacitance can be calculated using
the following formula:
(a)
C = [(load current)(one full cycle of waveform)]/
[twice ripple voltage]
V
VRMS = max = 0.707 V
2 max
For example, using the frequency in the U.S., i.e., 60 Hz, pe-
Vmax
Vdc = 0.636 Vmax riod ⫽ 1/60 s. If the load current is 10 amperes and only 3
volts peak-to-peak ripple voltage is permitted, then capaci-
VRMS tance required will be [10(1/60)]/[(2)(3)] ⫽27,777.77 micro-
Vdc farads.
Table 2 and Figs. 19 and 20 help clarify the terminology
associated with rectifier circuits.

0 π 2π BIBLIOGRAPHY
(b)
C. L. Alley and K. W. Atwood, Microelectronics, Englewood Cliffs, NJ:
Prentice-Hall, 1986.
G. L. Batten, Jr., Programmable Controllers, New York: McGraw-
Hill, 1994.
D. A. Bell, Electronic Devices and Circuits, 3rd ed., Englewood Cliffs,
Vmax NJ: Prentice-Hall, 1980.
R. Boylestad and L. Nashelsky, Electronic Devices and Circuit Theory,
3rd ed., Englewood Cliffs, NJ: Prentice-Hall, 1982.
Vdc Vdc = VAVG
J. J. Carr, Elements of Electronic Instrumentation and Measurement,
3rd ed., Englewood Cliffs, NJ: Prentice-Hall, 1996.
J. J. Carr, Sensors and Circuits, Englewood Cliffs, NJ: Prentice-
Hall, 1993.
0 π 2π J. R. Carstens, Electrical Sensors and Transducers, Prentice-Hall,
1993.
(c)
G. M. Chute and R. D. Chute, Electronics in Industry, 5th ed., New
Figure 20. Full-wave rectification waveforms: (a) One full cycle of York: McGraw-Hill, 1979.
input sine wave; (b) Output waveform: full-wave rectifier; (c) Averag- W. D. Cooper and A. D. Helfrick, Electronic Instrumentation and Mea-
ing over one full cycle. surement Techniques, Englewood Cliffs, NJ: Prentice-Hall, 1985.
E. O. Doebelin, Measurement Systems, 4th ed., New York: McGraw-
Hill, 1990.
0.307Vm. The ripple factor is Vr /Vdc ⫽ 0.307Vm /0.636Vm ⫽
E. O. Doebelin, Engineering Experimentation, New York: McGraw-
0.482. The ratio of rectification will not change and remains
Hill, 1995.
0.812, as it was for the full-wave rectifier. There is no second-
R. C. Dorf and J. A. Svoboda, Introduction to Electric Circuits, 3rd
ary center tap; therefore the transformer utilization factor is
ed., New York: Wiley, 1996.
0.812, as it was for the full-wave rectifier when we disre-
R. C. Dorf and R. H. Bishop, Modern Control Systems, 7th ed., Read-
garded the center tap.
ing, MA: Addison-Wesley, 1995.
J. R. Eaton and E. Cohen, Electric Power Transmission Systems, 2nd
EPILOGUE ed., Englewood Cliffs, NJ: Prentice-Hall, 1983.
D. G. Fink and H. W. Beaty, Standard Handbook for Electrical Engi-
The main objective of a rectifier circuit is to convert alternat- neers, New York: McGraw-Hill, 1993.
ing current/voltage into pure direct current/voltage. A recti- A. R. Hambley, Electronics, New York: Macmillan, 1994.
fier diode accomplishes this. As an example, 1N4004 diode M. Kaufman and A. H. Seidman, Handbook of Electronics Calcula-
has a rating of 1 ampere and 400 volts PIV. However, instead tions, New York: McGraw-Hill, 1979.
of providing a steady output current/voltage, the rectifier cir- E. N. Lurch, Fundamentals of Electronics, 3rd ed., New York: Wiley,
cuit might be delivering a current/voltage that may have con- 1981.
314 RECURSION

A. P. Malvino, Electronic Principles, 2nd ed., New York: McGraw-


Hill, 1979.
J. Millman and A. Grabel, Microelectronics, 2nd ed., New York:
McGraw-Hill, 1987.
M. H. Rashid, Microelectronic Circuits, Boston, MA: PWS Publishing
Co., 1999.
J. Webb and K. Greshock, Industrial Control Electronics, Columbus,
Ohio: Merrill Publishing Co., 1990.

MYSORE NARAYANAN
Miami University
RELAXATION OSCILLATORS AND NETWORKS emphasize networks of relaxation oscillators based on the
following two considerations (the reader is referred to [4]
Relaxation oscillations comprise a large class of nonlinear for an extensive coverage of relaxation oscillations). First,
dynamical systems, and arise naturally from many physi- as described in the next section, neurobiology has moti-
cal systems such as mechanics, geology, biology, chemistry, vated a great deal of study on relaxation oscillations. Sec-
and engineering. Such periodic phenomena are character- ond, substantial progress has been made in understanding
ized by intervals of time during which little happens, in- networks of relaxation oscillators. In the next section, I de-
terleaved with intervals of time during which considerable scribe a number of relaxation oscillators, including the van
changes take place. In other words, relaxation oscillations der Pol oscillator. The following section is devoted to net-
exhibit two time scales. The dynamics of a relaxation oscil- works of relaxation oscillators, where the emergent phe-
lator is illustrated by the mechanical system of a seesaw nomena of synchrony and desynchrony are the major top-
in Figure 1. At one side of the seesaw is there a water con- ics. Then, I describe applications of relaxation oscillator
tainer which is empty at the beginning; in this situation the networks to visual and auditory scene analysis, which are
other side of the seesaw touches the ground. As the weight followed by some concluding remarks.
of water running from a tap into the container exceeds that
of the other side, the seesaw flips and the container side
RELAXATION OSCILLATORS
touches the ground. At this moment, the container emp-
ties itself, and the seesaw returns quickly to its original
In this section I introduce four relaxation oscillators. The
position and the process repeats.
van der Pol oscillator exemplifies relaxation oscillations,
Relaxation oscillations were first observed by van der
and has played an important role in the development of dy-
Pol [1] in 1926 when studying properties of a triode circuit.
namical systems, in particular nonlinear oscillations. The
Such a circuit exhibits self-sustained oscillations. van der
Fitzhugh-Nagumo oscillator and the Morris-Lecar oscilla-
Pol discovered that for a certain range of system parame-
tor are well-known models for the conductance-based mem-
ters the oscillation is almost sinusoidal, but for a different
brane potential of a nerve cell. The Terman-Wang oscillator
range the oscillation exhibits abrupt changes. In the lat-
has underlain a number of studies on oscillator networks
ter case, the period of the oscillation is proportional to the
and their applications to scene analysis. As demonstrated
relaxation time (time constant) of the system, hence the
by Nagumo et al. [5] and Keener [6], these oscillator models
term relaxation oscillation. van der Pol [2] later gave the
can be readily implemented with electrical circuits.
following defining properties of relaxation oscillations:

1. The period of oscillations is determined by some form Van der Pol Oscillator
of relaxation time. The van der Pol oscillator can be written in the form
2. They represent a periodic autonomous repetition of « ·
x + x = c(1 − x2 )x (1)
a typical aperiodic phenomenon.
3. Drastically different from sinusoidal or harmonic os- where c > 0 is a parameter. This second-order differential
cillations, relaxation oscillators exhibit discontinu- equation can be converted to a two variable first-order dif-
ous jumps. ferential equation,
4. A nonlinear system with implicit threshold values, ²
characteristic of the all-or-none law. x = c[y − f (x)] (2)
²
A variety of biological phenomena can be characterized y = −x/c (2)
as relaxation oscillations, ranging from heartbeat, neu-
²
ronal activity, to population cycles; the English physiologist Here f (x) = −x + x3 /3. The x nullcline, i.e. x = 0, is a cubic
²
Hill [3] even went as far as saying that relaxation oscilla- curve, while the y nullcline, y = 0, is the y axis. As shown
tions are the type of oscillations that governs all periodic in Fig. 2(a), the two nullclines intersect along the middle
phenomena in physiology. branch of the cubic, and the resulting fixed point is unstable
Given that relaxation oscillations have been studied in as indicated by the flow field in the phase plane of Fig. 2(a).
a wide range of domains, it would be unrealistic to provide This equation yields a periodic solution.
an up-to-date review of all aspects in this article. Thus, I As c > 1, Eq. (2) yields two time scales: a slow time scale
choose to orient my description towards neurobiology and for they variable and a fast time scale for the x variable.
As a result, Eq. (2) becomes the van der Pol oscillator that
produces a relaxation oscillation. The limit cycle for the van
der Pol oscillator is given in Fig. 2(b), and it is composed of
four pieces, two slow ones indicated by pq and rs, and two
fast ones indicated by qr and sp. In other words, motion
along the two branches of the cubic is slow compared to
fast alternations, or jumps, between the two branches. Fig.
2(c) shows x activity of the oscillator with respect to time,
Figure 1. An example of a relaxation oscillator: a seesaw with a where two time scales are clearly indicated by relatively
water container at one end (adapted from [4]). slow changes in x activity interleaving with fast changes.

J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright © 2007 John Wiley & Sons, Inc.
2 Relaxation Oscillators and Networks

Morris-Lecar Oscillator
In modeling voltage oscillations in barnacle muscle fibers,
Morris and Lecar [9] proposed the following equation,
²
x = −gCa m∞ (x)(x − 1) − gK y(x − xK ) − gL (x − xL ) + I (4)
²
y = −ε[y∞ (x) − y]/τy (x) (4)

where
m∞ (x) = {1 + tanh[(x − x1 )/x2 ]}/2
y∞ (x) = {1 + tanh[(x − x3 )/x4 ]}/2
τy (x) = 1/cosh[(x − x3 )/(2x4 )]
and x1 x2 , x3 , x4 , gCa , gK , gL , xK , and xL are parameters.
Ca stands for calcium, K for potassium, L for leak, and I is
the injected current. The parameter ε controls relative time
scales of x and y. Like Eq. (3), the Morris-Lecar oscillator is
closely related to the Hodgkin-Huxley equations, and it is
used as a two-variable description of neuronal membrane
properties or the envelope of an oscillating burst [10]. The
x variable corresponds to the membrane potential, and y
corresponds to the state of activation of ionic channels.
The x nullcline of Eq. (4) resembles a cubic and the y
Figure 2. Phase portrait and trajectory of a van der Pol oscilla- nullcline is a sigmoid. When ε is chosen to be small, the
tor. (a) Phase portrait. The x nullcline is the cubic curve, and the y Morris-Lecar equation produces typical relaxation oscilla-
nullcline is the y axis. Arrows indicate phase flows. (b) limit cycle tions. From the mathematical point of view, the sigmoidal
orbit. The limit cycle is labeled as pqrs, and the arrowheads indi- y nullcline marks the major difference between the Morris-
cate the direction of motion. Within the limit cycle, qr and sp are Lecar oscillator and the FitzHugh-Nagumo oscillator.
two fast pieces (indicated by double arrowheads), and pq and rs
are slow pieces. (c) Temporal activity of the oscillator. Here the x
activity is shown with respect to time. Terman-Wang Oscillator
Motivated by mathematical and computational considera-
tions, Terman and Wang [11] proposed the following equa-
FitzHugh-Nagumo Oscillator tion,
By simplifying the classical Hodgkin-Huxley equations [5] ²
for modeling nerve membranes and action potential gener- x = f (x) − y + I (5)
ation, FitzHugh [7] and Nagumo et al. [8] gave the follow- ²
ing two-variable equation, widely known as the FitzHugh- y = ε[g(x) − y] (5)
Nagumo model, where f (x) = 3x − x3 + 2, g(x) = α[1 + tanh(x/β)], and I
² represents external stimulation to the oscillator. Thus x
x = c[y − f (x) + I] (3) nullcline is a cubic and the y nullcline is a sigmoid, where α
and β are parameters. When ε  1, Eq. (5) defines a typical
²
y = −(x + by − a)/c (3) relaxation oscillator. When I > 0 and with a small β, the
two nullclines intersect only at a point along the middle
where f(x) is as defined in Eq. (2), I is the injected current, branch of the cubic and the oscillator produces a stable pe-
and a, b, and c are system parameters satisfying the condi- riodic orbit (see Fig. 3(a)). The periodic solution alternates
tions: 1 > b > 0, c2 > b, and 1 > a > 1 − 2b/3. In neurophys- between silent (low x) and active (high x) phases of near
iological terms, x corresponds to the neuronal membrane steady-state behavior. As shown in Fig. 3(a), the silent and
potential, and y plays the aggregate role of three variables the active phases correspond to the left branch (LB) and
in the Hodgkin-Huxley equations. Given that the x null- the right branch (RB) of the cubic, respectively. If I < 0, the
cline is a cubic and the y nullcline is linear, the FitzHugh- two nullclines of Eq. (5) intersect at a stable fixed point
Nagumo equation is mathematically similar to the van der along the left branch of the cubic (see Fig. 3(b)), and the
Pol equation. Typical relaxation oscillation with two time oscillator is in the excitable state. The parameter α deter-
scales occurs when c > 1. Because of the three parameters mines relative times that the periodic solution spends in
and the external input I, the FitzHugh-Nagumo oscillator these two phases. A larger α results in a relatively shorter
has additional flexibility. Depending on parameter values, active phase.
the oscillator can exhibit a stable steady state or a stable The Terman-Wang oscillator is similar to the afore-
periodic orbit. With a perturbation by external stimulation, mentioned oscillator models. It is much simpler than the
the steady state can become unstable and be replaced by Morris-Lecar oscillator, and provides a dimension of flex-
an oscillation; the steady state is thus referred to as the ibility absent in the van der Pol and FitzHugh-Nagumo
excitable state. equations. In neuronal terms, the x variable in Eq. (5) cor-
Relaxation Oscillators and Networks 3

chrony in a locally coupled network. Specifically, Somers


and Kopell using relaxation oscillators and Wang using
Wilson-Cowan oscillators [18] each demonstrated that an
oscillator network can synchronize with just local coupling.
Note that Wilson-Cowan oscillators in their normal param-
eter regime are neither sinusoidal nor relaxation-type.

Two Oscillators: Fast Threshold Modulation


When analyzing synchronization properties of a pair of
relaxation oscillators, Somers and Kopell [16] introduced
the notion of fast threshold modulation. Their mechanism
works for general relaxation oscillators, including those de-
scribed in the previous section. Consider a pair of identical
relaxation oscillators excitatorily coupled in a way mim-
icking chemical synapses. The coupling is between the fast
Figure 3. Nullclines and trajectories of a Terman-Wang oscilla- variables of the two oscillators, and can be viewed as binary,
tor. (a) Behavior of a stimulated oscillator. The x nullcline is a cubic resulting in the so-called Heaviside coupling. The two os-
and the y nullcline is a sigmoid. The limit cycle is shown with a cillators are uncoupled unless one of them is in the active
bold curve, and its direction of motion is indicated by arrowheads. phase, and in this case the effect of the excitatory coupling
LB and RB denote the left branch and the right branch of the cubic, is to raise the cubic of the other oscillator by a fixed amount.
respectively, (b) Behavior of an excitable (unstimulated) oscillator.
Let us explain the mechanism of fast threshold modula-
The oscillator approaches the stable fixed point PI .
tion using the Terman-Wang oscillator as an example. The
two oscillators are denoted by o1 = (x1 , y1 ) and o2 = (x2 , y2 ),
responds to the membrane potential, and y the state for which are initially in the silent phase and close to each
channel activation or inactivation. other with o1 leading the way as illustrated in Fig. 4. Fig-
ure 4 shows the solution of the oscillator system in the
singular limit ε → 0. The singular solution consists of sev-
NETWORKS OF RELAXATION OSCILLATORS
eral pieces. The first piece is when both oscillators move
along LB of the uncoupled cubic, denoted as C. This piece
In late eighties, neural oscillations in the gamma frequency
lasts until o1 reaches the left knee of C, LK, at t = t1 . The
range (about 40 Hz) were discovered to in the visual cortex
second piece begins when o1 jumps up to RB, and the exci-
[12] [13]. The experimental findings can be summarized as
tatory coupling from o1 to o2 raises the cubic for o2 from C
the following: (1) neural oscillations are triggered by sen-
to CE as shown in the figure. Let LKE and RKE denote the
sory stimulation, and thus the oscillations are stimulus-
left and right knees of CE . If |y1 − y2 | is relatively small,
dependent; (2) long-range synchrony with zero phase-lag
then o2 lies below LKE and jumps up. Since these interac-
occurs if the stimuli appear to form a coherent object; (3)
tions take place in fast time, the oscillators are effectively
no synchronization occurs if the stimuli appear to be un-
synchronized in jumping up. As a result the cubic for o1
related. These intriguing observations are consistent with
is raised to CE as well. The third piece is when both os-
the temporal correlation theory [14], which states that in
cillators lie on RB and evolve in slow time. Note that the
perceiving a coherent object the brain links various feature
ordering in which the two oscillators track along RB is re-
detecting neurons via temporal correlation among the fir-
versed and now o2 leads the way. The third piece lasts until
ing activities of these neurons.
o2 reaches RKE at t = t2 . The fourth piece starts when o2
Since the discovery of coherent oscillations in the visual
jumps down to LB. With o2 jumping down, the cubic for o1
cortex and other brain areas, neural oscillations and syn-
is lowered to C. At this time, if o1 lies above RK, as shown
chronization of oscillator networks have been extensively
in Fig. 4, o1 jumps down as well and both oscillators are
studied. Most of the early models are based on sinusoidal
now in the silent phase. Once both oscillators are on LB,
or harmonic oscillators and rely on all-to-all connectivity to
the above analysis repeats.
reach synchronization across the network. In fact, accord-
Based on the fast threshold modulation mechanism,
ing to the Mermin and Wagner theorem [15] in statistical
Somers and Kopell further proved a theorem that the syn-
physics, no synchrony exists in one- or two-dimensional
chronous solution in the oscillator pair has a domain of at-
locally coupled isotropic Heisenberg oscillators, which are
traction in which the approach to synchrony has a geomet-
similar to harmonic oscillators. However, all-to-all connec-
ric (or exponential) rate [16]. The Somers-Kopell theorem
tivity leads to indiscriminate synchrony because the net-
is based on comparing the evolution rates of the slow vari-
work is dimensionless and loses critical information about
able right before and after a jump, which are determined
topology. Thus, such networks are very limited in address-
by the vertical distance of an oscillator to they nullcline
ing perceptual organization and scene analysis – the main
(see Fig. 4).
motivations behind computational studies of oscillatory
networks – that appear to require topological relations.
A Network of Locally Coupled Oscillators
Somers and Kopell [16] and Wang [17] first realized
that there are qualitative differences between sinusoidal In the same paper Somers and Kopell suspected that their
and non-sinusoidal oscillators in achieving emergent syn- analysis extends to a network of relaxation oscillators, and
4 Relaxation Oscillators and Networks

Figure 5. Architecture of a two dimensional LEGION network


with nearest neighbor coupling. The global inhibitor is indicated
by the black circle, and it receives excitation from every oscillator
of the 2-D grid and feeds back inhibition to every oscillator.
Figure 4. Fast threshold modulation. C and CE indicate the un-
coupled and the excited cubic, respectively. The two oscillators o1
LEGION Networks: Selective Gating
and o2 start at time 0. When o1 jumps up at t = t1 , the cubic cor-
responding to o2 is raised from C to CE . This allows o2 to jump up A natural and special form of the temporal correlation the-
as well. When o2 jumps down at t = t2 , the cubic corresponding to ory is oscillatory correlation [20], whereby each object is
o1 is lowered from CE to C. This allows o1 to jump down as well. represented by synchronization of the oscillator group cor-
In the figure, LK and RK indicate the left knee and the right knee responding to the object and different objects in a scene are
of C, respectively. LKE and RKE indicate the left knee and right
represented by different oscillator groups which are desyn-
knee of CE , respectively.
chronized from each other. There are two fundamental as-
pects in the oscillatory correlation theory: synchronization
and desynchronization. Extending their results on syn-
chronizing locally coupled relaxation oscillators, Terman
and Wang used a global inhibitory mechanism to achieve
performed numerical simulations with one-dimensional desynchronization [11]. The resulting network is called LE-
rings to support their suggestion. In a subsequent study, by GION, standing for Locally Excitatory Globally Inhibitory
extending Somers and Kopell analysis, Terman and Wang Oscillator Networks [20].
proved a theorem that for an arbitrary network of locally The original description of LEGION is based on Terman-
coupled relaxation oscillators there is a domain of attrac- Wang oscillators, and basic mechanisms extend to other
tion in which the entire network synchronizes at an expo- relaxation oscillator models. Each oscillator i is defined as
nential rate [11]. ²
xi = f (xi ) − yi + Ii + Si + ρ (6)
In their analysis, Terman and Wang employed the time
metric to describe the distance between oscillators. When ²
yi = ε[g(xi ) − yi ] (6)
oscillators evolve either in the silent phase or the active
phase, their distances in y in the Euclidean metric change; x
Here f( ) and g(x) are as given in Eq. (5). The parameter
however, their distances in the time metric remain con- ρ denotes the amplitude of Gaussian noise; to reduce the
stant. On the other hand, when oscillators jump at the chance of self-generating oscillations the mean of noise is
same time (in slow time), their y distances remain un- set to −ρ. In addition to test robustness, noise plays the
changed while their time distances change. Terman and role of assisting desynchronization. The term Si denotes
Wang also introduced the condition that the sigmoid for the overall input from other oscillators in the network:
the y nullcline (again consider the Terman-Wang oscilla- 
tor) is very close to a step function [11], which is the case Si = Wik H(xk − θx ) − Wz H(z − θz ) (7)
when β in Eq. (5) is chosen to be very small. This condition k ∈ N(i)
implies that in the situation with multiple cubics the rate
where Wik is the dynamic connection weight from k to i, and
of evolution of a slow variable does not depend on which
N(i) is the set of the adjacent oscillators that connect to i.
cubic it tracks along.
In a two-dimensional (2-D) LEGION network, N(i) in the
Recently, Campbell et al. [19] showed that the definition
simplest case contains four immediate neighbors except on
of a canonical relaxation oscillator can lead to qualitatively
boundaries where no wrap-around is used, thus forming a
different kinds of oscillation through parameter choices.
2-D grid. This architecture is shown in Fig. 5. H stands for
In addition, their numerical investigation indicates that a
the Heaviside function, defined as H(ν) = 1 and H(ν) = 0 if
network of relaxation oscillators in the relaxation regime
ν < 0. θx is a threshold above which an oscillator can affect
(the normal case) approach synchrony with an average
its neighbors. Wz is the weight of inhibition from the global
time that is a power relation of the network size with a
inhibitor z, whose activity is defined as
small exponent. On the other hand, relaxation oscillators in
the spiking regime, where the active phase is much shorter ²
z = φ(σ∞ − z) (8)
than the silent phase, approach synchrony with an average
time that is a logarithmic relation of the network size, al- where φ is a parameter. The quantity σ∞ = 1 if xi ≥ θz for at
though for the same network synchrony in the relaxation least one oscillator i, and σ∞ = 0 otherwise. Hence θz (see
regime is typically faster than that in the spiking relation. also Eq. (7)) represents a threshold.
Relaxation Oscillators and Networks 5

The above analysis demonstrates the role of inhibition


in desynchronizing the two oscillators: o1 and o2 are never
in the active phase simultaneously. In general, LEGION
exhibits a mechanism of selective gating, whereby an os-
cillator, say oi jumping to its active phase quickly acti-
vates the global inhibitor, which selectively prevents the
oscillators representing different blocks from jumping up,
without affecting oi ’s ability in recruiting the oscillators
of the same block because of local excitation. With the se-
lective gating mechanism, Terman and Wang proved the
following theorem. For a LEGION network there is a do-
main of parameters and initial conditions in which the net-
work achieves both synchronization within blocks of oscil-
Figure 6. Selective gating with two oscillators coupled through a lators and desynchronization between different blocks in
global inhibitor. C and CZ indicate the uncoupled and the inhibited no greater than N cycles of oscillations, where N is the num-
cubic, respectively. The two oscillators o1 and o2 start at time 0. ber of patterns in an input scene. In other words, both syn-
When o1 jumps up at t = t1 the cubic corresponding to both o1 and
chronization and desynchronization are achieved rapidly.
o2 is lowered from C to CZ . This prevents o2 from jumping up until
The following simulation illustrates the process of syn-
o1 jumps down at t = t2 and releases o2 from the inhibition. LK and
RK indicate the left knee and the right knee of C, respectively. PZ chronization and desynchronization in LEGION [20]. Four
denotes a stable fixed point at an intersection point between CZ patterns – two O’s, one H, and one I, forming the word
and the sigmoid. OHIO – are simultaneously presented to a 20 × 20 LE-
GION network as shown in Figure 7(a). Each pattern is
a connected region, but no two patterns are connected to
each other. The oscillators under stimulation become oscil-
The dynamic weights Wik ’s are formed on the basis of latory, while those without stimulation remain excitable.
permanent weights Tik ’s according to the mechanism of dy- The parameter ρ is set to represent 10% noise compared to
namic normalization [21] [22], which ensures that each os- the external input. The phases of all the oscillators on the
cillator has equal overall weights of dynamic connections, grid are randomly initialized. Figs. 7(b)–7(f) show the in-
WT , from its neighborhood. According to Ref. [11], weight stantaneous activity (snapshot) of the network at various
normalization is not a necessary condition for LEGION to stages of dynamic evolution. Fig. 7(b) shows a snapshot of
work, but it improves the quality of synchronization. More- the network at the beginning of the simulation, display-
over, based on external input Wik can be determined at the ing the random initial conditions. Fig. 7(c) shows a snap-
start of simulation. shot shortly afterwards. One can clearly see the effect of
To illustrate how desynchronization between blocks of synchronization and desynchronization: all the oscillators
oscillators is achieved in a LEGION network, let us con- corresponding to the left O are entrained and have large
sider an example with two oscillators that are coupled only activity; at the same time, the oscillators stimulated by the
through the global inhibitor. Each oscillator is meant to cor- other three patterns have very small activity. Thus the left
respond to an oscillator block that represents a pattern in a O is segmented from the rest of the input. Figures 7(d)–(f)
scene. The same notations introduced earlier are used here. show subsequent snapshots of the network, where differ-
Again, assume that both oscillators are in the silent phase ent patterns reach the active phase and segment from the
and close to each other with y1 < y2 , as shown in Fig. 6. The rest. This successive “popout” of the objects continues in an
singular solution of the system consists of several pieces, approximately periodic fashion as long as the input stays
where the first one lasts until o1 reaches LK at t = t1 . When on. To provide a complete picture of dynamic evolution, Fig.
both oscillators are on LB, z = 0. The second piece starts 7(g) shows the temporal evolution of every oscillator. Syn-
when o1 jumps up, and when o1 crosses θz , σ∞ switches from chronization within each object and desynchronization be-
0 to 1, and z → 1 on the fast time scale. When z crosses θz , tween them are clearly shown in three oscillation periods,
the cubic corresponding to both o1 and o2 lowers from C to which is consistent with the theorem proven in [11].
CZ , the inhibited cubic. The third piece is when o1 is the
active phase, while o2 is in the silent phase. The parame-
ters are chosen so that CZ intersects with the sigmoid at
Time Delay Networks
a stable fixed point PZ along LB as shown in Fig. 6. This
guarantees that o2 → PZ , and o2 cannot jump up as long as Time delays in signal transmission are inevitable in both
o1 is on RB, which lasts until o1 reach the right knee of CZ the brain and physical systems. In local cortical circuits,
at t = t2 . The fourth piece starts when o1 jumps down to for instance, the speed of nerve conduction is less than 1
LB. When o1 crosses θz , z → 0 in fast time. When z crosses mm/ms such that connected neurons 1 mm apart have a
θz , the cubic corresponding to both o1 and o2 returns to C. time delay of more than 4% of the period of oscillation as-
There are now two cases to consider. If o2 lies below LK, as suming 40 Hz oscillations. Since small delays may com-
shown in Fig. 6, then o2 jumps up immediately. Otherwise pletely alter the dynamics of differential equations, it is
both o1 and o2 lie on LB, with o2 leading the way. This new important to understand how time delays change the be-
silent phase terminates when o2 reaches LK and jumps up. havior, particularly synchronization, of relaxation oscilla-
tor networks.
6 Relaxation Oscillators and Networks

Figure 7. Synchronization and desynchronization


in LEGION. (a) A scene composed of four patterns
which were presented (mapped) to a 20 × 20 LE-
GION network. (b) A snapshot of the activities of the
oscillator grid at the beginning of dynamic evolution.
The diameter of each black circle represents the x
activity of the corresponding oscillator. (c) A snap-
shot taken shortly after the beginning. (d) Another
snapshot taken shortly after (c). (e) Another snap-
shot taken shortly after (d). (f) Another snapshot
taken shortly after (e). (g) The upper four traces show
the combined temporal activities of the oscillator
blocks representing the four patterns, respectively,
and the bottom trace shows the temporal activity of
the global inhibitor. The ordinate indicates the nor-
malized x activity of an oscillator. Since the oscilla-
tors receiving no external input are excitable during
the entire simulation process, they are excluded from
the display. The activity of the oscillators stimulated
by each object is combined into a single trace in the
figure. The differential equations were solved using
a fourth-order Runge-Kutta method (from [20]).

Campbell and Wang [23] studied locally coupled relax- stabilized by the third cycle.
ation oscillators with time delays. They revealed the phe- Two other results regarding relaxation oscillator net-
nomenon of loose synchrony in such networks. Loose syn- works with time delays are worth mentioning. First, Camp-
chrony in networks with nearest neighbor coupling is de- bell and Wang [23] identified a range of initial conditions in
fined as follows. Coupled oscillators approach each other so which the maximum time delays between any two oscilla-
that their time difference is less than or equal to the time tors in a locally coupled network can be contained. Second,
delay between them. They analyzed a pair of oscillators they found that in LEGION networks with time delay cou-
in the singular limit ε → 0, and gave a precise diagram in pling between oscillators, desynchronous solutions for dif-
parameter space that indicates regions of distinct dynami- ferent oscillator blocks are maintained. Thus, the introduc-
cal behavior, including loosely synchronous and antiphase tion of time delays does not appear to impact the behavior
solutions. The diagram points out that loose synchrony ex- of LEGION in terms of synchrony and desynchrony.
ists for a wide range of time delays and initial conditions. Subsequently, Fox et al. [24] proposed a method to
Numerical simulations show that the singular solutions achieve zero phase-lag synchrony in locally coupled relax-
derived by them extend to the case 0 < ε  1. Furthermore, ation oscillators with coupling delays. They observed that
through extensive simulations they conclude that their pa- different speeds of motion along different nullclines could
rameter diagram for a pair of oscillators says much about result in rapid synchronization. Their analysis in particu-
networks of locally coupled relaxation oscillators. In partic- lar shows how to choose appropriate y nullclines to induce
ular, the phenomenon of loose synchrony exists in a similar different speeds of motion, which in turn lead to zero-lag
way. Figure 8 demonstrates loosely synchronous behavior synchrony. Numerical simulations demonstrate that their
in a chain of 50 oscillators with a time delay that is 3% analytical results obtained in the case of two coupled os-
of the oscillation period between adjacent oscillators. The cillators extend to 1-D and 2-D networks. More recently,
phase relations between the oscillators in the chain become Sen and Rand [25] numerically investigated the dynam-
Relaxation Oscillators and Networks 7

ics of a pair of van der Pol oscillators coupled with time More specifically, a new variable pi denoting the lateral
delays. Their comprehensive analysis revealed regions in potential for each oscillator i is introduced into the defini-
the 2-D plane of coupling strength and time delay where tion of the oscillator (cf. (6)). pi → 1 if i frequently receives
stable zero-lag synchrony occurs, as well as regions where a high weighted sum from its neighborhood, signifying that
antiphase solutions exist. Interestingly, there is an over- i is a leader, and the value of pi determines whether or not
lap between synchronous and antiphase solutions; in other the oscillator i is a leader. After an initial time period, only
words, the coupling and delay parameters can be chosen leaders can jump up without lateral excitation from other
so that the two modes of behavior are both stable, a phe- oscillators. When a leader jumps up, it spreads its activ-
nomenon of bi-rhythmicity. ity to other oscillators within its own block, so they can
also jump up. Oscillators not in this block are prevented
from jumping up because of the global inhibitor. Without
APPLICATIONS TO SCENE ANALYSIS a leader, the oscillators corresponding to noisy fragments
cannot jump up beyond the initial period. The collection of
A natural scene generally contains multiple objects, each of all noisy regions is called the background, which is gener-
which can be viewed as a group of similar sensory features. ally discontiguous.
A major motivation behind studies on oscillatory correla- Wang and Terman obtained a number of rigorous re-
tion is scene analysis, or the segmentation of a scene into a sults concerning the extended version of LEGION [22]. The
set of coherent objects. Scene segmentation, or perceptual main analytical result states that the oscillators with low
organization, plays a critical role in the understanding of lateral potentials will become excitable after a beginning
natural scenes. Although humans perform it with apparent period, and the asymptotic behavior of each oscillator be-
ease, the general problem of scene segmentation remains longing to a major region is precisely the same as the net-
unsolved in sensory and perceptual information process- work obtained by simply removing all noisy regions. Given
ing. the Terman-Wang theorem on original LEGION, this im-
Oscillatory correlation provides an elegant and unique plies that after a number of cycles a block of oscillators cor-
way to represent results of segmentation. As illustrated in responding to a major region synchronizes, while any two
Fig. 7, segmentation is performed in time; after segmen- blocks corresponding to different major regions desynchro-
tation, each segment pops out at a distinct time from the nize. Also, the number of periods required for segmentation
network and different segments alternate in time. On the is no greater than the number of major regions plus one.
basis of synchronization and desynchronization properties For gray-level images, each oscillator corresponds to a
in relaxation oscillator networks, substantial progress has pixel. In a simple scheme for setting up lateral connections,
been made to address the scene segmentation problem; see two neighboring oscillators are connected with a weight
Wang [26] for a comprehensive review. proportional to corresponding pixel similarity. To illustrate
typical segmentation results, Fig. 9(a) displays a gray-level
aerial image to be segmented. To speed up simulation with
Image Segmentation
a large number of oscillators needed for processing real
Wang and Terman [22] studied LEGION for segmenting images, Wang and Terman abstracted an algorithm that
real images. In order to perform effective segmentation, follows LEGION dynamics [22]. Fig. 9(b) shows the re-
LEGION needs to be extended to handle images with noisy sult of segmentation by the algorithm. The entire image
regions. Without such extension, LEGION would treat each is segmented into 23 regions, each of which corresponds to
region, no matter how small it is, as a separate segment, a different intensity level in the figure, which indicates the
resulting in many fragments. A large number of fragments phases of oscillators. In the simulation, different segments
degrade segmentation results, and a more serious prob- rapidly popped out from the image, as similarly shown in
lem is that it is difficult for LEGION to produce more than Fig. 7. As can be seen from Fig. 9(b), most of the major
several (5 to 10) segments. In general, with a fixed set of regions were segmented, including the central lake, major
parameters, LEGION can segment only a limited number parkways, and various fields. The black scattered regions
of patterns [11]. This number depends on the ratio of the in the figure represent the background that remains in-
times that a single oscillator spends in the silent and ac- active. Due to the use of lateral potentials, all these tiny
tive phases; see, for example, Figs. 3 and 7. This limit is regions stay in the background.
called the segmentation capacity of LEGION [22]. Noisy
fragments therefore compete with major image regions for
Auditory Scene Analysis
becoming segments, and the major segments may not be
extracted as a result. To address this problem of fragmen- A listener in an auditory environment is generally exposed
tation, they introduced the notion of lateral potential for to acoustic energy from different sources. In order to un-
each oscillator, which allows the network to distinguish be- derstand the auditory environment, the listener must first
tween major blocks and noisy fragments. The basic idea is disentangle the acoustic wave reaching the ears. This pro-
that a major block must contain at least one oscillator, de- cess is referred to as auditory scene analysis. According to
noted as a leader, which lies in the center area of a large ho- Bregman [27], auditory scene analysis takes place in two
mogeneous image region. Such an oscillator receives large stages. In the first stage, the acoustic mixture reaching the
lateral excitation from its neighborhood, and thus its lat- ears is decomposed into a collection of sensory elements (or
eral potential is charged high. A noisy fragment does not segments). In the second stage, segments that likely arise
contain such an oscillator. from the same source are grouped to form a stream that is
8 Relaxation Oscillators and Networks

Figure 8. Loose synchrony in a chain of 50 relax-


ation oscillators (from [23]). This network achieves
loose synchrony and stability by the third period of
oscillation.

Figure 9. Image segmentation (from [22]). (a) A


gray-level image consisting of 160 × 160 pixels. (b)
Result of segmenting the image in (a). Each segment
is indicated by a distinct gray level. The system pro-
duces 23 segments plus a background, which is indi-
cated by the black scattered regions in the figure.

Figure 10. Speech segregation (from [30]). (a) Peripheral responses to a mixture of voiced ut-
terance and telephone ringing. The 2-D response is produced by 128 auditory filters with center
frequencies ranging from 80 Hz to 5 kHz, over 150 time frames. (b) Segregated speech that is in-
dicated by white pixels representing active oscillators at a time. (c) Segregated background that is
indicated by white pixels representing active oscillators at a different time.
Relaxation Oscillators and Networks 9

a perceptual representation of an auditory event. background. Figure 10 shows an example of segregating a


Auditory segregation was first studied from the oscil- mixture of a voiced utterance and telephone ringing. The
latory correlation perspective by von der Malsburg and input mixture after peripheral analysis is displayed in Fig.
Schneider [14]. They constructed a fully connected oscil- 10(a). The segregated speech stream and the background
lator network, each oscillator representing a specific audi- are shown in Figs. 10(b) and 10(c), respectively, where a
tory feature. Additionally, there is a global inhibitory os- segment corresponds to a connected region.
cillator introduced to segregate oscillator groups. With a
mechanism of rapid modulation of connection strengths,
they simulated segregation based on onset synchrony, i.e., CONCLUDING REMARKS
oscillators simultaneously triggered synchronize with each
other, and these oscillators desynchronize with those rep- Relaxation oscillations are characterized by two time
resenting another stream presented at a different time. scales, and exhibit qualitatively different behaviors than
However, due to global connectivity that is unable to en- sinusoidal or harmonic oscillations. This distinction is par-
code topological relations, their model cannot simulate the ticularly prominent in synchronization and desynchroniza-
basic phenomenon of stream segregation. tion in networks of relaxation oscillators. The unique prop-
By extending LEGION to the auditory domain, Wang erties in relaxation oscillators have led to new and promis-
proposed an oscillator network for addressing stream seg- ing applications to neural computation, including scene
regation [28]. The basic architecture is a 2-D LEGION net- analysis. It should be noted that networks of relaxation os-
work, where one dimension represents time and another cillations often lead to very complex behaviors other than
represents frequency. This network, plus systematic delay synchronous and antiphase solutions. Even with identical
lines, can group auditory features into a stream by phase oscillators and nearest neighbor coupling, traveling waves
synchronization and segregate different streams by desyn- and other complex spatiotemporal patterns can occur [31].
chronization. The network demonstrates a set of psycholog- Relaxation oscillations with a singular parameter lend
ical phenomena regarding auditory scene analysis, includ- themselves to analysis by singular perturbation theory
ing dependency on frequency proximity and temporal prox- [32]. Singular perturbation theory in turn yields a geomet-
imity, sequential capturing, and competition among differ- ric approach to analyzing relaxation oscillation systems,
ent perceptual organizations [27]. Brown and Wang [29] as illustrated in Figs. 4 and 6. Also based on singular so-
used an array of relaxation oscillators for modeling the per- lutions, Linsay and Wang [33] proposed a fast method to
ceptual segregation of double vowels. It is well documented numerically integrate relaxation oscillator networks. Their
that the ability of listeners to identify two simultaneously technique, called the singular limit method, is derived in
presented vowels is improved by introducing a difference the singular limit ε → 0. A numerical algorithm is given for
in fundamental frequency (F0) between the vowels. Prior the LEGION network, and it produces large speedup com-
to the oscillator array, an auditory mixture is processed by pared to commonly used integration methods such as the
an auditory filterbank, which decompose an acoustic sig- Runge-Kutta method. The singular limit method makes it
nal into a number of frequency channels. Each oscillator possible to simulate large-scale networks of relaxation os-
in the array receives an excitatory input from its corre- cillators.
sponding frequency channel. In addition, each oscillator Computation using relaxation oscillator networks is in-
sends excitation to a global inhibitor which in turn feeds herently parallel, where each single oscillator operates in
back inhibition. The global inhibitor ensures that weakly parallel with all the other oscillators. This feature, plus
correlated groups of oscillators desynchronize to form dif- continuous-time dynamics makes oscillator networks at-
ferent streams. Simulations on a vowel set used in psy- tractive for direct hardware implementation. Using CMOS
chophysical studies confirm that the results produced by technology, for example, Cosp and Madrenas [34] fabri-
their oscillator array qualitatively match the performance cated a VLSI chip for a 16 × 16 LEGION network and used
of human listeners; in particular vowel identification per- the chip for a number of segmentation tasks. With its dy-
formance increases with increasing difference in F0. namical and biological foundations, oscillatory correlation
Subsequently, Wang and Brown [30] studied a more dif- promise to offer a general computational framework.
ficult problem, speech segregation, on the basis of oscil-
latory correlation. Their model embodies Bregman’s two-
ACKNOWLEDGMENTS
stage conceptual model by introducing a two-layer network
of relaxation oscillators. The first layer is a LEGION net-
The preparation for this article was supported in part by
work with time and frequency axes that segments an au-
an AFOSR grant (FA9550-04-1-0117) and an NSF grant
ditory input into a collection of contiguous time-frequency
(IIS-0534707).
regions. This segmentation is based on cross-channel corre-
lation between adjacent frequency channels and temporal
continuity. The second layer, which is a laterally connected BIBLIOGRAPHY
network, then groups auditory segments produced in the
first layer on the basis of common periodicity. More specif- 1. B. van der Pol,“ On ‘relaxation oscillations’,” Philosophical
ically, dominant F0 detected within a time frame is used Magazine, vol.2,pp. 978–992, 1926.
to divide all frequency channels into those that are con- 2. B. van der Pol,“ Biological rhythms considered as relaxation
sistent with F0 and the rest. As a result, the second layer oscillations,” Acta Med. Scand. Suppl., vol.108,pp. 76–87,
segregates the segments into a foreground stream and the 1940.
10 Relaxation Oscillators and Networks

3. A. V. Hill,“ Wave transmission as the basis of nerve activ- 24. J. J. Fox, C. Jayaprakash, D. L. Wang, and S. R. Campbell,“
ity,” Cold Spring Harbour Symp. on Quant. Biol., vol.1,pp. Synchronization in relaxation oscillator networks with con-
146–151, 1933. duction delays,” Neural Comp., vol.13,pp. 1003–1021, 2001.
4. J. Grassman, Asymptotic methods for relaxation oscillations 25. A. K. Sen and R. H. Rand,“ A numerical investigation of the
and applications. New York: Springer-Verlag, 1987. dynamics of a system of two time-delay coupled relaxation
5. A. L. Hodgkin and A. F. Huxley,“ A quantitative description oscillators,” Comm. Pure Appl. Anal., vol.2,pp. 567–577, 2003.
of membrane current and its application to conduction and
excitation in nerve,” J. Physiol. (Lond.), vol.117,pp. 500–544, 26. D. L. Wang,“ The time dimension for scene analysis,” IEEE
1952. Trans. Neural Net., vol.16,pp. 1401–1426, 2005.
6. J. P. Keener,“ Analog circuitry for the van der Pol and 27. A. S. Bregman, Auditory scene analysis. Cambridge MA: MIT
FitzHugh-Nagumo equations,” IEEE Trans. Syst. Man Cy- Press, 1990.
bern., vol.13,pp. 1010–1014, 1983. 28. D. L. Wang,“ Primitive auditory segregation based on oscilla-
7. R. FitzHugh,“ Impulses and physiological states in models of tory correlation,” Cognit. Sci., vol.20,pp. 409–456, 1996.
nerve membrane,” Biophys. J., vol.1,pp. 445–466, 1961. 29. G. J. Brown and D. L. Wang,“ Modelling the perceptual segre-
8. J. Nagumo, S. Arimoto, and S. Yoshizawa,“ An active gation of double vowels with a network of neural oscillators,”
pulse transmission line simulating nerve axon,” Proc. IRE, Neural Net., vol.10,pp. 1547–1558, 1997.
vol.50,pp. 2061–2070, 1962. 30. D. L. Wang and G. J. Brown,“ Separation of speech from inter-
9. C. Morris and H. Lecar,“ Voltage oscillations in the barnacle fering sounds based on oscillatory correlation,” IEEE Trans.
giant muscle fiber,” Biophys. J., vol.35,pp. 193–213, 1981. Neural Net., vol.10,pp. 684–697, 1999.
10. J. Rinzel and G. B. Ermentrout,“ Analysis of neural excitabil- 31. S. Campbell,“ Synchrony and desynchrony in neural oscilla-
ity and oscillations,” in Methods in neuroal modeling, C. tors,”Ph.D. Dissertation, The Ohio State University Depart-
Koch andI. Segev, Eds. Cambridge MA: MIT Press, 1989, pp. ment of Physics, 1997.
135–169. 32. N. Fenichel,“ Geometrical singular perturbation theory for or-
11. D. Terman and D. L. Wang,“ Global competition and local dinary differential equations,” J. Diff. Eq., vol.31,pp. 53–98,
cooperation in a network of neural oscillators,” Physica D, 1979.
vol.81,pp. 148–176, 1995. 33. P. S. Linsay and D. L. Wang,“ Fast numerical integration of re-
12. R. Eckhorn, R. Bauer, W. Jordan, M. Brosch, W. Kruse, M. laxation oscillator networks based on singular limit solutions,”
Munk, and H. J. Reitboeck,“ Coherent oscillations: A mech- IEEE Trans. Neural Net., vol.9,pp. 523–532, 1998.
anism of feature linking in the visual cortex,” Biol. Cybern., 34. J. Cosp and J. Madrenas,“ Scene segmentation using neu-
vol.60,pp. 121–130, 1988. romorphic oscillatory networks,” IEEE Trans. Neural Net.,
13. C. M. Gray, P. König, A. K. Engel, and W. Singer,“ Oscillatory vol.14,pp. 1278–1296, 2003.
responses in cat visual cortex exhibit inter-columnar synchro-
nization which reflects global stimulus properties,” Nature, DELIANG WANG
vol.338,pp. 334–337, 1989.
Department of Computer
14. C. von der Malsburg and W. Schneider,“ A neural cocktail- Science & Engineering
party processor,” Biol. Cybern., vol.54,pp. 29–40, 1986.
Center for Cognitive Science,
15. N. D. Mermin and H. Wagner,“ Absence of ferromagnetism The Ohio State University,
or antiferromagnetism in one- or two-dimensional isotropic
Columbus, OH, 43210-1277
Heisenberg models,” Phys. Rev. Lett., vol.17,pp. 1133–1136,
1966.
16. D. Somers and N. Kopell,“ Rapid synchrony through fast
threshold modulation,” Biol. Cybern., vol.68,pp. 393–407,
1993.
17. D. L. Wang,“ Modeling global synchrony in the visual cortex by
locally coupled neural oscillators,”In Proc. of 15th Ann. Conf.
Cognit. Sci. Soc.,Boulder CO, 1993.
18. H. R. Wilson and J. D. Cowan,“ Excitatory and inhibitory in-
teractions in localized populations of model neurons,” Biophys.
J., vol.12,pp. 1–24, 1972.
19. S. R. Campbell, D. L. Wang, and C. Jayaprakash,“ Synchro-
nization rates in classes of relaxation oscillators,” IEEE Trans.
Neural Net., vol.15,pp. 1027–1038, 2004.
20. D. L. Wang and D. Terman,“ Locally excitatory globally
inhibitory oscillator networks,” IEEE Trans. Neural Net.,
vol.6,pp. 283–286, 1995.
21. D. L. Wang,“ Emergent synchrony in locally coupled neural
oscillators,” IEEE Trans. Neural Net., vol.6,pp. 941–948, 1995.

22. D. L. Wang and D. Terman,“ Image segmentation based on


oscillatory correlation,” Neural Comp., vol.9,pp. 805–836(for
errata see Neural Comp., vol.9,pp. 1623–1626, 1997), 1997.
23. S. R. Campbell and D. L. Wang,“ Relaxation oscillators with
time delay coupling,” Physica D, vol.111,pp. 151–178, 1998.
SAMPLE-AND-HOLD CIRCUITS 651

SAMPLE-AND-HOLD CIRCUITS

Sample-and-hold circuits were first introduced as a front end


for analog-to-digital data converters. Processing electrical in-
formation in a discrete or digital fashion appears to be more
reliable, repeatable, and accurate than in the analog domain.
However, converting analog signals to digital ones can be of-
ten disrupted if the input signal changes during the conver-
sion cycle. The exact moment in time when the input is
sensed and compared with a reference can be different across
the data converter, resulting in aperture errors. Conse-
quently, it appears useful to memorize the input signal and
hold it constant for the comparators that perform the conver-
sion to the digital domain. Among different data-converter ar-
chitectures, only the so-called ‘‘flash’’ ones can perform with-
out requiring a sample-and-hold circuit although its usage is
usually beneficial even in this case.
Another application for sample-and-hold circuits is as a
back end for digital-to-analog data converters. The analog
voltage generated by these converters is subject to glitches
due to the transitions occurring between consecutive digital
input codes. A sample-and-hold circuit can be used to sample
the analog voltage between the glitches, effectively smoothing
the output waveform between two held output-voltage levels.
Then, a low-pass filter following the sample-and-hold circuit
is able to restore the continuous-time analog waveform much
more efficiently than in the presence of glitches.
Switched-capacitor or switched-current signal processing
inherently requires the input signal to be sampled and held
for subsequent operations. There is a widespread usage of
this type of processing; hence a variety of applications employ
some sort of sample-and-hold circuit as a front end. It can be
inferred that any sampled-data system requires a sample-
and-hold operation at some point.
When used inside a larger system, the performance of a
sample-and-hold circuit could limit the overall performance.
Speed, accuracy, and power consumption are a few criteria to
be observed during the design process or simply from a user’s
perspective. This article is intended to describe and present
different implementations of sample-and-hold circuits as well
as associated nonidealities. The following section includes a
list of errors that make a real-life implementation different
from the ideal sample-and-hold circuit model. The third sec-
tion describes different implementations of these circuits
organized into metal oxide semiconductor (MOS) transistor-
based open-loop architectures, MOS-transistor-based closed-
loop architectures, bipolar-device-based architectures, and
current-mode architectures. The last section of the article out-
lines some conclusions and provides a brief overview regard-
ing modern applications of sample-and-hold circuits.

SAMPLE-AND-HOLD PERFORMANCE SPECIFICATIONS

A simplified model of a sample-and-hold circuit is a switch


connected between the input and one terminal of a holding
capacitor. The other terminal of the capacitor is tied to a ref-
erence voltage (ground), and the output of the sample-and-
hold is the voltage across the capacitor. The switch is con-
trolled by a digital signal that determines the sampling time
and the hold duration. Ideally, the output voltage tracks the
input exactly when the switch is closed (track or sample
mode) and stores a sample of the input voltage when the
J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
652 SAMPLE-AND-HOLD CIRCUITS

Sampling moment
Error band

Error band
Input Output

Settling time Pedestal


error
Output
Offset Settling time

Figure 1. Offset and settling time during sample mode. The output Figure 3. Settling time and pedestal voltage during the sample-to-
of a sample-and-hold circuit settles to a step at the input. hold transition.

switch opens (hold mode). In reality the behavior of the circuit specification discussed in the preceding subsection. This non-
deviates from this ideal model affecting the performance. The ideal behavior is shown in Fig. 3. Another nonideal effect is
following subsections describe the specifications used to char- also presented in Fig. 3 and is called the pedestal error. This
acterize sample-and-hold circuits. error appears as an offset in the output signal and is due
to charge injected from the sampling switch, which could be
Sample-Mode Specifications dependent on the input signal, implying nonlinearity.
Aperture time is another important specification and is de-
The operation during the sample mode is similar to a voltage
fined as the amount of time it takes for the sampling switch
or current amplifier. Thus any specifications used to charac-
to open. This is attributed to the finite slope of the clock and
terize an amplifier can be used to characterize the sample-
a gradual transition of the sampling switch from a low-imped-
and-hold circuit in sample mode. Some of the key specifica-
ance (closed) to a high-impedance (opened) state. The signal
tions in this mode of operation are offset, settling time, gain
stored at the output is a function of the aperture delay ta, the
error, and nonlinearity. The offset is defined as the difference
analog delay tda of the signal through the sample-and-hold cir-
between the input and the output with no signal applied at
cuit, and the digital delay tdd of the clock signal that turns off
the input. This is shown in Fig. 1. The time it takes for the
the switch. It can be ultimately characterized as shown in
output to settle within a certain error band around its final
Fig. 4 by an effective aperture time teff . The analog delay is
value with a step applied at the input is called the settling
caused by the frequency response of the sample-and-hold cir-
time. The size of the step is usually full scale as shown in Fig.
cuit, and the digital delay is produced by any logic in the path
1 unless specified otherwise. Gain error and nonlinearity are
of the external clock to the switch. The aperture jitter is the
both steady-state errors that describe the deviation of the
variation in the hold signal delay. In some applications, such
magnitude transfer characteristic from a straight line with a
as analog-to-digital data conversion, a constant delay in the
slope of 1. As represented in Fig. 2, the gain error appears as
sampling time is not important. However, aperture jitter
the deviation of the slope of line P1P2 from 45⬚ and can be
could be extremely damaging, adding significant noise to the
expressed as Gerror ⫽ 1 ⫺ tan ␪. One definition of nonlinearity
output signal and effectively lowering the resolution of the
is the maximum deviation of the transfer characteristic from
system.
line P1P2. This is also shown in Fig. 2. Other sample-mode
specifications include bandwidth, slew rate, distortion, and
Hold-Mode Specifications
noise, which also characterize a general amplifier, are defined
in a similar fashion. Ideally, the holding capacitor should be completely isolated
from any interference during the hold mode and the output
Sample- to Hold-Mode Specifications signal should remain constant. In a real-life implementation
there is always a small current flowing across the holding ca-
The switching from sample to hold mode is accompanied by
transients that appear in the output. The time it takes for
these transients to settle within a given error bound is called
the settling time, similar to the corresponding sample-mode Input
Output

End point = P2
tda ta
Internal hold
Output

clock tdd
Nonlinearity
External hold
Gain error = 1 – tan θ clock
θ
teff
Start point = P1 Input

Figure 2. Gain error and nonlinearity. A sample-and-hold circuit ex- Figure 4. Time delays that determine the effective aperture time
hibits gain error as deviation of line P1P2 from a 45⬚ slope, and nonlin- during the sample-to-hold transition: ta, aperture delay; tdd, digital
earity as curvature in the input–output characteristic. delay; tda, analog delay; teff , effective aperture time.
SAMPLE-AND-HOLD CIRCUITS 653

Sampling Open-Loop Sample-and-Hold Circuits


moment
The simplest voltage-mode sample-and-hold circuit requires
Input only two elements: a switch and a capacitor, as shown in Fig.
6 (1–3). The switch is controlled by a clock signal ␾ that is
Droop Feedthrough turned on and off each sample period. When the switch is on,
(peak-to-peak) the input voltage appears on the capacitor, and the circuit is
Output actually in track mode. When the switch is turned off, the
signal voltage at that instance is sampled on the capacitor,
Figure 5. Droop and feed-through errors during hold mode. which holds the voltage constant until the next track phase.
Although this ideally implements the desired sample-and-
hold function using only two elements, the difficulty in realiz-
pacitor during the hold mode. This nonideal effect produces ing an ideal switch severely limits the performance of such a
droop in the output signal as shown in Fig. 5. As an example, design. Real switches are implemented using MOS or bipolar
in an analog-to-digital data converter the droop should not transistors or diode bridges, each of which has its own idio-
exceed one-half of the least-significant bit value during the syncrasies. This subsection will primarily focus on the use of
conversion cycle. Generally, the droop current could be a bipo- MOS switches, while circuits utilizing bipolar transistors or
lar base current or simply junction leakage. Another specifi- diodes will be discussed in the following subsection.
cation during the hold mode is the feedthrough from the input A MOS transistor deviates from an ideal switch in several
signal. This occurs through parasitic capacitance between the ways, the most obvious of which is in terms of on-resistance.
input and the output nodes of a sample-and-hold circuit, al- When the MOS switch is turned on, it typically will have a
though it can occur between other nodes in the system and low drain-to-source voltage (VDS) and a high gate-to-source
the output. Figure 5 also presents this effect. Again, in refer- voltage (VGS) [n-type MOS assuming (NMOS), opposite polar-
ence to the data-converter example, the feedthrough peak-to- ity for p-type MOS (PMOS)], causing it to operate in the tri-
peak amplitude should not exceed one least significant bit of ode or nonsaturation region, where the drain-to-source cur-
the converter. rent (IDS) is given by (4)
There are a few other factors that influence the perfor-
W
mance during the hold mode. Nonidealities in the holding ca- IDS = K  [(VGS − VT )VDS − 12 VDS
2
] (1)
pacitor can cause dielectric absorption. Consequently, the ca- L
pacitor exhibits a memorylike behavior, corrupting the newly
which, when VDS Ⰶ (VGS ⫺ VT), can be approximated as
stored sample in the direction of the previous sample. Electri-
cal noise is also a factor, and the value of the capacitor plays W
an important role since the kT/C term (k is the Boltzmann IDS ≈ K  (V − VT )VDS (2)
L GS
constant, T the absolute temperature in kelvin, and C the
holding capacitor value) is usually the main contributor.
where VT is the threshold voltage. The large-signal on-resis-
tance of the switch is then given by the voltage across the
Hold- to Sample-Mode Specifications device, VDS, divided by the current through it, IDS, yielding
When switching from the hold to sample mode, there is a de-  −1
lay between the sampling edge of the clock and the moment W
Rswitch ≈ K  (VGS − VT ) (3)
the output settles to the steady state when it tracks the input. L
This time is known as the acquisition time and is also deter-
mined by an error band. Since the input can change signifi- The switch on-resistance is clearly nonzero, meaning that the
cantly while the circuit is in hold mode, the acquisition time circuit will exhibit some transient exponential settling when
is usually specified for a full-scale change in the input unless the switch first turns on and then a low-pass filtering re-
mentioned otherwise. sponse during steady-state operation while it remains on (for
input signal frequencies greater than dc). Clearly the switch
resistance must be designed properly to provide sufficient lev-
IMPLEMENTATION OF SAMPLE-AND-HOLD CIRCUITS
els of settling and bandwidth for the speed and precision
needed.
If one starts with the basic ideal model for a sample-and-hold
circuit, there are various ways to implement a switch and a
capacitor in either discrete or monolithic forms. The interface
between this model and the input signal or adjacent system φ
blocks implies the usage of additional circuitry. There is also φ
added complexity from dealing with different circuit nonideal- In Out In
ities, an increase in operating speed, or a decrease in power
consumption. The following subsections embrace this gradual
Out
approach from a basic model to a more complex structure,
which provides an implementation that is close to real life. A
variety of topologies and implementations is presented includ- Figure 6. Simple sample-and-hold circuit with example signals
ing open- and closed-loop, bipolar, and MOS-based, as well as shown. When ␾ is high, the output tracks the input and when ␾ is
current-mode circuits. low, the output is held.
654 SAMPLE-AND-HOLD CIRCUITS

Not only is the resistance of the switch nonzero and depen- φ


dent on the semiconductor process parameter K⬘ and device 2W
–––
L
size (W and L), but it also varies with the voltage on the In Out
source of the transistor, which will be a function of the input W
φ –
voltage. If, as is done in the simplest cases, the gate of the L
transistor is tied to a constant-supply-voltage level while the
switch is on, then the switch resistance varies with the input Figure 7. Simple sample-and-hold circuit with dummy-switch com-
signal, causing nonlinear dynamic circuit operation. If an pensation for reducing the charge injection. The dummy device is half
NMOS switch is used, then its on-resistance will rise toward the size (W/L vs. 2W/L) of the series switch device.
infinity as the input voltage approaches VDD ⫺ VT,n, limiting
the input range to voltages well below this. Similarly, a
PMOS switch will limit the input to voltages well above reduced by driving the gate with a bootstrapped version of the
VSS ⫹ 兩VT,p兩. A wider input range is obtained by using a com- input signal, which makes the effects independent of signal to
plementary MOS (CMOS) switch, consisting of an NMOS and first order, just as in the case of switch on-resistance. The use
a PMOS switch in parallel, with the PMOS device driven by of a full CMOS switch rather than only a single NMOS or a
the inverted version of the sampling signal ␾. This configura- single PMOS device also gives a very rough cancellation of
tion enables sampling of an input range extending from VSS these effects. The NMOS device will inject negative channel
to VDD, although on-resistance of the switch will still vary sig- charge onto the sampling capacitor, while the PMOS switch
nificantly over the entire range, giving rise to nonlinear dy- will inject positive channel charge. Similarly, the charge cou-
namic performance. This effect can be avoided by driving the pled through the overlap capacitances will also be in opposing
switch gates with a bootstrapped version of the input signal directions. Unfortunately, these cancellations do not hold as
while the switches are on, such that both gates and sources the input is varied over its input range and so give little
will vary similarly with respect to the input (5). This removes benefit.
the input signal dependence from the VGS term in Eq. (3), Dummy-switch cancellation is another technique that can
making the on-resistance independent of the input, at least be used, though generally with limited effectiveness, to re-
to first order. In reality, higher-order effects will eventually duce charge injection and clock feedthrough. As shown in Fig.
come into play to limit the linearity, but this technique is use- 7, a dummy-switch device with half the gate area of the main
ful in gaining some performance improvement. switch is placed on the capacitor side of the sampling switch
Another limitation of the MOS switch is charge injection and is clocked with an inverted version of the sampling signal
and clock feedthrough, which are sometimes used inter- ␾. Thus, during acquisition, the main switch is on and the
changeably to describe two effects that occur when the switch dummy switch is off. At the sampling instant, the dummy
is turned off. When the transistor is on, charge collects under switch is turned on, causing it to pull charge from the sam-
the gate of the transistor to form the channel from drain to pling capacitor to form the channel under its gate. This
source. When the switch is turned off, this charge exits the charge approximately cancels that injected by the main
channel primarily to the drain and source, with the propor- switch, assuming roughly half of the main switch charge was
tional split depending on speed of the clock transition and the injected toward the capacitor.
impedance seen by the charge in each direction (6). The part The simple sample-and-hold circuit using a MOS switch
of the charge that exits toward the sampling capacitor will can also experience input signal feedthrough during the hold
cause the capacitor voltage to drop slightly (assuming an mode due to the MOS overlap capacitances, especially when
NMOS transistor with negative channel charge) from its im- sampling high-speed inputs. When the circuit is in hold mode,
mediately previous value. This would only create a constant the MOS gate will be pulled to the low supply voltage (assum-
pedestal error in the sample-and-hold circuit output if the ing an NMOS switch), typically by a digital gate’s output. The
packet of charge were always the same, but unfortunately digital gate will have a finite, nonzero resistance from its out-
this is not the case. The channel charge is approximately put to the low supply voltage, yielding an effective circuit
given by such as that shown in Fig. 8. Analysis of this circuit reveals
a nonzero transfer function from the input to the sampled
Qchannel ≈ CoxWL(VGS − VT ) (4) output node, causing the feedthrough. Care must be taken
during the design process to characterize the level of feed-
which reveals that, if the gate of the switch is tied to a con- through that occurs and keep it sufficiently low through a
stant supply voltage while turned on, then the channel charge low-resistance gate drive or more elaborate design modifica-
will be signal dependent. In reality, this signal dependence of tions.
the injected charge is a major source of nonlinearity in the
sample-and-hold circuit.
The second effect, often termed clock feedthrough, is caused Coverlap Coverlap
by the MOS overlap capacitance between the gate and source In Out
or drain connected to the sampling capacitor. As the gate volt-
age is dropping from a high on-voltage to a low off-voltage, the Rdriver
transistor actually shuts off when the gate is approximately a
threshold voltage above the source or drain voltage. As the Figure 8. Effective circuit of the simple sample-and-hold circuit us-
gate voltage continues to fall further, the voltage step is ca- ing an NMOS switch while in hold mode. The gate is driven low by a
pacitively coupled onto the sampling capacitor through the circuit with nonzero output resistance, such as a digital gate or clock
MOS overlap capacitance, causing the voltage on the sam- driver. The MOS overlap capacitances couple the input signal to the
pling capacitor to change. Both of these charge effects can be output even with the MOS switch turned off.
SAMPLE-AND-HOLD CIRCUITS 655

φ
input voltage is sampled across the capacitor through the
φ
series resistances of the two switches. The actual sampling
In Buffer Buffer Out In instant occurs when ␾1 falls, open-circuiting the right side of
C the capacitor. ␾1d then falls immediately afterward, discon-
necting the capacitor from the input signal. When ␾2 goes
Out high, an inverted version of the sampled input voltage ap-
pears at the right side of the capacitor and is buffered to the
Figure 9. Simple sample-and-hold circuit with input and output output. Note that this circuit cannot be termed a track-and-
buffering. hold circuit, since the output never tracks the input directly,
but instead has its input grounded while the input capacitor
is being charged. The advantage of this design is its avoidance
of signal-dependent charge-injection effects. The ␾1d falling
A fundamental trade-off occurs in this simple sample-and-
edge is intentionally delayed from the ␾1 falling edge in order
hold circuit between speed and linearity. In order for the cir-
to make only the ␾1 switch’s charge injection important (oth-
cuit to have high bandwidth and fast settling, a large width
erwise ␾1d is the same as ␾1). After the ␾1 switch is off, any
transistor with low on-resistance is needed. However, larger
further charge injection or transients at the left-hand side of
width also means more channel charge, which then increases
the capacitor will not affect the charge that has been isolated
the charge injection and generally reduces the circuit’s linear-
on the node at the right-hand side of the capacitor. In addi-
ity performance. While the sampling switch must be designed
tion, because the ␾1 switch always operates at ground poten-
to meet the speed and settling requirements of the given ap-
tial, its channel charge (and on-resistance) will always be the
plication, it should not be unnecessarily overdesigned to have
same, without the need for a bootstrapped gate drive. The
excessively low on-resistance.
only signal dependence left in the charge injected by the ␾1
Yet another limitation of the design shown in Fig. 6 is its
switch is due to variations in the impedance looking back to-
lack of drive capability while in hold mode. If this circuit is
ward the input signal source as the input voltage varies.
loaded by a resistive or switched capacitive load, then the
While this sample-and-hold circuit has an advantage with
sampled signal voltage on the capacitor will leak off while the
respect to charge injection, it also has a disadvantage in that
sampling switch is off. This problem is easily addressed by
it is sensitive to parasitic capacitance at the right-hand side
adding a unity-gain buffer at the output. The loading that
of the sampling capacitor. Parasitic capacitance to ground at
this sample-and-hold circuit places on the input signal source
this point will lead to charge redistribution during ␾2, thereby
can also be a problem in some applications, particularly for
attenuating the sampled voltage and causing a gain error in
sources with large output resistance or limited slew rate. This
the system. In addition, if the parasitic capacitor happens to
is also easily remedied with another unity-gain buffer, now
be nonlinear, such as the reverse-biased diode junction capac-
placed at the input. The resulting design is shown in Fig. 9.
itance associated with the ␾1 switch, then nonlinearity will
While these buffers do solve the loading problems, in reality
also be introduced along with the attenuation. These effects
each will have some amount of dc offset, gain error (i.e., the
are reduced by making the sampling capacitor sufficiently
gain of the buffer is not exactly unity), and nonlinearity, all
larger than the parasitic capacitors, but then settling is af-
of which will directly affect the offset, gain error, and nonline-
fected, and another trade-off between speed and linearity can
arity of the overall sample-and-hold circuit. The dynamic per-
be seen. An alternative solution to these problems is to use a
formance of the buffers is also a concern. When the sampling
closed-loop architecture, which exploits the benefits of nega-
switch is turned on, the input buffer may have to supply a
tive feedback within its design. These architectures are dis-
sudden impulse of current to the sampling capacitor, typically
cussed in a following subsection.
causing a transient settling response at the buffer output.
From an ideal standpoint, one would prefer a sample-and-
This may include both slewing and linear settling behavior,
hold circuit that did not have any reset phases or a track
both of which must settle out to the desired level of precision
phase, but would instead only transition from one hold state
before the sampling switch is opened. The buffers will also
to the next hold state, thus giving the circuitry that follows
have limited bandwidth, creating a steady-state amplitude
the maximum time to utilize the held signal. Although the
and phase error that varies with input frequency, indepen-
circuits shown in Figs. 9 and 10 provide a valid output for
dent of whether the buffer transient responses have settled
approximately half of the sampling period, this can be ex-
or not.
tended to a full sampling period simply by using two or more
While the simple open-loop sample-and-hold circuit shown
parallel sample-and-hold circuits that operate on different
in Fig. 6 does have many performance limitations, some of
clock phases and multiplexing among their outputs, as shown
these can be reduced or avoided by modifying the circuit ar-
in Fig. 11 (7). This multirate polyphase design approach
chitecture. An alternative open-loop sampling using three
trades off power and circuit area in exchange for an increase
clocks is shown in Fig. 10. While ␾1 and ␾1d are high, the
in effective sampling rate and a maximized valid output time.
While this works perfectly in theory, practical issues such as
matching from channel to channel will limit its range of appli-
φ 1d C cation. For example, if four parallel channels are used, each
In Buffer Out with a different dc offset and different gain, then the single
φ2 φ1 output after multiplexing will contain pattern noise even
when a zero input is applied. In addition, a modulation effect
can occur between the pattern noise and the signal, mixing
Figure 10. Modified sample-and-hold circuit using additional high-frequency components to low frequency and vice versa.
switches and an output buffer. If the input is band-limited and sufficiently oversampled,
656 SAMPLE-AND-HOLD CIRCUITS

φ 1A φ 1B have double the input and output swing as the single-ended


VIn 1 1 VOut design, due to the fact that the signals are now Vplus ⫺ Vminus
φ 1A
C rather than Vplus ⫺ Vground. This helps keep the signal higher
φ 2A above the noise floor, thus maintaining a higher signal-to-
φ 2A φ 2B noise ratio. While fully differential circuits provide numerous
φ 3A
1 1 advantages, they also require approximately double the area
C φ 4A
and power of a single-ended design, and extra circuitry and
φ 1B complexity are needed to control the common-mode voltages
φ 3A φ 3B
φ 2B
of nodes throughout the design. However, most designers of
1 1
C high-performance analog circuitry have accepted the need for
φ 3B differential circuitry and utilize it extensively.
φ 4A φ 4B φ 4B
1 1
C SAMPLE-AND-HOLD CIRCUITS USING
BIPOLAR AND DIODE DEVICES
Figure 11. Polyphase sample-and-hold architecture demonstrated
using four parallel channels, each phased separately, to achieve a The basic components of sample-and-hold circuits can be also
fourfold increase in effective sampling rate. implemented using bipolar technology. Semiconductor diodes
and bipolar transistors are potential candidates for per-
forming the switch function as well as input and output buff-
then the pattern noise and modulation artifacts can be kept ering. The holding capacitor could be a metal-metal or a MOS
spectrally separate from the signal component and thus fil- structure in the case of monolithic implementations or just a
tered out in a later stage. discrete component otherwise. The first part of this subsection
While all of the designs shown thus far have used a single will discuss sample-and-hold circuits in which the required
input voltage relative to ground and have provided a single switching operation is implemented using bipolar transistors.
output also relative to ground, each of the circuits can easily Following this, diode-bridge sample-and-hold circuits are in-
be converted to a fully differential configuration. Figure 12 troduced and the subsection is concluded with a discussion
demonstrates a fully differential version of the circuit origi- regarding performance comparison between MOS and bipolar
nally shown in Fig. 10, in which the input is applied as the implementations.
difference between two node voltages, and the output is sup- Although the bipolar transistor exhibits similar behavior
plied as the difference between the two output node voltages. to a MOS transistor, when used in amplifier configurations,
Fully differential configurations are widely used in high-per- there are fundamental differences that prohibit its usage di-
formance analog designs due to a variety of advantages. If rectly as a switch in the same fashion as a MOS-based one.
one assumes that corresponding switches and components are As an example, for an n–p–n bipolar transistor, when the
matched, a fully differential circuit will provide cancellation base voltage is lower or equal to its collector and emitter volt-
of dc offsets associated with charge injection and clock feed- ages, the resistance seen between the emitter and collector is
through due to the (ideally) same charge being injected onto high. When its base voltage is higher (both base–collector and
both sampling capacitors. Because the output is used differen- base–emitter junctions are forward biased), the resistance
tially, only the difference between the sampling capacitor ‘‘seen’’ between the emitter and collector becomes low; how-
voltages is important, and so the identical charge that was ever, it is very nonlinear. Consequently, an arrangement such
injected into both capacitors cancels out. Fully differential cir- as that in Fig. 6 from the previous subsection, where the
cuits also have low (ideally zero) even-order harmonic distor- switch was replaced with an n–p–n transistor, is not possible.
tion components due to their symmetry if both sides of the Consequently, bipolar transistors can be used as switches al-
differential circuitry are matched. These designs also exhibit though not in series with the holding capacitor. An alterna-
higher power-supply rejection than single-ended designs due tive is to use bipolar transistors configured as source followers
to power-supply noise, causing a similar response on both in the signal path and employ bipolar-based switches to con-
sides of the differential circuit, which then cancels out when trol their bias current.
only the difference in the two output nodes is considered. In Figure 13 presents a simple sample-and-hold circuit in
addition, even though the voltage swing of the input and out- which the switch is constituted by the combination of bipolar
put nodes remains the same, the fully differential circuit will transistors T1–T3 and a current source I. When the clock sig-
nal is high, the circuit is in track mode. Transistors T2 and
T3 can be regarded, respectively, as a closed and an open
φ 1d C switch. The current source sinks a bias current I through T1,
which behaves like an emitter follower, and the output volt-
age Vout tracks the input Vin. The buffer is assumed to have
+ φ2 φ1 ++ + unity gain. When the clock signal is low, transistor T2 be-
In Buffer Out comes an opened switch and transistor T3 appears as a closed
– φ2 φ1 –– –
one, and the current I flows entirely through transistor T3.
C The value of the voltage VB (voltage at the buffer output) dur-
φ 1d
ing this state is determined by the buffer circuitry and the
value of current I, and is designed to be low enough such that
Figure 12. Fully differential version of the sample-and-hold circuit transistor T1 is turned off. This is the hold state, when Vout is
shown in Fig. 10. preserved on Chold until transistor T1 is reconfigured again as
SAMPLE-AND-HOLD CIRCUITS 657

Vsupply the value of the current source I, since VT is the thermal volt-
age (VT ⫽ kT/q 앒 26 mV at 300 K, where k is Boltzmann’s
constant, q is the electron charge, and T is the absolute tem-
Hold Hold Hold perature). In the ideal case of an ‘‘on’’ switch in series with
Vin track track track
VB the holding capacitor (as in Fig. 6), the relation between the
Buffer
Clock input and the output voltages is
T1
Vout
Vout (s) 1
Clock = (7)
Clock Clock Vin (s) 1 + sCholdRswitch
T3 T2 Chold
From Eqs. (6) and (7) it can be inferred that the equivalent
I value of the ‘‘on’’ switch resistor for the circuit from Fig. 13 is
Vin
1 VT
Rswitch = = (8)
gm,T1 I
Vout

As discussed in the open-loop sample-and-hold circuit subsec-


Figure 13. Sample-and-hold circuit using bipolar transistors as tion, the nonlinearity of this equivalent Rswitch resistor is a ma-
switches. Transistors T2 and T3 act like switches while transistor T1
jor contributor to the degradation in performance of the sam-
and the buffer condition the signal from input to output.
ple-and-hold circuit. Another contributor is the gain error
associated with the equivalent switch (source follower T1 on
an emitter follower. An example for the buffer circuit is Fig. 13) or the input and output buffering operations. Equa-
shown in Fig. 14. In track mode, the gain of the buffer is tions (6) and (8) suggest that in a first-order approximation,
there is no nonlinearity associated with transistor T1 and the
gm,TB RC only dc gain error is generated by GB. However, the current
GB = ≈1 (5)
1 + gm,TB RE source that generates the current I does have finite output
impedance, and this introduces gain and linearity error. For
if RC ⫽ RE and gm,TB Ⰷ 1, where TB, RE, and RC are the transis- example, if RI is defined as the current-source output imped-
tor (gm,TB is its transconductance) and respectively the resis- ance, the dc gain of the circuit in track mode acquires a new
tors from Fig. 14. The latter assumption is justified if the term gm,T1RI /(1 ⫹ gm,T1RI), where gm,T1 is the transconductance
transconductance value is large enough, or equivalently, of transistor T1. Also, the equivalent ‘‘on’’ switch resistance
there is enough bias current flowing through transistor TB. becomes
Unlike the MOS transistor case, the transconductance of a
RI
bipolar device biased in the linear region is not dependent on Rswitch = (9)
the process parameters and is determined solely by the bias 1 + gm,T1RI
current, in a first-order approximation. This is advantageous
since the equivalent Rswitch value in the track mode depends A given linearity requirement will constrain the input signal
on the source-follower transconductance value. The relation range such that there are small enough variations in the bias
between input and output voltages of the sample-and-hold cir- current I due to changes in the input.
cuit from Fig. 13 can be expressed in the s-transform domain There is no buffering at the output shown in Fig. 13, al-
as though the circuit would require it for driving a low imped-
ance. Besides gain error, the output buffering introduces
Vout (s) gm,T1 droop errors when implemented with bipolar transistors. The
= GB (6)
Vin (s) gm,T1 + sChold base current has significantly larger values than the gate cur-
rent associated with MOS-transistor-based buffers. This ef-
where gm,T1 ⫽ I/VT is the transconductance value of transistor fect can be alleviated by using differential topologies in which
T1. As mentioned earlier, this value is dependent solely on the base current produces a shift in the common mode but
leaves the differential voltage across holding capacitors unaf-
fected. Charge injection in bipolar implementations of
Vsupply switches is less destructive than that in the MOS transistor
case. The reason is a smaller dependency between the amount
of charge dumped into the holding capacitor at the transition
RC
moment from track to hold and the input voltage. Differential
Vb topologies also attenuate this effect as in the droop error case.
Vin Ultimately, the hold-mode feed through, from the Vb voltage
(in Fig. 13) to Vout through the base-emitter capacitance of
TB
transistor T1 can seriously affect performance. Ways to cope
with this are reducing the size (area) of transistor T1 and,
RE again, employing differential structures that allow placing
cross-coupled capacitors from the input to the output as de-
scribed in Ref. 8.
Another sample-and-hold circuit based on bipolar transis-
Figure 14. An input buffer for the sample-and-hold circuit in Fig. 13. tors is shown in Fig. 15 (9). Transistors TN1, TN2, and TN3
658 SAMPLE-AND-HOLD CIRCUITS

Vsupply

I1 I2

Clock
TN3
Chold
Vin Vout
Input Output
buffer buffer

Clock Clock
TN1 TN2

TP1 TP2

Rb1 Rb2 Clock

Clock

(a) Basic schematic

Chold Chold
Vin Vout Vin Vout
Input Output Input Output
buffer buffer buffer buffer
Figure 15. Sample-and-hold circuit where the hold-
ing capacitor is connected in series between input and
output; (a) detailed schematic with TN1, TN2, TP1,
TP2 as switches, and input–output buffering; (b) sim- Vr2 Vr1
plified schematic during track mode; (c) simplified
schematic during hold mode. (b) Track (sample) mode, clock low (c) Hold mode, clock high

are n–p–n devices that function as series switches for steer- tor Rb2, which is designed in a similar fashion as Rb1 such that
ing bias currents. Transistors TP1 and TP2 are p–n–p devices the voltage drop on it, IRb2, turns off transistor TP2. The sche-
and work in either linear region or are turned off. For simplic- matic, again, can be simplified as in Fig. 15(c). The input
ity, it is assumed that the input and output buffers have unity buffer provides some current IB, which flows through transis-
gain. When the clock signal is low, transistor TN2 is turned tor TP1 biasing it in the linear region. Vr1 can be also ex-
off, and transistors TN1 and TN3 are turned on. Current I1 pressed as in Eq. (10)
[generated by the current source as shown in Fig. 15(a)] flows
entirely through resistor Rb1, which is designed such that the IB I
Vr1 = Vbe,TP1 + VR = VT ln + B Rb1 (11)
voltage drop on it, IRb1, turns off transistor TP1 (p–n–p type). b1 IS,TP1 βTP1
Also, current I2 [generated by a current source as shown in
Fig. 15(a)] flows through transistor TP2 ( p–n–p type), which
with similar meanings applied to transistor TP1. The output
is biased in the linear region. Removing all transistors that
voltage becomes
are turned off, the schematic can be simplified as in Fig.
15(b). The voltage Vr2 shown on this figure can be expressed
Vout = Vr1 − VC | = Vr1 + Vr2 − Vin |sampled (12)
as hold sampled

I2 I2 It is worth mentioning that the output voltage holds a value


Vr2 = Vbe,TP2 + VR = VT ln + R (10)
b2 IS,TP2 βTP2 b2 of the input sampled when the clock goes high, and it is reset
to Vr2 when the clock goes back to the low state. This puts an
where Vbe,TP2 is the base-emitter voltage of transistor TP2, VT additional bandwidth requirement on the output buffer,
is the thermal voltage, IS,TP2 is a constant current related to which needs to settle more than the full range of the input
transistor TP2, and 웁TP2 is the forward gain factor for transis- signal. Also, assuming unity gain buffers, as stated initially,
tor TP2. In other words, voltage Vr2 is independent of the in- the output is a shifted version of the input taken with oppo-
put signal and is equal to the sum of the base-emitter voltage site sign. To achieve a perfect copy of the sampled input, a
of transistor TP2 and the voltage drop across resistor Rb2. The differential topology should be employed (to eliminate the
voltage on the capacitor is VChold ⫽ Vin ⫺ Vr2, which is basically shift) and either the input or output buffer should have a neg-
a shifted version of the input. The output voltage Vout is reset ative gain. Since there is no true track mode at the output,
to Vr2 during this track or sample phase. When the clock sig- one could consider the voltage on the capacitor as a function
nal goes high transistors TN1 and TN3 turn off and TN2 of the input when clock is low, and derive the equivalent ‘‘on’’
turns on. Current I1 is steered to flow entirely through resis- switch resistance. However, this requires taking into account
SAMPLE-AND-HOLD CIRCUITS 659

the design of the buffers and the parasitic effects of bipolar Vsupply
devices, which becomes rather involved.
The most common high-speed sample-and-hold circuits em-
ploy semiconductor diode bridges. The switching times for I3 I2 D5
semiconductor diodes are inversely proportional to the cutoff
frequency characteristic to a given bipolar or bipolar CMOS A
D1 D2
process. Also the ‘‘on’’ resistance for these devices is very low
compared to MOS-based switches. For a given current and Vin
Input Output
reasonable sizes, the transconductance of bipolar transistors Vout
buffer buffer
is significantly higher than that for their MOS counterparts.
D4 D3
Since semiconductor diodes can be considered as bipolar de- D6
B
vices with the base shorted to the collector, their ‘‘on’’ resis-
tance is Rswitch ⫽ 1/gm ⫽ VT /I, where VT is the thermal voltage
Chold
and I is the bias current flowing through the diode. The small Clock Clock
‘‘on’’ resistance and short switching times make the diode- T2 T1
bridge-based sample-and-hold circuits attractive for very-
high-speed applications. Figure 16 presents such a basic sam- Clock
I1 = I2 + I3
ple-and-hold circuit without input and output buffering. The
switch is implemented using a diode bridge and two switched
current sources. When the clock is low, the switched current Clock
sources do not generate any current and present a very high
impedance at their output such that the diodes turn off. This Figure 17. Diode-bridge-based sample-and-hold circuit with buff-
is the hold mode, when in the absence of parasitic effects such ering and clamping to the output voltage.
as diode leakage, feedthrough due to diode junction capaci-
tance, or droop due to the output buffer, the output voltage
preserves the sampled input voltage. When the clock goes parasitic effects mentioned earlier impact the performance of
high, current I starts flowing through the diodes and the out- the sample-and-hold circuit from Fig. 16 by introducing non-
put voltage is following the input after a short settling period. linearity and gain error. Fortunately, there are circuit tech-
Since the resistance through the diode is fairly low, the series niques that alleviate these effects and one of them is pre-
terminal contact resistance rb of the diode becomes significant sented later. The voltage at nodes A and B during the track
and should be taken into account. The equivalent ‘‘on’’ switch mode is input dependent. However, during hold mode these
resistance can be expressed as voltages change to some value independent of the input, and
   this change couples to the output node through the diode D2
1 1 1 1 and D3 junction capacitance, creating nonlinearity. This ef-
rb1 + + rb2 + rb4 + + rb3 +
gm1 gm2 gm4 gm3 fect can be virtually eliminated during the hold mode by
Rswitch =
1 1 1 1 clamping nodes A and B to a value dependent on the input
rb1 + + rb2 + + rb4 + + rb3 +
gm1 gm2 gm4 gm3 sample. This creates a constant voltage change at these nodes
1 VT from track to hold, and consequently, a constant perturbation
= rb + = rb + (13) of the held voltage, which results in offset but no nonlinearity.
gm I
Figure 17 presents a circuit that performs the described
clamping operation and includes input and output buffers
if rb1 ⫽ rb2 ⫽ rb3 ⫽ rb4 ⫽ rb and gm1 ⫽ gm2 ⫽ gm3 ⫽ gm4 ⫽ gm,
that are assumed to have unity gain. When the clock signal
which is equivalent to state that there are no mismatches
is high, transistor T2 is turned off and current I2 flows through
among diodes D1–D4. Mismatches among diodes as well as
the diode bridge, generating a low-resistance path between
the input and the holding capacitor Chold. This is the track
mode when the voltage on Chold and the output voltage are
Vsupply
following the input voltage. When the clock goes low, during
Clock the hold mode, transistor T1 turns off and transistor T2 turns
I
on, bypassing the diode bridge. Current I3 is necessary to
A clamp the voltage at node B to Vin兩sampled ⫹ Von,D6. Meanwhile,
D1 D2 Hold Hold Hold node A is clamped to Vin兩sampled ⫺ Von,D5. So the voltage at nodes
track track track
Vin A and B changes from track to hold by ⫺Von,D1 ⫺ Von/D5 and
Vout Clock Von,D3 ⫹ Von,D6, respectively. These Von voltages are dependent
on the diode sizes and bias current [Von ⫽ VT ln(Ib /IS)] so the
D4 D3 coupling from nodes A and B to the voltage on Chold through
B Chold the junction capacitance of diodes D2 and D3 is signal inde-
Clock Vin pendent. Also, during the track mode diodes D5 and D6 are
I
turned off since the output voltage follows the input and the
voltages at node A and B are Vin ⫹ Von,D1 and Vin ⫺ Von,D4, re-
Vout spectively. It is important to note that the range of the input
signal is limited to keep the diodes and current sources in
Figure 16. Basic sample-and-hold circuit using a diode bridge. their desired regions of operation.
660 SAMPLE-AND-HOLD CIRCUITS

There are various architectures (10–12) based on Fig. 17 φ2


that deal with alleviating undesired effects including those
mentioned earlier as well as input and output buffer linearity φ1
and speed. Ultimately, the trade-offs made to improve the
φ 1d C1
performance of the sample-and-hold circuit need to be tailored –
according to the overall application requirements. Bipolar- Vin OA Vout
transistor- or diode-based switches appear as a viable option; +
however, there are a few key issues that can tip the balance
between a MOS and a bipolar implementation (2). The equiv-
Figure 19. A sample-and-hold circuit based on switched-capacitor
alent ‘‘on’’ resistance is more linear and potentially smaller in techniques and using a single capacitor both for sampling and in feed-
the bipolar case. Also, bipolar transistors require a smaller back around the operational amplifier.
clock voltage swing to be controlled as switches, which results
in a more accurate sampling instant. On the negative side,
A similar circuit that avoids the extra clock phase is shown
they limit significantly the input range and generally create
in Fig. 19. The input is sampled onto C1 relative to the virtual
an offset (eventually due to mismatches) between the input
ground of the operational amplifier on ␾1, and then is placed
and output voltages.
in feedback around the amplifier during ␾2, yielding Vout ⫽
Vin. Thus, C1 serves as both sampling and feedback capacitor.
Closed-Loop Sample-and-Hold Circuits An advantage of this technique is that the dc offset and low-
frequency noise of the amplifier (such as 1/f noise) is removed
Several of the problems that plague open-loop sample-and-
by this sampling scheme. The disadvantage is that the opera-
hold circuits can be avoided through the use of closed-loop
tional amplifier is required to sink the input current needed
negative feedback in the design. Some of the circuits included
to charge C1 initially, potentially increasing the operational
here still perform an open-loop sampling operation, but they
amplifier power dissipation, but it still does not offer any in-
utilize negative feedback in the buffer stage that follows. One
put buffering to the driving source.
of the simplest configurations that is often used in switched-
Another approach to a switched-capacitor sample-and-hold
capacitor circuitry is the reset integrator, shown in Fig. 18
circuit is shown in Fig. 20 in fully differential form and con-
(13). During ␾1, the input is sampled onto C1 and the
sists of a simple first-order all-pass filter, with a z-domain
switched-capacitor integrator is reset to zero by discharging transfer function given by
CF. On ␾2, the charge on C1 is integrated onto CF, yielding
Vout ⫽ ⫺(C1 /CF)Vin. Note that, as discussed in the subsection Vout z−1C1 /C2
concerning open-loop topologies, the switches at the virtual = (14)
Vin 1 − z−1 (1 − C1 /C2 )
ground side of the sampling capacitor open slightly before
those on the opposite side. The use of the switched-capacitor which, when C3 ⫽ C2, reduces to Vout /Vin ⫽ z⫺1C1 /C2. Therefore,
integrator also avoids problems associated with the parasitic the input can be simply sampled and scaled by C1 /C2, or a
capacitance at the right-hand side of the sampling capacitor single-pole discrete-time filter can also be included if so de-
to ground, since this node always returns to the same virtual sired. This circuit can easily be utilized with conventional
ground potential during both sample and hold modes. Clearly, switched-capacitor design and has even been successfully
the design of an operational amplifier with sufficient gain and used for subsampling a 910 MHz input at a 78 ⫻ 106 samples/
bandwidth is critical to the circuit’s performance. Droop dur- s rate (14).
ing the hold mode is typically low in this design and is signal
independent, caused by the leakage current through reverse- C3
biased source- or drain-to-bulk diodes associated with the φ2 φ 1d
turned-off switches at the operational amplifier input. A dis-
advantage of this approach is that the output is not valid un- φ1 φ 2d
til near the end of ␾2, so an extra clock phase is often needed
after ␾2 (shown by ␾3 in Fig. 18) for the circuitry that follows C2
this stage to utilize the output. C1
φ 1d φ2

+
φ 2d φ1 –+ +
φ1 VIn OA VOut
+– –
φ 2d φ1
CF – C2
φ1
φ 1d φ 1d φ2
C1
C1 φ2
φ 1d φ2 –
φ 2d C3
Vin OA Vout φ2 φ 1d
φ 2d φ1 + φ3
φ1 φ 2d

Figure 18. A reset integrator sample-and-hold circuit, which uses


open-loop sampling and an operational amplifier in closed-loop feed- Figure 20. A first-order all-pass switched-capacitor filter used as a
back as an integrator. sample-and-hold circuit.
SAMPLE-AND-HOLD CIRCUITS 661

The sample-and-hold circuit shown in Fig. 20 differs from R1 R2


those shown in Figs. 18 and 19 in that the circuit’s output is
not reset during a clock phase. The output transitions from
C2
its previous value to its new value during ␾2 and then re-
C1 φ1
mains constant on ␾1, thus giving circuitry that follows longer
time to process the signal. Another switched-capacitor sam- +
ple-and-hold circuit with this same property is shown in fully –+ +
differential form in Fig. 21 and utilizes both positive and neg- VIn φ2 OA VOut
ative feedback during ␾2. On ␾1, the input is sampled onto C1
+– –
C3, and the present output is sampled onto C1. At ␾2, the C3 – C2
capacitors are switched in parallel with the C2 feedback ca- φ1
pacitors, and the C1 capacitors are switched in positive feed-
back around the amplifier. Using C1 ⫽ C3 results in Vout /Vin ⫽ R1 R2
z⫺1, again without any reset phase necessary (15,16).
While the architectures discussed above all require at least
two or three clock phases to function properly, in high-speed Figure 22. A sample-and-hold circuit design using closed-loop feed-
back during both sampling and hold modes and needing only a single
applications it is desirable to use as few clocks as possible,
clock phase (␾2 is not necessary).
preferably just one. Figure 22 shows such a circuit that has
achieved 150 ⫻ 106 samples/s operation in a 0.7 애m bipolar
CMOS process (17). While ␾1 is high, the circuit operates as
tors. This is only a concern if the operational amplifier’s out-
a continuous-time amplifier with transfer given by
put resistance is too low, but the effect can still be reduced by
Vout R 1 + jωR1C1 adding an extra switch to short out the differential input volt-
=− 2 (15) age during the hold mode, as shown by the dashed line in Fig.
Vin R1 1 + jωR2C2
22. While this makes a two-phase clocking scheme necessary,
it may be required depending on the operational amplifier de-
which reduces to Vout /Vin ⫽ ⫺R2 /R1 when R1C1 ⫽ R2C2. When
sign and the hold-mode feed-through requirements.
␾1 falls, the input voltage is sampled and buffered to the out-
A similar design that also provides input buffering is
put. As in the switched-capacitor designs, the sampling
shown in Fig. 23 and replaces the resistors in the previous
switch operates at the operational amplifier virtual ground
design with a differential input transconductance amplifier
voltage at all times and thus (to first order) does not introduce
with gain G (18,19). During acquisition mode, the system has
signal-dependent charge injection at sampling. A danger with
a transfer function of
this design is that the input may still feed through to the
output even during hold mode via the capacitors and resis- Vout 1
= (16)
Vin 1 + jωC/G

φ1
C3
φ 1d that results in Vout 앒 Vin for frequencies well below 웆0 ⫽
G/C. The sampling switch isolates the integrator from the
+ transconductance amplifier during the hold mode, so the inte-
φ2 φ 2d VIn
C1 grator holds its state until the switch is turned on again. As
in the circuit of Fig. 22, the sampling switch always operates
at an approximately constant virtual ground voltage (assum-
C2
φ2 ing the operational amplifier has sufficient gain and band-
width for the application), so its charge injection and clock
φ1 feed-through will be signal independent to first order. The
closed-loop negative feedback reduces system nonlinearity
–+ + caused by that of the open-loop transconductance amplifier
OA VOut and operational amplifier. An extra switch at the transcon-
+– –
C
φ2 2
C
φ1
+ I0 φ1
C1
G –
Vin –
OA Vout
φ2 +
φ2 φ 2d
C3 VIn

φ1 φ 1d Figure 23. A closed-loop sample-and-hold circuit with both input
and output buffering, using a differential input transconductance am-
Figure 21. Another switched-capacitor circuit used as a sample-and- plifier and a switched-capacitor integrator. The switch shown with
hold circuit, now using both positive and negative feedback around the dashed line is recommended to prevent the transconductance am-
the operational amplifier. plifier output from saturating while ␾1 is off.
662 SAMPLE-AND-HOLD CIRCUITS

ductance amplifier output to ground is also recommended, in Vsupply


order to prevent that node from saturating high or low while
the sample-and-hold circuit is in hold mode. Without this φ1 φ2 Sample Sample Sample
switch, the transconductance amplifier may experience a I
longer recovery time when the circuit returns to acquisition Iin Iout
φ1
mode, thus lowering the circuit’s maximum sampling rate.
SW1 SW3
In general, sample-and-hold circuit designs that utilize
closed-loop feedback during sampling, such as that shown in φ1
SW2
φ2
Fig. 23, are not widely used. Input buffering can be achieved
at very high linearity albeit moderate speed using a high-gain M1
operational amplifier in unity-gain configuration, and open-
Chold
loop sampling at the input of a switched-capacitor integrator
can achieve linearity in excess of 100 dB when designed care- Iin
fully. The use of an operational amplifier in closed-loop feed-
back in an integrator configuration is very common, with a
variety of switching configurations possible, and is widely Iout
used to also provide output buffering. Closed-loop designs like
that shown in Fig. 22 are often used not for the increased
Figure 24. Current-mode sample-and-hold circuit (current copier).
linearity of a feedback configuration but instead for the need
Capacitor Chold is charged during ␾1 and holds its charge during ␾2
of a phase with only a single clock, an advantage in high- generating the output current Iout through transistor M1.
speed design. Interestingly, a closed loop sample-and-hold to-
pology as described in Ref. 20 can be even used to perform a
single-ended to differential voltage conversion.
the voltage on the holding capacitor Chold is

Current-Mode Sample-and-Hold Circuits 


2(I + Iin )
Current-mode sample-and-hold circuits provide an alterna- VC = VGS,M1 = VT + (17)
hold µnCoxW/L
tive to voltage-mode implementations described so far. Con-
versions between current and voltage usually influence the
where VTh and W/L are the threshold voltage and the size
performance of the overall circuit, and selecting between the
ratio of transistor M1, respectively, 애n is the electrical mobil-
two types of sampling provides an additional degree of free-
ity corresponding to n-channel devices, and Cox is the oxide
dom during design. Currents could be stored using inductors
capacitance. When ␾1 goes low, the input current value at
similar to voltages being stored on capacitors. However, mo-
that instant is sampled as a voltage on Chold, according to Eq.
nolithic implementation of inductors is not practical in today’s
(17). When ␾2 goes high, switches SW1 and SW2 are opened
technologies and even discrete components do not offer a via-
and switch SW3 is closed. This is the hold phase, when the
ble solution due to their nonzero series resistance, which
output current can be expressed as
leads to loss. Also, switching inductors without generating
significant transients appears as extremely challenging.
Iout = 12 µnCox (VGS,M1 − VT )2 − I = Iin |sampled (18)
The easiest and most common method for storing currents
is to convert the current to a voltage or charge and store that
on a holding capacitor. During the hold mode, the current can since VGS,M1 ⫽ VChold as it was stored when ␾1 went low. It can
be restored through an inverse voltage-to-current conversion. be seen that the output current is a perfect copy of the sam-
Since the same transconductance element can be used for the pled input current in the absence of nonidealities. However,
current-to-voltage and the voltage-to-current conversions, the charge injection from switches and finite output impedance of
overall performance is not affected in a first-order approxima- transistor M1 can seriously affect the performance. Also, it is
tion. This subsection will discuss some basic topologies for important that ␾1 and ␾2 do not overlap since the sample
current-mode sample-and-hold circuits as well as their non- stored on Chold is corrupted if switches SW2 and SW3 are
idealities. closed at the same time. Figure 25 shows a simple circuit that
Most current-mode circuits including sample-and-hold cir- generates a two-phase nonoverlapping clock. The nonoverlap
cuits are implemented using MOS technology. Very small duration is given approximately by three logic gate delays.
gate currents (compared to significant base current in the bi-
polar case) combined with the existence of gate capacitance
that could be used as a holding capacitor, easy switch, and φ2
logic function implementation are a few reasons behind this
Clock Clock
selection. For monolithic implementations, MOS technology is
also less expensive. Figure 24 presents a basic current-mode
sample-and-hold circuit called the alternatively current
copier. Two phases of a clock control the circuit functionality. φ1
When ␾1 is high, switches SW1 and SW2 are closed and the
input current Iin flows into transistor M1 together with the φ2
bias current I. During this period switch SW3 is opened and φ1
there is no current generated at the output Iout ⫽ 0. Since
transistor M1 is configured as a MOS diode during this phase, Figure 25. Circuit for generating nonoverlapping phases of a clock.
SAMPLE-AND-HOLD CIRCUITS 663

There are circuit techniques and topology variations that Sample Sample Sample
can be employed to attenuate the effect of nonidealities or to Vsupply
improve the speed. When the switch SW1 in Fig. 24 is turned φ1
φ 11
off, a part of the charge stored in its channel is dumped into
the holding capacitor. This charge is signal dependent and Cp
Vref
results in nonlinearity at the output. One method to alleviate M2 φ 11
this effect was described in the subsection concerning open- SW5
φ 12 SW4
loop sample-and-hold circuits and relies on dummy-switch
cancellation (21). If the clock edges are steep enough and φ1 φ2 φ 12
switches are implemented using MOS transistors, half of the
charge from switch SW1 appears on Chold when this switch is Iin Iout
turned off. Consequently, a dummy switch with half the SW1 SW3 φ2
width of switch SW1 can be turned on at the same time, φ1
which would attract the parasitic charge from Chold generated SW2
by switch SW1. Figure 26 shows the dummy-switch cancella- M1
tion technique. The size ratio of transistor Mdummy is half that Chold Iin
of M1 since the parasitic channel charge of a MOS device is
proportional to this ratio, as described in Eq. (4). Also,
switches SW1 and SW3 were replaced with NMOS transistors Iout
M3 and M4 such that for the shown level of clock phases ␾1
and ␾2 they preserve the switch functionality from Fig. 24.
For low and medium resolution (less than 8 bits) the dummy- Figure 27. Two-step charge-injection error cancellation using multi-
switch cancellation provides a reliable solution and allows ple clock phases.
fast operation due to the small number of components, hence
parasitics, involved. However, mismatches between the
dummy and main switches, nonideal clock edges, and ulti- cancellation process. When ␾11 is high, ␾1 is also high and the
mately process-dependent channel charge present a serious circuit behaves similarly to that in Fig. 24. Also, during this
challenge for higher-resolution designs. Employing differen- phase Vref is connected to the gate of the PMOS transistor M2,
tial topologies can alleviate the effect of the mismatches. Also, which acts as a current source with the value Iref . When ␾11
since the current error due to charge injection is inversely goes low, the voltage on the holding capacitor Chold corre-
proportional to the holding capacitor, making Chold larger im- sponds to a current of Iref ⫹ Iin ⫹ Ierr through transistor M1,
proves accuracy at the expense of speed. This could be circum- where Ierr is due to the charge injection from SW2. Ierr exhibits
vented using Miller-type closed-loop structures that increase a strong input signal dependency and if left uncanceled it
the effective capacitance value with a negligible penalty in would introduce nonlinearity in the output current. Phase
speed performance (2). ␾12 goes high immediately following ␾11. During this, transis-
Another way of reducing the effect of signal dependent par- tor M2 becomes diode connected and generates a current of
asitic charge injection is to perform a multiple-step cancella- Iref ⫹ Ierr. The corresponding voltage is stored on capacitor Cp
tion. Figure 27 shows a two-step cancellation technique that (see Fig. 27). When ␾12 goes low, ␾1 also goes low and transis-
uses multiple phases of a clock and was first described in Ref. tors M1 and M2 remain with their gates connected only to
22. Phase ␾1 is split into ␾11 and ␾12, which contribute to the Chold and Cp, respectively. This is the hold phase: ␾2 is high
and the output current is generated as a difference between
the current through M1 and the current through M2. The cur-
Vsupply rent through M2 is Iref ⫹ Ierr ⫹ Ioff , where Ioff is due to the
φ1 φ2 charge injection on Cp from switch SW4 being turned off and
Sample Sample Sample the end of ␾12. Since the current through transistor M1 re-
I mained as Iref ⫹ Iin ⫹ Ierr, the output current during the hold
Iin Iout phase becomes Iin ⫹ Ioff . The channel charge from switch SW4,
φ1
M3 M4 which produces Ioff , contains very little input signal depen-
φ1 dency because the voltage across switch SW4 during ␾12 is
M2
φ2 almost constant with respect to the input signal. This is valid
φ2 Mdummy only if the frequency of the clock that generates ␾1, ␾11, ␾12,
and ␾2 is low enough compared to the signal bandwidth and
M1 the input current Iin varies very little during ␾12. As a result
the output current is an accurate copy of the input plus an
Chold Iin
offset component.
A major performance degradation in current-mode sample-
and-hold circuits is due to the finite input resistance of MOS
Iout
transistors. This introduces nonlinearity since the current
stored and generated by a MOS device acquires a component
Figure 26. Charge-injection error cancellation using a dummy that is a function of the drain-to-source voltage. This voltage
switch. The circuit is similar to the one described in Fig. 24, except is more often signal dependent unless some precautions are
switches were replaced by transistors M2, M3, M4, and a dummy employed. Cascode structures and differential topologies can
(Mdummy) was added. be used to reduce this nonideality. Also, Ref. 23 shows the
664 SAMPLE-AND-HOLD CIRCUITS

φ 1, φ 2 φ 2, φ 3 able during ␾2 and the input is sampled at the end of ␾1. Any
φ1
error in the current copier can be mapped as E(z) and added
φ5
into the signal path as shown in Fig. 28. The transfer func-
Iin Iout φ2 tions from the input Iin(z) and E(z) to the output Iout(z) can be
Current
+
+ copier expressed as

φ4 Iout (z) Iout (z)
H(z) = = z−1 , H = = 1 − z−1 (19)
Iin (z) E(z)
φ4
It can be seen that the input transfer function H(z) is just a
φ5 delay as in the case of any sample-and-hold circuit. On the
other side, the error transfer function H⑀ has a zero at dc
(a) (when z ⫽ 1) similar to the noise-shaping performed by a
first-order ⌬–⌺ data converter. As a result, H⑀ is a high-pass
characteristic and the amount of error at the output is propor-
Intregrator Current E(z)
copier
tional to the ratio of the input frequency f input to the frequency
+ of the clock f clock. The errors associated with the integrator are
Iin(z) Iout(z)
z–1/2 also attenuated by the aforementioned oversampling ratio
+ –1 z–1/2 +
+

1–z + (OSR ⫽ f clock /2f input) as discussed in Ref. 24. By increasing the
number of integrators and feedback loops, the analogy to ⌬–⌺
modulation can be continued and the accuracy of the output
(b) current increases accordingly. The speed can be also improved
using parallel architectures based on the same circuit from
Fig. 28(a) clocked on alternate phases.
Vsupply Vsupply Vsupply Figure 28(c) shows a MOS transistor implementation of
the diagram from Fig. 28(a). The difference between the input
φ1 φ2 φ3 φ5
I I I current Iin and the feedback current Ifeed is applied to the inte-
Iin Iout grator during ␾1. The functionality of the current-mode inte-
grator can be easily explained assuming a constant input cur-
Ifeed rent Ict. Assuming that initially the same current I/2 flows
φ1 φ2
through both transistors M1 and M2, when ␾1 goes high tran-
φ4
M1 M2 M3 M4 sistor M1 will conduct I/2 ⫹ Ict. When ␾1 goes low and ␾2 goes
high transistor M2 will conduct I/2 ⫺ Ict. When ␾1 goes high
C1 C2 C3
again, M1 will conduct I/2 ⫹ 2Ict and correspondingly when
␾2 goes high transistor M2 will conduct I/2 ⫺ 2Ict. Clearly, the
left-hand branch containing transistor M1 will conduct more
and more current, while the right-hand branch including
(c)
transistor M2 will conduct less and less. Transistor M3 mir-
Figure 28. Current-mode sample-and-hold circuit using negative rors out the current resulting from the integration process.
feedback and oversampling. (a) Conceptual diagram; (b) z-domain Then, transistor M4 is used to create a current copier as in
block diagram; (c) transistor level implementation. Fig. 24, which generates alternatively the output and the
feedback currents.
Another circuit that uses feedback to provide an accurate
usage of negative feedback to increase the output resistance sample of the input current is shown in Fig. 29 (25). This is
of a MOS device. similar to Fig. 23 except that instead of a voltage at the input
Negative feedback and oversampling of the input signal of the transconductance, a current at its output is sampled.
can be used to reduce the effect of all types of errors described
so far and implement a highly accurate current-mode sample-
and-hold circuit at the expense of more hardware. Figure
28(a) presents a conceptual diagram for such a circuit (24). Chold
The difference between the input and output currents is fed
+
into a current-mode integrator clocked by ␾1 and ␾2. Its out- gm1 –
Clock
put is copied to the overall circuit output if ␾5 is high or fed – T3 SW1 OA + Iout
back to create the aforementioned difference during ␾4. + gm2
Clearly, the output is an accurate copy of the sampled input Iin – Iin
since the integrator and the closed loop would force their dif-
ference to zero. Before describing the functionality in more
detail, it is useful to observe the circuit from a z-domain per- Iout
spective as in Fig. 28(b). The integrator and the current copier
are assumed to be ideal and their z-domain transfer functions Figure 29. Closed-loop current-mode sample-and-hold circuit. This
are z⫺1/2 /(1 ⫺ z⫺1) and z⫺1/2, respectively. The term z⫺1/2 in the circuit resembles the one in Fig. 23, except that a current is stored
integrator transfer function signifies that its output is avail- as opposed to a voltage.
SAMPLE-AND-HOLD CIRCUITS 665

When the clock is high the input current is stored as a voltage common in bipolar designs. Current-based designs, as dis-
on Chold. Since the negative input to the operational amplifier cussed earlier, are attractive for applications involving cur-
OA is preserved as a virtual ground, the feedback loop con- rent-output sensors and current-mode signal processing, and
taining gm1, switch SW1, and the operational amplifier OA have given rise to several innovative design concepts. Higher-
forces the voltage on the holding capacitor to VChold ⫽ Iin /gm1 speed and finer-line integrated-circuit processes will continue
where gm1 is the transconductance value. During the hold pe- to push existing design techniques to higher and higher per-
riod when the clock signal is low, the output is maintained at formance levels, and innovative conceptual and architectural
breakthroughs will provide an extra boost to exceed the per-
gm2
Iout = I | (20) formance barriers that rise as time goes on.
gm1 in sampled

It is worth mentioning that gm2 and gm1 are physically differ- BIBLIOGRAPHY
ent elements and their mismatches as well as nonlinearity
impact the performance of this sample-and-hold circuit. Also, 1. W. Kester and J. Bryant, Sample and Hold Circuits in W. Kester
the speed of the circuit depends largely on the operational (ed.), Analog Devices Linear Design Seminar, Norwood, MA: Ana-
amplifier settling time. log Devices, 1995, pp. 8.44–8.67.
Real-life implementations of the current-mode sample-and- 2. B. Razavi, Principles of Data Conversion System Design, Piscata-
hold circuits described so far include usually more elaborate way, NJ: IEEE Press, 1995.
structures that are targeted at cancelling parasitic effects. 3. A. Sanchez, Understanding sample-hold modules, in Analog De-
Some of them employ differential topologies or improvements vices Analog Dialogue, Norwood, MA: Analog Devices, 1971, pp.
51–54.
related to the finite output impedance of MOS transistors.
Other structures employ more sophisticated clocking schemes 4. P. E. Allen and D. R. Holberg, CMOS Analog Circuit Design, Or-
lando, FL: Saunders HBJ, 1987.
or feedback to cancel charge-injection errors. Furthermore,
closed-loop architectures must deal with stability issues that 5. T. L. Brooks et al., A 16b ⌺⌬ pipeline ADC with 2.5 MHz output
data-rate, ISSCC Dig. Tech. Papers, San Francisco, 1997, pp.
come into play as a trade-off regarding the accuracy (in the
208–209.
case of discrete-time feedback as in Fig. 28) or the speed (for
6. G. Wegmann, E. A. Vittoz, and F. Rahali, Charge injection in
the continuous-time feedback as in Fig. 29) of the circuit. Al-
analog MOS switches, IEEE J. Solid-State Circuits, SC-22 (6):
though there are advantages in using current-mode sample-
1091–1097, 1987.
and-hold circuits particularly regarding operating speed,
7. T. V. Burmas et al., A second-order double-sampled delta-sigma
their widespread usage was hampered by the need of voltage-
modulator using additive-error switching, IEEE J. Solid-State
to-current and current-to-voltage conversions as well as im- Circuits, 31 (3): 284–293, 1996.
plementation difficulties when higher accuracy is required.
8. P. Vorenkamp and J. P. M. Verdaasdonk, Fully bipolar, 120-
Msample/s 10-b track-and-hold circuit, IEEE J . Solid-State Cir-
CONCLUSIONS cuits, 27 (7): 988–992, 1992.
9. B. Razavi, Design of a 100-MHz 10-mW 3-V sample-and-hold am-
Sample-and-hold circuits are widely used in a broad variety plifier in digital bipolar technology, IEEE J. Solid-State Circuits,
of circuits and systems, from sensor-conditioning applications 30 (7): 724–730, 1995.
to communications designs to data-conversion systems. In 10. B. Razavi, A 200-MHz 15-mW BiCMOS sample-and-hold ampli-
many cases the whole system design starts with specifying fier with 3 V supply, IEEE J. Solid-State Circuits, 30 (12): 1326–
the sample-and-hold operation, and its implementation can 1332, 1995.
even dictate a specific overall architecture. While the concept 11. M. H. Wakyama et al., A 1.2-애m BiCMOS sample-and-hold cir-
of a sample-and-hold circuit seems quite simple in its ideal- cuit with a constant-impedance, slew-enhanced sampling gate,
IEEE J. Solid-State Circuits, 27 (12): 1697–1708, 1992.
ized form, the previous sections have shown how complex and
detailed the designs and requirements can become. The vari- 12. T. Wakimoto and Y. Akazawa, Circuits to reduce distortion in the
diode-bridge track-and-hold, IEEE J. Solid-State Circuits, 28 (3):
ous performance metrics that have been established for evalu-
384–387, 1993.
ating sample-and-hold operation are useful in setting specifi-
13. P. E. Allen and E. Sanchez-Sinencio, Switched-Capacitor Circuits,
cations for a design to be used in particular applications.
New York: Van Nostrand Reinhold, 1984.
These specifications then allow the designer to survey the
14. D. H. Shen et al., A 900 MHz RF front-end with integrated dis-
many techniques and architectures that exist for sample-and-
crete-time filtering, IEEE J. Solid-State Circuits, 31 (12): 1945–
hold circuits and focus on the one that best meets these re- 1954, 1996.
quirements and is most efficient for implementation. The
15. K. Y. Kim, N. Kusayanagi, and A. A. Abidi, A 10-b, 100MS/s
broad variety of design topologies available is evidence itself CMOS A/D converter, IEEE J. Solid-State Circuits, 32 (3): 302–
of their differing advantages and disadvantages, all of which 311, 1997.
must be considered when determining the suitability of a de- 16. G. Nicollini, P. Confalonieri, and D. Senderowicz, A fully differen-
sign for the given application. tial sample-and-hold circuit for high-speed Applications, IEEE J.
The designs discussed here were chosen as a representa- Solid-State Circuits, 24 (5): 1461–1464, 1989.
tive subset of the many sample-and-hold architectures that 17. L. Schillaci, A. Baschirotto, and R. Castello, A 3-V 5.4-mW
exist, and myriad variations and modifications on these can BiCMOS track & hold circuit with sampling frequency up to 150
be found. The techniques used in the designs depend heavily MHz, IEEE J. Solid-State Circuits, 32 (7): 926–932, 1997.
on the integrated-circuit technology, with series switches and 18. U. Gatti, F. Maloberti, and G. Palmisano, An accurate CMOS
switched-capacitor-based designs most prevalent in MOS sample-and-hold circuit, IEEE J. Solid-State Circuits, 27 (1): 120–
technologies, while current steering and diode bridges are 122, 1992.
666 SATELLITE ANTENNAS

19. K. R. Stafford, A complete monolithic sample/hold amplifier,


IEEE J. Solid-State Circuits, SC-9 (6): 381–387, 1974.
20. W.-C. Song et al., A 10-b 20-Msample/s low-power CMOS ADC,
IEEE J. Solid-State Circuits, 30 (5): 514–521, 1995.
21. T. S. Fiez and D. J. Allstot, Switched-current circuit design is-
sues, IEEE J. Solid-State Circuits, 26 (3): 192–202, 1991.
22. J. B. Hughes and K. W. Moulding, S2I: A switched-current tech-
nique for high performance, Electron. Lett., 29 (16): 1400–1401,
1993.
23. X. Hu and K. W. Martin, A switched-current sample-and-hold cir-
cuit, IEEE J. Solid-State Circuits, 32 (6): 898–904, 1997.
24. I. Mehr and T. L. Sculley, A 16-bit current sample/hold circuit
using a digital CMOS process, Proc. IEEE Int. Symp. Circuits
Syst., 1994, pp. 5.417–5.420.
25. D. H. Robertson, P. Real, and C. Mangelsdorf, A wideband 10-
bit, 20 Msps pipelined ADC using current-mode signals, ISSCC
Dig. Tech. Papers, San Francisco, 1990, pp. 160–161.

IURI MEHR
Analog Devices, Inc.
TERRY SCULLEY
ESS Technology, Inc.
NADI ITANI
Cirrus Logic, Inc.

SAMPLED-DATA CONTROL. See DIGITAL CONTROL.


SAMPLED-DATA SYSTEMS. See SWITCHED CAPACITOR
NETWORKS.
SAMPLING WATTMETERS. See WATTMETERS.
192 SEQUENTIAL CIRCUITS

VDD CK
S
Q
Q D Q
R
CK

(a) (b)

Figure 2. (a) Set-reset latch, (b) half (master) of D latch.

has elementary intelligence. The experience of a sequential


circuit is the processed data of the past inputs. This is the
most fundamental significance of a sequential circuit. A com-
binatorial circuit driven by uniformly delayed input signals is
not a sequential circuit, even if it has memories. Since the
combinatorial circuit is known (1,2), we consider the memo-
ries first. The sequential circuit memories have subtle details
that characterize the circuit. In recent years, most of the logic
circuits have been built as integrated circuits, and for a large-
scale integration, CMOS is the preferred technology. The cir-
cuit examples are mostly CMOS circuits.

MEMORIES

Memory devices used in a sequential logic circuit are of two


different types. One type has positive feedback controlled by
SEQUENTIAL CIRCUITS signals from the outside, and its memory retention time can
be controlled by the control signals or by a clock. The clock is
DEFINITION AND STRUCTURE a systemwide memory control signal by which the processing
proceeds in regular steps, and the memory is able to retain
Logic circuits are classified into combinational and sequential the data for any length of time. This type of memory is called
circuits. The classification has no ambiguity, because there a latch or a flip-flop. The other type of memory is either a
are two qualitatively different digital circuit elements in their cascaded chain of gates or some other continuous physical
idealized model: logic gates, which respond instantaneously to structure that is able to delay the input signal to the output.
the inputs, and memories, which retain the past input data. A The output signal of the delay circuit at time t is the input
combinational circuit is made of logic gates only. A sequential signal at time t ⫺ ␶, where ␶ is the delay time.
circuit is made of combinational circuits and memories, and The memory devices of the first type have popular names.
some inputs to the combinational circuits are driven by the The conventionally used devices are as follows: (1) set-reset
outside signal and the rest by the memory outputs. The com- latch, (2) D latch, (3) T flip-flop, and (4) J-K flip-flop. The T
binational circuits drive the memories and store the logic op- flip-flop changes the state every time the input makes a tran-
eration results in them. The signal paths of a sequential cir- sition. The J-K flip-flop has several combinations of these fea-
cuit make a closed loop, as schematically shown in Fig. 1. As tures. All of the memory devices come in clocked and un-
the digital signals circulate the loops, the processing is car- clocked versions. The D- and the set-reset latches are
ried out in sequence. That is why the circuit is called sequen- preferentially used in integrated circuits, and the other types
tial. Since some of the input signal to the combinational cir- are referred to in McCluskey (3), Nagle (1), and Mano (2). In
cuit comes from the memories that hold the data previously CMOS VLSI circuits, two types of latches—the set-reset latch
processed by the circuit, it is able to produce a logic answer and the D-latch—are most frequently used. Although there
not only from the present input data, but also using the past are several variations of latch circuits, a typical circuit used
input data. If intelligence is defined as the capability of using for ultra-high-speed CMOS circuits is shown in Figs. 2(a) and
experience for present decision making, a sequential circuit 2(b). In Fig. 2(a), if S is HIGH and R is LOW, the set-reset
latch stays in the state it was previously forced into. Subject
to this condition, if S is temporarily pulled down to LOW
while R is kept LOW, the latch is set, or Q output becomes
LOW if it has not been in the set state. Subject to the same
Inputs Outputs
condition, if R is temporarily pulled up to HIGH while S is
Combinational
circuit kept HIGH, the latch is reset, or Q output becomes HIGH. If
Memory S is LOW and R is HIGH simultaneously, the latch’s subse-
quent state is unpredictable. In a VLSI circuit such a conflict
can be prevented by adding an extra logic circuit. J-K flip-
flop, originally intended to avoid the control input conflict, is
Figure 1. Structure of a sequential logic circuit. used infrequently. The circuit of Fig. 2(b) is the half of the D

J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
SEQUENTIAL CIRCUITS 193

latch. In a sequential logic circuit the second stage, in which synchronous sequential circuit by focusing attention on the
the control clock CK and CK are exchanged, is directly cas- function of the clock, and then to discuss the other, asynchro-
caded to it, and the pair is called a master-slave D-latch pair. nous sequential circuits, in regard to their special features.
The circuit of Fig. 2(a) or 2(b) has a strong output driver The memory device used for a conventional synchronous
(shown by the large inverter sign) that drives the output, and sequential circuit is a pair of D latches (Fig. 2) connected in
a weak driver (shown by the small inverter sign) that holds the master-slave configuration. The rectangular box repre-
the input of the strong driver. The set/reset FET of Fig. 2(a) sents the latch. The symbols in the box, D is the input, C is
and the transmission gate of Fig. 2(b) must be able to over- the clock, and Q and Q are the normal and the inverted out-
power the output node held by the small inverter. This type puts [see Fig. 2(b)]. The latch pair replaces the memory block
circuit is faster than the conventional latch circuit using the of Fig. 1. The combinational logic circuit is driven by outputs
tristable inverters. from the slave latches and by external signals. The external
The delay device used instead of the controllable memory signals must be synchronized to the clock, to fit into the ap-
device is generally called a delay line. In spite of the name, propriate timing window. The master latch receives the signal
the traditional LC or the acoustic-delay lines are not used processed by the combinational circuit. In the simplest imple-
anymore. Rather, the natural delays of the cascaded logic mentation, all the master and all the slave latches are driven
gates, especially of simple inverters, are used. In this type of by a clock and its complementary, respectively. When the
delay-memory circuit, certain requirements exist for the cir- master latches are transparent (input open), the slave latches
cuit’s operational integrity. Figure 3, curve A, shows the input are their inputs closed, and vice versa. The clock transition
waveform to a delay line. If the delay line outputs a slowly must be fast to ensure that either the master latch is trans-
rising (or falling) waveform like curve B, the delay line as a parent and the slave latch is closed, or the master latch is
memory device is not acceptable. This is because the delay closed and the slave latch is transparent. This is called an
time of signal B referenced to signal A depends on where the edge-triggered timing scheme.
logic threshold voltage, the boundary between the Boolean At the beginning of a process cycle, the clock transition
HIGH and LOW levels, is set. Depending on the logic gate effects disconnection between the logic circuit and the master
driven by the delay line output, as well as the gate’s operating latches. The source data to the combinational logic circuit are
condition (e.g., the timing of the other input signal), the delay secured first by the positive feedback retention mechanism of
time varies over a wide range. Since the delay line does not the master latches, to the designated Boolean levels. The
have a definite delay time, the circuit’s operational integrity Boolean levels are transferred to the slave latches that are
is compromised. A signal like curve C has a clearly defined transparent and to the combinational circuit; while that is
delay time, and the delay line is acceptable as a memory. To going on, the combinational logic circuit has already started
create a waveform like C, the delay line circuit must have a processing the data. Then the second clock transition effects
crisply switching buffer at least at the output stage, or LC disconnection between the master and the slave latches, and
delay line structure. A passive RC low-pass filter is not ac- the slave latches apply positive feedback to retain the ac-
ceptable, because the output waveform looks like curve B. A quired data. The master latches are now open and are ready
confusing issue in sequential circuit classification is that if a to accept the processed data as they arrive at the master
chain of logic gates makes a closed loop, the loop works as a latch’s input terminals. During the data acquisition process
memory device as well as a combinational logic circuit. It is by the master latch, the latch circuit’s delay exercises intelli-
impossible to separate the loop circuit into combinational and gence to filter out the noise and the spurious pulses. At the
memory parts. From this viewpoint, any logic circuit that has end of the logic operation period set by the clock, the master
a closed loop of gates is a sequential circuit, and this is its latch is disconnected from the combinational logic circuit, and
widest definition based on the circuit structure. The sche- its output is connected to the slave latch. The master latches
matic of Fig. 1 cannot be used to characterize this type of exercise intelligence to decide the Boolean level of the output
circuit directly without further interpretation, which will be of the combinational circuit by eliminating the spurious noise,
provided later. and then by quantizing to generate the Boolean level. The
processed data, or the answer of the cycle’s logic operation, is
now available to the slave latch. The synchronous sequential
CLASSIFICATION OF SEQUENTIAL CIRCUITS
circuit is now ready to start a new clock cycle with new in-
put data.
In a sequential circuit, the combinational logic part has no
Synchronous sequential logic circuits have a number of ad-
feature to distinguish one circuit from the other. To classify a
vantages. Their step-by-step operation timed by the system-
sequential circuit, we observe the structure of the loops. The
wide clock is easy to understand, design, and simulate, since
key features by which to classify sequential circuits are (1) if
the electrical phenomena in different cycles can be studied
a loop is a single loop or a multiple loop, and (2) how the loops
independently. This is perhaps the most important reason for
are controlled. The most convenient means of classification
their preferred use. Asynchronous sequential circuits are dif-
is to single out the most convenient and most widely used
ficult to understand, design, and verify. Some of the problems
of asynchronous sequential circuits, such as the race condi-
tion, do not exist in synchronous circuits, and in a synchro-
Voltage
nous system metastability failure does not occur if simple and
A B C uniform design discipline is followed. Furthermore, the data
Time
processing load can be optimally distributed over the clock
Delay time
cycles to attain the maximum throughput. Based on these ad-
Figure 3. Delay line memory waveform requirement. vantages, synchronous sequential circuits are the predomi-
194 SEQUENTIAL CIRCUITS

nant choice in implementing high-performance systems. The


01/1
clock required to drive the system is simple. This scheme has
11/1
a variation: The combinational logic circuits are inserted be- 00 01
tween the master and the slave latches, as well as between
10/1
the slave and the master latches. This variation has more
flexibility than the simplest scheme and is more tolerant to 11/1 00/0 00/0 10/0 11/0
01/1
the rise/fall time requirement of the clock, but it requires a 00/0
four-phase clock. 10 11
A sequential circuit that does not have the features of the 11/1
previously defined synchronous sequential circuit belongs to 10/1
10/1 01/0
a loosely defined group of asynchronous sequential circuits. 00/0 00/0
Existence of the system-wide clock is crucial, but the choice
of memory is also an issue: Specifically, a circuit using set-
Figure 5. State transition diagram.
reset latches instead of D latches, or a circuit using the delay
element as a memory device belong to asynchronous circuits.
Practically, a large-scale synchronous sequential circuit may
have an asynchronous sequential circuit as part of it, and tion of the circuit. One convenient way to describe the circuit
clear distinction between them is impossible. Asynchronous operation is to use the state transition diagram shown in Fig.
sequential circuits are convenient for implementing simple 5. In this figure the four internal states of the circuit are
function directly interfacing to the human hand. shown within the circles. The arrowed curves connecting the
circles are the state transitions caused by the clock and the
input signals. The indications associated with the curves, **/
REPRESENTATION OF SEQUENTIAL CIRCUIT OPERATION *, show the input signal Boolean levels in the order of (w-x)
in front of the slash, followed by the Boolean level of the out-
Sequential circuits carry out the most complex signal pro- put z.
cessing operations in electronics. It is necessary to have a The state diagram of Fig. 5 is the Mealy-type state dia-
simple and intuitive way to represent their operation in gram (2), by which the input signals and the present internal
graphical or tabular form. The circuit shown in Fig. 4 has two state determine the output signals and the next internal
inputs, one output, and two D latches. The D latches are in state. In the Mealy diagram the clock of the memories affects
the master-slave configuration, or the two cascaded stages of the state transition and the output change, but, in addition,
the simple latch circuit shown in Fig. 2(b) and driven by the an asynchronous input change may affect the output. To
complementary pair of clocks. The two latch outputs (y1, y2) make a completely synchronous representation of the system,
can be any of the four combinations, (0,0) through (1,1). The the input signals must be assumed to have been delivered
input variables x and w, and the two outputs of the latches from the outside memories, which are driven by the same
y1 and y2, determine the present state of the circuit at the clock. There is a second, Moore-type, state diagram, in which
clock phase in which the master and the slave latches are only the present state and the inputs creating a transition to
disconnected. The set of variables x, w, y1, and y2 (not the the next state are shown. The present outputs are determined
complemented form y2) define the total state of the sequen- by combinational circuits from the present internal state. In
tial circuit. the Moore diagram the outputs are synchronized to the mem-
The next states Y1 and Y2, and the output z of the circuit ory clock.
of Fig. 4, are written by Boolean algebra as follows: A second way of describing the circuit operation is to use
the state transition table shown in Table 1. In this table, the
Y1 = y2 + x Y2 = y1 + w z = wy1 + xy2 columns are the four possible combinations of the input sig-
nals w and x, and the rows are the four possible present inter-
The output of latches 1 and 2 determines the present internal nal states of the circuit [as defined before, the vectorical com-
state of the circuit. The Boolean levels are written in a vector bination of the latch outputs (y1, y2)]. The entries of the table
format (y1, y2), as (0,0) or (0,1), to designate the internal state. are the next state of the circuit upon the clock transition fol-
As the HIGH to LOW clock transition occurs, the connection lowed by the output(s). Both the state diagram and the state
to the master latches is closed, and the state of the master table describe the circuit operation independent of the input
latches is transferred to the slave latches to become the next signal sequence, and this compactness is the convenient fea-
state of the circuit. The sequence of transitions caused by the ture of the two representations.
clock transition and by the input signal describes the opera-

Latch 1 Latch 2 Table 1. State Transition Table


y2 Present State Input w and x

x D Q D Q z y1 y2 00 01 11 10
Y1 Y2
C Q C Q 00 11/0 01/1 01/1 11/0
01 11/0 11/0 11/0 11/0
w
11 11/0 11/0 10/1 10/1
y1 CK
10 11/0 01/1 00/1 10/1
* Next state Y1 , Y2 /output
Figure 4. An example of a synchronous sequential circuit.
SEQUENTIAL CIRCUITS 195

The state transition diagram and the state transition table Table 2. State Transition Table
give the peculiarities of the circuits. The circuit has two inter-
nal states, (00) and (01), which are transitory; if the clock * Inputs x1 and x2
edge arrives, the state changes to other state. The states (10) y1 y2 00 01 11 10
and (11) are not transitory; if in the state (10) and if the input 00 01 00 00 01
is (10), the circuit returns to the same state after a clocktick. 01 11 11 11 11
The state (11) has two possible inputs, (01) and (00), that 11 11 11 00 01
bring the circuit back to the same state after a clocktick. If 10 01 00 00 01
* Y1 and Y2
(01) and (00) input alternate and if the circuit is originally in
the internal state (11), the circuit is stuck at state (11) for-
ever. The circuit has no stable state. The circuit has instabil-
ity: If the input is (11), the circuit goes through a sequence analysis step is to separate the logic operation and the delay
(00) 씮 (01) 씮 (11) 씮 (10) and back to (00). The circuit works of the gates. The logic gates in the figure are idealized logic
as a ringoscillator. As observed from this example, the input gates that execute the logic operation instantly. The delay
sequence independent representation provides many insights times of all the gates of the two loops are lumped into the
into sequential circuit operation. fictitious delay elements M1 and M2 shown in the figure,
which work as delay line memories. A digital signal propa-
MODEL OF ASYNCHRONOUS SEQUENTIAL CIRCUITS gates from the right to the left through the fictitious delay
elements, and the input and the output have different node
Definition of asynchronous sequential circuits is not a simple variable names. The outputs of the delay elements have node
matter, because the memory device, a latch, is not an atom- Boolean variables y1 and y2, and inputs Y1 and Y2, respec-
like building block of a logic circuit: Either it is a uniform tively. The input signals x1 and x2 and y1 and y2 define the
delay line, or it consists of atomlike gates, and a memory can total states of the asynchronous sequential circuit.
be built by connecting any number of logic gates in a closed In a synchronous sequential circuit the one clock for all the
loop. Such a generalized data storage device has a built-in memories has the function of pushing the state of the circuit
data processing capability as well, and the inputs to the loop forward. In an asynchronous sequential circuit any input sig-
cannot be named by descriptive names, such as set, reset, and nal may control the state of the memories, which are most
clock. This complication makes design, analysis, and repre- often complex loops of gates. Then for the following analysis,
sentation of the operation of an asynchronous sequential cir- as well as in the real operation of the circuit, only one input
cuit complex and its subclassification arbitrary. A simple variable is allowed to change at a time. When the input vari-
memory device like a set-reset latch is used in asynchronous able changes, the circuit must have arrived at the steady
sequential circuits. Asynchronous sequential circuits that use state already, determined by the previous input signal
unclocked set-reset latches, shown in Fig. 2(a), are often used change. An asynchronous sequential circuit operates subject
to implement a simple state machine that accepts inputs di- to this stronger condition than a synchronous sequential cir-
rectly from a human operator. The debounce circuit, which cuit, and therefore the processing power and the operational
reshapes the pulse from toggling a mechanical switch, is per- flexibility are less than for a synchronous circuit.
haps the most popular use of a set-reset latch. Using the total state (x1, x2, y1, y2), the next state variables
To design a sequential logic circuit that has specified func- Y1 and Y2 are determined by the Boolean logic equations
tionality is the problem of synthesis. Circuit synthesis re-
Y1 = x1 y2 + y1 y2 Y2 = x1 y2 + y1 y2 + x2
quires analysis methods, a few general methods to convert
the functionality specifications to the best form for implemen-
and the state transition table is made, as shown in Table 2.
tation, and a lot of insight and experience in system-level op-
In this example, Y1 is the output.
eration. We discuss the first two, the analysis methods and
The transition table shows various peculiarities of the cir-
design specifications that are common to synchronous and
cuit. If the input signal (x1, x2) is either (0,0) or (0,1) and if
asynchronous circuits, while using an asynchronous circuit as
the initial internal state (y1, y2) is (1,1), the next internal
an example.
state (Y1, Y2) is (1,1), or the internal state never changes. The
The circuit shown in Fig. 6 is an asynchronous sequential
circuit is in a steady state if x1 ⫽ 0. Similarly, if the input
circuit having two loops made by conventional logic gates. The
signal is either (0,1) or (1,1) (or if x2 ⫽ 1) and if the initial
objective of the following analysis methods is to find out the
internal state is (0,0), the state never changes. In the two
peculiarities of operation of the circuit: If the peculiarities are
conditions the circuit is in a steady state that does not change
found, we may say that we understand the circuit. The first
with time. If the input signal is held at (0,0) and if the initial
internal state is (0,0), the next internal state is (0,1), followed
by (1,1), and the circuit arrives at the steady states for x1 ⫽ 0
x1 Y1 and x2 ⫽ 0. If the internal state immediately after the input
y1 signal change is not one of the steady state, the circuit takes
several steps to one of the steady states. This is not the only
M1
y2 mode of operation of the circuit. If the input signal is held at
x2 (1,0) and if the initial internal state is (0,1), the next internal
M2 Y2 state is (1,1), and the next to the next internal state is (0,1),
the same internal state as the initial internal state. The cir-
Figure 6. An example of an asynchronous sequential circuit. cuit oscillates between the two internal states. In this case
196 SEQUENTIAL CIRCUITS

the circuit is equivalent to a three-stage cascaded enabled in- A 씮 B occurs first, the circuit settles at state B; (3) if A 씮 D
verting gate, which works as a ringoscillator. occurs first, the circuit settles at state D. Since the order of
The state transition diagram or state transition table pro- occurrence of the state change is not indicated in the logic
vides many details of asynchronous sequential circuit opera- diagram, the final state cannot be determined from the logic
tion, other than those discussed before. For the purpose of diagram alone. The problems discussed in relation to Figs.
circuit synthesis, it is convenient to represent the internal 7(d) and 7(e) are called the race condition, since the final des-
state not by a preassigned Boolean vector form like (0,0), but tination depends on the order of the state change (5). Figure
by a symbolic character A, B, . . .. Here we use identification 7(d) is called a noncritical race, since the final state does not
like A: (0,0), B: (0,1), C: (1,1), and D: (1,0) in the state transi- depend on the order. Noncritical race is usually harmless for
tion table, as in Fig. 7. This figure is to show various struc- logic circuit operation. Figure 7(e) is called a critical race,
tures of a state transition table, and it is not related to the since the final state is determined by the order. A critical race
last example. Figure 7(a) shows that the next state of B for condition can be avoided if there is a state sequence that
any value of input x is B. This means that state B is a state leads to the correct final state, if the state change sequence
if, once entered, the circuit stays in forever. The state can be occurs in an arbitrary order. In Fig. 7(f), if the state sequence
entered from another state, or it can be initially set. If the occurs simultaneously, the circuit ends up with state C. The
other entries *’s of Fig. 7(a) have no B state, the B state is an critical race condition is avoided by the intermediate state B,
isolated internal state, and if the state transition table has which has the right destination state C. If A 씮 B occurs first,
an isolated internal state, the state diagram is separated to the sequence B 씮 C follows, and the circuit ends up with a
two independent parts. Figure 7(b) shows that as long as x is correct state. If A 씮 D occurs first, however, the circuit ends
1 the next state of B is C, and the next state of C is B. The up with state D, which is not the state the designer intends.
states B and C alternate, and the circuit oscillates. This is an From these observations, the critical race condition can be
unstable circuit that is not practically usable as a logic circuit. avoided in several ways: by providing an intermediate inter-
In Fig. 7(c) the oscillation goes over the entire state as x nal state like B, through which the circuit ends up with the
makes a transition from 0 to 1 and stays at the value: The correct final state, or by assigning proper binary state vectors
state sequence is A, D, B, C, and back to A. to the symbolic internal states A, B, C, and D such that only
The entries of the state transition table can be any of the one latch changes the state upon input transition. A number
four internal states, A, B, C, or D, in any order and in any of possibilities of circuit operation exist, and the state table is
number of duplications, although many of such randomly gen- a simple method by which to analyze complex cases.
erated tables do not carry out useful functions. This great
variability creates many other strange, often practically unde-
sirable behaviors. In Fig. 7(d) we note the internal state A ⫽ OPERATION ON THE SET OF INTERNAL STATES
(0,0), B ⫽ (0,1), C ⫽ (1,1), and D ⫽ (1,0). If the initial state is
A, the two memory outputs that determine the internal state State is the central concept of sequential circuits. Operations
of the circuit both flip if x changes from 0 to 1. If the timing on a set of states, such as simplification, choice of a better set
sequence is included in consideration, the change may take of states for hardware minimization or for high reliability, or
place in three different ways: (1) a simultaneous change, A 씮 assigning binary vectors to a symbolic state, as we saw before
C, (2) A 씮 B 씮 C, and (3) A 씮 D 씮 C. In the state transition are an important issue, both for circuit analysis and synthe-
table of Fig. 7(d), all the three changes end up with the same sis. If a sequential circuit is considered as a black box accessi-
final state C. The circuit executes the logic operation cor- ble only from the input and output terminals, the set of inter-
rectly. If the state transition diagram is as shown in Fig. 7(e), nal states within the black box creating the functionality may
however, the final state depends on the timing sequence: (1) have many alternatives. If a circuit design already exists, the
A simultaneous change, A 씮 C, ends up with state C; (2) if choice of internal states may not be the simplest or most de-

x x x
0 1 0 1 0 1
y1 y2 y1 y2 y1 y2
A * * A * * A * D
B B B B B C B B C
C * * C * B C * A
D * * D * * D * B

(a) (b) (c)

x x x
0 1 0 1 0 1
y1 y2 y1 y2 y1 y2
A A C A * C A * C
B B C B * B B * B
C * C C * C C * C
D * C D * D D * D
Figure 7. State transition diagram and asynchronous se-
quential circuit operation. (d) (e) (f)
SEQUENTIAL CIRCUITS 197

Table 3. Original State Transition Table Table 4. Simplified State Transition Table
Initial State Input x Initial State Input x
* x⫽0 x⫽1 * x⫽0 x⫽1
A F/0 C/0 A A/0 C/0
B D/0 F/1 B D/0 A/1
C F/1 B/1 C A/1 B/1
D E/1 A/0 D A/1 A/0
E E/0 C/0 * Final state/the output
F E/0 C/0
G A/1 B/1
* Final state/the output
Some of them are equivalent if the following situation occurs:
If internal states A and E are to be equivalent, the internal
states E and F must be equivalent for x ⫽ 0. As for x ⫽ 1, the
sirable. The first of such issues is simplification of the circuit
equivalence condition is already satisfied by the same inter-
by reduction of the number of internal states of a sequential
nal state C. The equivalency requirement of internal states A
circuit while maintaining the same input-output functional-
and F (namely, E ⫽ F) is written in the box at column A and
ity. This is the first step to sequential circuit synthesis.
row E, by a sign (E,F). Since internal E and F being equiva-
Suppose that the state transition table, Table 3, is given.
lent implies internal states A and E being equivalent, the ta-
State reduction can be carried out by several methods: (1) by
ble is called an implication table. If the same procedure is
inspection, (2) by partition, and (3) by using an implication
repeated for the other empty boxes, that internal states A and
table (1,2). By inspection, for input x ⫽ 0 and x ⫽ 1, states E
E and internal states C and G are equivalent is implied by E
and F go to the same state, E and C, respectively, and the
and F and A and F being equivalent, respectively. The equiva-
outputs for the two cases are also the same at 0. Then the
lence of states E and F is already established by inspection
two states E and F are equivalent. Further reduction of the
and is indicated by E ⫽ F.
number of states, however, is not obvious by an inspection. As
Since states E and F are equivalent, the completed impli-
for the systematic methods useful in such cases, the partition
cation table shows that states A and E are equivalent, and
method is referred to in the references, and a versatile and
states A and F are also equivalent. Then internal states C
simple implication table method is briefly summarized here.
and G are also equivalent. The original seven states are
To display the equivalent/nonequivalent relationship of a
grouped into equivalent states (A,E,F), B, (C,G), and D. The
pair of internal states, we make a diagram by stacking the
seven-state table is simplified to the four-state table shown in
boxes, as shown in Fig. 8. If two internal states are equiva-
Table 4. Obviously, the circuit having four states is easier to
lent, the sequential circuit must generate the same output(s)
implement than the circuit having seven states, yet the two
for all the combinations of the input variable values. Any pair
implementations are functionally equivalent. Since m memo-
of internal states having at least one different output for a
ries create a maximum of 2m internal states, the number of
combination of inputs are not equivalent. The box at the col-
the memories is reduced from 3 to 2 by the reduction process.
umn index B and the row index D, for instance, contains an
Synthesis of a sequential circuit having the specified func-
indicator ⫻ since the two internal states are not equivalent
tionality begins with conversion of the requirement in the
by this criterion. Most of the boxes get ⫻ marks, and the in-
common language into a state transition table or diagram. In
ternal state pairs are removed from further consideration.
this phase of the work the states carry symbolic names, such
This table is called an implication table because of the inter-
as A, B, C. The state transition table is then examined by the
nal states A through G, there can be internal states that are
procedure described in this section if the equivalent states
equivalent but are named by different symbolic indicators.
can be found, and if the total number of states can be reduced,
to make the circuit simpler. In the next step the binary num-
bers are assigned to each state A, B, C, . . ., such that the
circuit is race free. Then, using the simplified and the state-
B
assigned transition table, the logic function tables for the
combinational logic circuit, which creates the required output
C (z1, z2, . . .), and the next internal states (Y1, Y2, . . .) from
the inputs (x1, x2, . . .) and the present state (y1, y2, . . .) are
D set up. The required combinational circuits are then synthe-
sized using the standard technique (1,2) and they are inte-
grated with the memory circuit. This process completes the
E (E,F)
synthesis procedure.

F (E,F) E=F
A NEW LOOK AT SEQUENTIAL LOGIC CIRCUITS

G (A,F) Synchronous sequential circuits have the merits of easily un-


derstood operation, simple and systematic design procedure,
A B C D E F
efficient use of combinational hardware, ability to carry out
Figure 8. Implication table to find equivalent states. varieties of operation by adding small amounts of logic gates
198 SERVOMECHANISMS

and control signals (as in the processor datapath), and easy A and a LOW to HIGH transition at input B. After the transi-
interfacing to the external circuits that have the same sys- tions are over, the output of the NAND gate is at the same
temwide clock signal. These merits of synchronous sequential HIGH logic level as before. The problem is that the timing
circuits are especially advantageous to the large-scale inte- relation of the wavefront is not always subjected to the con-
grated circuit environment, and they have been the driving trol of the designer. If the LOW to HIGH transition of the B
force of the rapid growth of microprocessor technology. Al- input occurs earlier than the opposite polarity A input transi-
though these advantages will be exploited actively in the fu- tion, both inputs of the NAND gate become temporarily
ture, there are other advantages of synchronous sequential HIGH, and the output of the gate is a temporarily LOW logic
circuits that are clearly visible (e.g., ultra-high-speed elec- level. A downgoing isolated pulse whose width is the time be-
tronics from the electronic circuit theorist’s viewpoint). tween the input B transition to the input A transition is gen-
The basic structure of the synchronous sequential circuit erated. Hazard is an unexpected and unwelcome guest to a
shown in Fig. 1 using the edge-triggered master-slave D-latch circuit designer, and it is significant in state-of-art high-speed
pair allows us, conceptually, to cut the circuit loop open be- CMOS logic circuits. One way to describe a high-frequenty
tween the master and slave latches. Then the slave latch pro- logic circuit operation is as a continuous sequence of genera-
vides the digital information to the combinational circuit, and tion and erasure of hazard pulses. The hazard pulse is usually
the master latch receives the information processed by it. A narrow, and it may or may not be wiped out as the signal
digital signal is an object, very similar to an elementary parti- further propagates the gate chain. Some hazard features sur-
cle in quantum mechanics, and the slave latch-combinational vive and arrive at the destination latch. The latch is the final
logic-master latch combination is equivalent to a measure- measure to screen all the extra features of the step function
ment setup to determine the velocity of the pseudoparticle front, by cleaning up the deformed pulse from the combina-
that carries the digital information. We note here that the tional circuit at the clocktick. This function is an elementary
quantum mechanical nature of the digital circuit originates intelligent function of a digital circuit, and thus the synchro-
from the impossibility of setting a clear threshold voltage that nous logic circuit does have the minimum required and funda-
distinguishes the Boolean HIGH and LOW levels. Since the mentally necessary features of intelligent signal processing.
threshold voltage is uncertain, or hidden from the digital cir- That is why the circuit is so widely used. In an asynchronous
cuit theory, the digital circuit becomes a quantum mechanical sequential circuit, this function is distributed over the circuit,
object, very similar to what has been envisioned by Einstein, and this confuses the issue.
Podorsky, Rosen (4), and Shoji (6).
From this viewpoint a new idea of increasing the speed
BIBLIOGRAPHY
of digital signal processing to the limit emerges. By properly
choosing the circuit between the latches, the quantum me-
1. H. T. Nagle, Jr., B. D. Carroll, and J. D. Irwin, An Introduction to
chanical information-carrying particle can be transferred by Computer Logic, Englewood Cliffs, NJ: Prentice-Hall, 1975.
the equivalent to the quantum mechanical tunnel effect,
2. M. M. Mano, Digital Design, 2nd ed., Englewood Cliffs, NJ: Pren-
which takes, in its purely physical model, a short time. This tice-Hall, 1991.
new viewpoint suggests that the combinational circuit be-
3. E. J. McCluskey, Logic Design Principles, Englewood Cliffs, NJ:
tween the two latches need not be constructed from logic Prentice-Hall, 1986.
gates, but can and should be constructed from varieties of cir-
4. D. Bohm, Quantum Theory, Englewood Cliffs, NJ: Prentice-Hall,
cuits including analog circuits. It is my belief that the advan- 1951.
tage of a synchronous sequential circuit originates from its
5. M. Shoji, Theory of CMOS Digital Circuits and Circuit Failures,
basic structure, the pair of information source and observa- Princeton: Princeton Univ. Press, 1992.
tion setup. The structure, once considered as the basic quan-
6. M. Shoji, Dynamics of Digital Excitation, Norwell, MA: Kluwer,
tum mechanical arrangement, should be able to provide the 1998.
fastest circuit we can build, yet it satisfies the basic require-
ment of digital circuits—the step function signal format— MASAKAZU SHOJI
generated by clocked latches. From this viewpoint a sequen- Bell Laboratories
tial circuit will be a rich source of research in future electronic
circuit theory.
The quantum mechanical nature of a digital signal shows
up most clearly when, against the circuit designer’s intention, SEQUENTIAL CIRCUITS AND FINITE STATE AU-
a narrow isolated pulse is generated, or a simple step function TOMATA, TESTING. See LOGIC TESTING.
waveform is converted into a multiple transition, a wavy step SERVICES, HOME COMPUTING. See HOME COMPUT-
function wavefront during the combinational logic operation. ING SERVICES.
The narrow isolated pulse is called a static hazard, and the
multiple transition step function a dynamic hazard (3). A haz-
ard is an essential mode of operation of a combinational logic
circuit built from gates having nonzero delay, and this is clear
from the following example. Suppose that a synchronous se-
quential circuit includes a NAND gate, whose two inputs A
and B were the HIGH and the LOW logic levels, respectively.
The output is at the default HIGH level. After the slave
clocktick, the step function signal fronts arrive sometime
later at A and B to make a HIGH to LOW transition at input
400 SMOOTHING CIRCUITS

of constancy do not exist, although expensive laboratory


power supplies strive to approximate them.
When any electronic circuit is incorporated into end-use
equipment, the available dc supply has imperfections that can
interfere with the proper operation of the circuit. A realistic
model of a practical dc voltage supply is shown in Fig. 1. It
comprises an ideal dc voltage source Vdc, an alternating cur-
rent (ac) voltage source vr(t) that represents superimposed
ripple, and a generalized series impedance Zs, which repre-
sents the supply’s internal impedance and that of wires, PCB
traces, and connections. In the ideal case, vr(t) and Zs are both
zero, but they take on nonzero values for a real supply. In a
typical power supply, the dc source Vdc ultimately derives
from a wall plug or similar electric utility line source with its
own variations.

What is Smoothing?
It is possible to design an electronic circuit to withstand some
variation at the dc supply. However, high-performance cir-
cuits such as audio amplifiers or precision sensors require a
supply of the highest possible quality, and almost any design
can benefit from a clean supply. The concept of a smoothing
circuit is to add extra elements to Fig. 1 to provide an equiva-
lent dc output that approaches the ideal. A smoothing circuit
provides an interface between the nonideal supply and the
intended electronic load. In power supply practice, it is usual
to distinguish between a smoothing circuit, which has the
primary function of eliminating effects of the ripple voltage
vr(t) and the source impedance Zs, and a regulation circuit,
which has the primary function of enforcing a constant Vdc.
However, many smoothing methods incorporate regulation
functions.
Smoothing can take the form of a passive circuit, con-
structed mainly from energy storage elements, or an active
circuit that corrects or cancels supply variation. Both of these
major topics are discussed in this article. We begin with defi-
nitions, then consider fundamental issues of smoothing. Fol-
lowing this, we present passive smoothing methods in depth.
Active smoothing methods are described after passive
methods.
It is important to point out that an ideal dc voltage supply
is not the only possibility for circuit power. In a few cases, an
ideal dc current supply or a specific ideal ac supply is needed
instead. The reference materials provide additional informa-
tion about smoothing of current sources and ac supplies.

Ls Rs
SMOOTHING CIRCUITS +

Most electronic circuits are designed to operate from a perfect vr(t) Zs


Smoothing
constant-voltage direct-current (dc) supply. This is often circuit Load

shown on a schematic diagram as VCC, VDD, or ⫹12 V, for in- Vdc

stance. Ideally, the supply voltage would remain constant –


despite all disturbances: It would never show any spikes,
Imperfect dc supply
ripples, or variations of any sort. It would not change in the
face of variations at its input, and it would not be altered no Figure 1. Model of an imperfect dc supply, smoothing circuit and
matter how high the load current. In practice, such paragons load.

J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
SMOOTHING CIRCUITS 401

Definitions
Ls Rs
The following definitions are in common use. A
The ripple factor r provides a measure of the ripple voltage
Vr Zs R
vr relative to Vdc. It is usually defined in terms of peak-to-peak
variation: V0
g mV i C
Peak-to-peak supply voltage excursion
r= (1) Vdc Vi
Average voltage
B
If vr is sinusoidal, with vr(t) ⫽ Vpk cos(웆r t), then the ripple Imperfect dc supply Amplifier To other
factor is r ⫽ 2Vpk /Vdc. An oscilloscope can be used in ac-cou- circuitry
pled mode to measure the numerator of Eq. (1), and a dc volt-
Figure 2. Imperfect dc supply feeding a simple amplifier and other
meter can measure the denominator. The ripple factor should
circuitry.
be as small as possible.
A smoothing circuit should provide a lower value of r at its
output than at its input. This is the basis for an important circuit in Fig. 2 is poor in this respect. Its PSRR is unity, or
figure of merit. 0 dB, and therefore it relies entirely on smoothing within the
Ripple attenuation is the ratio of the smoothing circuit’s power supply for its function. Well-designed amplifiers, such
input ripple voltage to its output ripple voltage. It is often as IC operational amplifiers, can have PSRR levels of 60 dB
expressed in decibels: or greater.
Furthermore, the imperfect dc supply has impedance Zs,
Ripple attenuation which appears in series with R, so the output voltage be-
 Peak-to-peak voltage excursion at input
 comes VO ⫽ ⫺gm (R ⫹ Zs)Vi ⫹ Vr. Since Zs most likely depends
= 20 log10 (2) on frequency, the amplifier’s frequency response (the varia-
Peak-to-peak voltage excursion at output tion of VO /Vi with frequency) is no longer flat. In addition, an
alternating-current (ac) voltage develops across Zs, equal to
The ripple attenuation should be as large as possible. gmZsVi. If another circuit is powered from points A and B in
When a smoothing circuit is not present, the output imped- Fig. 2, considered as dc supply rails, this extra ac voltage ap-
ance of a power supply is the source impedance Zs. Therefore, pears as superimposed ripple. Thus the supply impedance Zs
output impedance provides a second helpful figure of merit. provides a mechanism by which signals in one circuit can cou-
The objective is to provide as low an impedance as possible ple into another. Under certain conditions, the cross-coupling
over a wide frequency range. can be strong enough to produce large-amplitude, self-sus-
The end-use application has certain important measures taining oscillations.
as well. The sensitivity of the load to supply variation is mea- A remedy for the impedance and cross-coupling effects is
sured in terms of power supply rejection. to connect a large capacitor between A and B. This is known
The power supply rejection ratio (PSRR) is a measure of the as decoupling the circuits from each other. A ‘‘large capacitor’’
ultimate effect of supply variation at the point of end use. means one with impedance 兩ZC兩 ⫽ 1/(웆C) that is much smaller
Given a final output voltage magnitude VO and power supply than the impedances R and Zs at all frequencies of interest.
ripple voltage magnitude Vr, the rejection ratio is given by The idea is that the signal current in R should flow mostly
through C rather than Ls. It will then develop a small voltage
Power supply rejection ratio = −20 log10 (VO /Vr ) (3) of approximately gmVi /(웆C) between points A and B. When
Rs is small, a ripple current of approximately Vr /(웆Ls) will
Here VO is that portion of the output voltage that is related flow through Ls and C; this current will produce a ripple volt-
to supply ripple (excluding signals, random noise, and other age Vr /(웆2LsC) between A and B. The larger the value of C,
disturbances). The PSRR value should be as high as possible. the smaller the unwanted voltage gmVi /(웆C), and the better A
The frequency of the ripple waveform is important for and B approach ideal voltage rails. Higher values of Ls will
smoothing circuit design. also help reduce the ripple voltage between A and B once a
The ripple frequency is defined as the fundamental fre- capacitor is present. Rather than being an unwanted element,
quency of the ripple waveform, whether or not the waveform the source inductance becomes useful. In fact, extra induc-
is sinusoidal. In many cases, the ripple frequency is a multi- tance might be added in series to increase the smoothing
ple of the ac line frequency that provides the energy. In effect.
switching power supplies, the ripple frequency is usually This example shows the basis of a general passive smooth-
much higher. ing circuit: Ls and C form a second-order low-pass filter be-
tween the ripple voltage source and the amplifier circuit. The
Example: Amplifier Load filter passes dc unaffected. Well above the cutoff frequency of
the filter at approximately the resonant frequency, 웆0 ⫽
Figure 2 shows an inverting amplifier supplied from a noni- 1/ 兹LC, ripple is attenuated by about 40 dB/decade.
deal source, and will serve to illustrate the need for smooth-
ing. In the case of a perfect voltage source with vr(t) ⫽ Zs ⫽ SMOOTHING FROM AN ENERGY PERSPECTIVE
0, the amplifier’s intended output voltage is VO ⫽ ⫺gmRVi,
where gm is the transistor’s transconductance. However, the Before discussing practical smoothing techniques, we look at
supply ripple voltage feeds directly through R to the output, power and energy relations, which define fundamental physi-
and it modifies the output voltage to VO ⫽ ⫺gmRVi ⫹ Vr. The cal limitations associated with smoothing.
402 SMOOTHING CIRCUITS

Power and Energy This average power balance equation leads to the definition
of efficiency, ␩ ⫽ PO /PI —that is, the ratio of average output
Let work or energy be denoted as a function w(t). Instan-
power to average input power, usually expressed as a percent-
taneous power is the rate of change of energy, p(t) ⫽ dw/dt.
age. An important design aim of a smoothing circuit should
The first law of thermodynamics states that energy is con-
be to attain a high efficiency—that is, approaching 100%.
served: ⌺w ⫽ constant, and after differentiating we obtain
If we take the full-power balance equation and subtract
⌺(dw/dt) ⫽ 0. Therefore, the energy supplied to a system
the average-power balance equation, we arrive at the ripple-
must match the energy output, plus any energy that is stored
power balance equation:
internally. In terms of power, we can identify a power balance
equation: dw̃
p̃I = p̃O + + p̃H (9)
 d   dt
pin (t) = wstored + pout (t) (4)
dt The aim of smoothing is to make p̃O close to zero. We can try
to accommodate the input ripple power either by a change in
That is, the total power flowing into any system equals the
stored energy, by dissipation, or by a combination of these.
total power flowing out, plus the rate of change of internally
stored energy.
Nondissipative Smoothing
In a typical electronic system, there are unwanted losses
that constitute an output heat flow, and it is convenient to Internal energy storage is used in smoothing circuits such as
consider this heat output separately. Figure 3 shows a LC filters, which in theory can be 100% efficient. There are
smoothing circuit that has instantaneous input power pI(t), only two basic ways of storing energy in a circuit: electrically
electrical output power pO(t), internal energy storage w(t), and and magnetically. A capacitor provides electric storage based
heat output power (losses) pH(t). The power balance equation on its stored charge, q ⫽ CV. The derivative of this for con-
for this circuit is stant capacitance provides the familiar property i ⫽
C(dv/dt). At any point in time, the power into the device is
dw v(t)i(t), and the capacitive stored energy is
pI (t) = pO (t) + + pH (t) (5)
dt   
t t v
dv(t) 1 2
So, in general, the power entering a smoothing circuit at any wC (t) = v(t)i(t) dt = v(t)C dt = Cv dv = Cv (t)
0 0 dt 0 2
instant undergoes a three-way split: Some power leaves via (10)
the electrical output, some increases the internal stored en-
ergy, and the remainder is dissipated as heat. This power bal- The other way of storing energy is in a magnetic field. An
ance equation identifies two techniques available for realizing inductor provides magnetic flux linkage ␭ in its core propor-
smoothing circuits: energy storage and dissipation. Both will tional to the current i flowing in a coil around the core, with
be considered shortly. ␭ ⫽ Li. The time derivative of this for constant inductance,
Let pI(t) ⫽ PI ⫹ p̃I(t), where PI is a constant (dc) term and combined with Faraday’s law by which d␭ /dt is equivalent to
p̃I(t) is the ripple component (ac term), and similarly for the voltage, gives the conventional property v ⫽ L(di/dt). The
other variables. The power balance equation becomes same procedure as for the capacitor yields the inductive
stored energy:
d(W + w̃)
(PI + p̃1 ) = (PO + p̃O ) + + (PH + p̃H ) (6)
dt 1 2
wL (t) = Li (t) (11)
2
Since W is constant, an immediate simplification is that the
dW/dt term is zero. Furthermore, we are interested in the Capacitance and inductance are electric duals: An expres-
long-term average power flows: sion concerning capacitance can be transformed into an ex-
 pression about inductance by swapping the roles of v and i
T
1 and then replacing C by L and q by ␭. For a capacitor we can
P = lim p(t) dt (7)
T →∞ T 0 write dv/dt ⫽ i/C, so the larger the value of C, the smaller
the rate of change of voltage—that is, the more constant the
By definition, the ripple components have zero average, so the voltage remains. For an inductor we can write the dual ex-
long-term result is pression di/dt ⫽ v/L. From an energy storage perspective, we
deduce that capacitors act to maintain constant voltage; in-
PI = PO + PH (8) ductors act to maintain constant current.
With finite capacitance and inductance, true constancy
cannot be achieved. The basis of nondissipative smoothing cir-
cuits is to alternate capacitors and inductors, progressively
pH smoothing voltage and current in turn.
i0 Figure 4(a) shows an input power with sinusoidal varia-
+ + tion. Nondissipative smoothing circuits attempt to store rip-
vI pI Internal stored p0 v0 ple energy during the high parts of the cycle, and then they
energy w
– – dispense this energy during low parts of the cycle to smooth
the overall flow. The available power becomes PI, the dashed
Figure 3. Power flows and energy in a smoothing circuit. line in Fig. 4(a).
SMOOTHING CIRCUITS 403

pI(t) The highest possible efficiency PO /PI gives

pi
PI η ≤1− (14)
PI
Power

∆ PH

Recall that nondissipative smoothing circuits cannot achieve


zero ripple with finite component values. Although dissipative
smoothing circuits can never achieve 100% efficiency, they
t can achieve zero ripple, in principle.

;;;;;
(a)
Waveform Considerations and Frequency-Domain Analysis

Power here must The implications of ripple factor, regulation, and many mea-
be dissipated sures of smoothing performance depend on the nature of the
ripple waveform. Ripple waveforms in conventional power
supplies can be sinusoidal or triangular, or they can take the
form of narrow spikes. We can consider ripple as a periodic
Power

signal with a particular spectrum and then apply frequency-


domain analysis. Formally, we represent a ripple signal vr(t)
Maximum possible Minimum possible by its Fourier series,
value of P0 value of PH

t 

vr (t) = a0 + an cos(nωt) + bn sin(nωt) (15)
(b) n=1

Figure 4. Hypothetical ripple power waveform. In (a), average


power PI is available with nondissipative smoothing. In (b), dissipa-
where the radian frequency 웆 is related to the frequency f
tive smoothing delivers a lower value. and the time period T of the signal as 웆 ⫽ 2앟f ⫽ 2앟/T. The
time function a1 cos(웆t) ⫹ b1 sin(웆t) is defined as the funda-
mental of ripple, while terms at higher values of n are har-
Dissipative Smoothing monics. Because most smoothing filters have a low-pass na-
Dissipation is used in circuits such as linear voltage regula- ture, they attenuate the harmonics more than the
tors and linear active smoothing filters. These devices are in- fundamental. For design purposes, it is often sufficient to con-
herently less than 100% efficient. Their basic operation is to sider the gain at the fundamental ripple frequency, 웆 ⫽ 웆r.
enforce constant output power by treating ripple as excess Consider input and output voltage phasors taken at this fre-
energy. quency. We will express the gain in decibels:
Recall the ripple-power balance equation,
 
 V ( jωr ) 
dw̃ Gain (dB) = 20 log10  O  (16)
p̃I = p̃O + + p̃H (12) VI ( jωr ) 
dt

If there is no stored energy, the term dw̃/dt is zero. The objec- For arbitrary complex frequency s, the transfer function can
tive of p̃O ⫽ 0 can be achieved only if we make p̃H ⫽ p̃I —that be written as
is, if we convert all the input ripple power into heat power
(loss). The lost energy is actually higher than this, and we
should consider the implications for efficiency. Consider again VO (s)
A(s) = (17)
an input power with ripple as in Fig. 4(a). Suppose p̃I(t) has VI (s)
a maximum downward excursion ⌬PH; this means that the
total heat power pH(t) has a minimum value of PI ⫺ ⌬PH. By
the second law of thermodynamics, this value must be posi-
tive: Heat power always flows out of the circuit (excluding PASSIVE SMOOTHING FILTERS
heat engines). This forces us to set a positive value of PH to
account for correct heat flow. The implications can be seen in Let us now approach the design of passive filters for smooth-
Fig. 4(b). Here the value of PO is set as high as possible—to ing. In principle, smoothing filters might be designed like the
the minimum of the input power excursion—and all power in low-pass filters employed for signal processing (1,2). But un-
the shaded region must be thrown away as heat to meet the like signal filters, where existing source and load impedances
zero p̃O objective. Since PH is positive in a dissipative smooth- can be augmented with resistance to the desired value,
ing circuit, the efficiency is less than 100%. smoothing filters must avoid resistance wherever possible in
In a case with sinusoidal ripple power, such that pI(t) ⫽ the interest of efficiency. Therefore they generally have ill-
PI ⫹ pi cos(웆t), the highest output power with p̃O ⫽ 0 will be defined source and load impedances, and standard low-pass
filter tabulations are inapplicable except in special circum-
PO = PI − pi (13) stances.
404 SMOOTHING CIRCUITS

consider the situation with an input voltage source and a cur-


rent-source load. When fed from a voltage source vI and deliv-
ering an output current IO, the filter output voltage is vI ⫺
VI(t) IOR, and its efficiency is
Smoothing Load
circuit IO R
η=1− (18)
VI

The value of R should be chosen to give an acceptable effi-


ciency. The voltage gain transfer function is
Figure 5. Diode bridge with output smoothing filter and load.

1
A(s) = (19)
To illustrate the point, consider a smoothing filter fed from 1 + sCR
a diode-bridge rectifier, as shown in Fig. 5. The rectifier’s ef-
fective output impedance is not clear, and in fact it can vary The gain at the ripple radian frequency 웆r is
considerably, even within a cycle. Even the basic circuit ac-
tion is unclear, since the timing of diode turn-on and turn-off
p
Gain (dB) = −20 log10 1 + (ωrCR)2
will depend on the smoothing circuit and the load. Conven-
≈ −20 log10 (ωrCR) if ωrCR  1 (20)
tional circuit theory is difficult to apply in such circum-
stances.
In almost any practical smoothing application, the dy- The one-section filter has a corner frequency at 웆0 ⫽ 1/(RC),
namic characteristics of the load are unknown or poorly de- and the gain falls asymptotically (i.e., for 웆 ⬎⬎ 웆0) at 20 dB/
fined. Given a dc load specified as drawing current I at volt- decade, or 6 dB/octave. Thus, with R selected on efficiency
age V, one might assume a resistive load, with R ⫽ V/I. In grounds, the value of C is chosen to give the desired attenua-
reality, this may not be the case: At one extreme, the load tion of the fundamental ripple frequency.
could approximate a constant current of I (a linear voltage Two or more RC networks can be cascaded to form a multi-
regulator tends to behave this way); at the other it might ap- section filter, as in Fig. 6(b). A two-section filter, with each
proach a constant voltage of V (a battery to be charged is one section comprising R/2 and C/2, provides
common case). In between, the load could be resistive, capaci-
tive, or inductive, with the possibility of nonlinear behavior 1
A(s) = (21)
or time variation. If information about the source and load 1+ + 16 (sRC)
3 1 2
4 sRC
impedances is available to the filter designer, it should be uti-
lized. Otherwise, assumptions must be made, with an attempt
toward worst-case analysis. The gain is

RC Smoothing Gain (dB) = −20 log10 1+ 16 (ωr RC)
7 2
+ 256 (ωr RC)
1 4

The simplest type of passive smoothing filter is the single- ≈ 24 − 40 log10 (ωr RC) if ωr RC  1 (22)
section RC low-pass filter of Fig. 6(a). This is widely used for
decoupling purposes at low power levels, where its limited ef- The corner radian frequency is 웆0 ⫽ 4/(RC), and the gain falls
ficiency is not a concern. For this filter, worst-case design can asymptotically at 40 dB/decade or 12 dB/octave. A three-sec-
tion filter, with R/3 and C/3 in each section, has

R I0 1
A(s) = (23)
1 + 23 sRC + 81 (sRC)
5 2
+ 729 (sRC)
1 3

VI C V0
and gain of

(a)
Gain (dB)

= −20 log10 1+ 26
81
(ωr RC)2 + 13
6561
(ωr RC)4 + 1
531,441
(ωr RC)6
R/2 R/2 I0 ≈ 57 − 60 log10 (ωr RC) if ωr RC  1 (24)

The corner frequency is now 웆0 ⫽ 9/(RC), and the gain falls


VI C/2 C/2 V0 at 60 dB/decade or 18 dB/octave. It is rare to encounter more
than three sections in practice. The efficiency of these multi-
section filters depends only on the total resistance R, so it is
the same as for a single section.
(b)
We can represent the gain conveniently with a Bode plot,
Figure 6. RC smoothing filters, single section and multisection. which shows gain in decibels as a function of frequency (on a
SMOOTHING CIRCUITS 405

log scale in hertz) over the range of interest. The Bode plots L I0
in Fig. 7 represent RC filters with one to three sections.
Given a total resistance R and a total capacitance C, what
is the best number of sections to use? For n sections, the cor- VI C V0
ner frequency is proportional to n2, while the slope of the high
frequency asymptote is ⫺20n dB/decade. A two-section filter (a)
gives greater attenuation than one section if 웆rRC ⬎ 12.0;
otherwise it is more effective to use one section. Similarly,
three sections are better than two if 웆rRC ⬎ 32.9 (with analy-
sis based on the fundamental). When deciding on the number L/2 L/2 I0
of sections, practical factors should also be taken into account,
such as availability of suitable components, their size, cost, VI C/2 C/2 V0
PCB area occupied, and the effect upon reliability.
As an example, let us consider the design of a filter with
the following specifications: VI ⫽ 12 V, IO ⫽ 10 mA, ␩ ⫽ 98%, (b)
f r ⫽ 웆r /(2앟) ⫽ 120 Hz, gain ⱕ ⫺30 dB. From the efficiency
formula, Eq. (18), we find R ⫽ 36 ⍀. Using the approximate Figure 8. LC smoothing filters, single section and multisection.
gain formulae, we obtain the following values:

n 웆rRC C Practical Component Values The gain is


1 31.62 1165 애F 36 ⍀, 1200 애F
2 22.39 825 애F 2 ⫻ 18 ⍀, 2 ⫻ 470 애F Gain (dB) = −20 log10 |1 − ωr2 LC| (26)
3 28.18 1038 애F 3 ⫻ 12 ⍀, 3 ⫻ 330 애F
The LC product is associated with a resonant frequency 웆0 ⫽
The two-section filter might be considered the best because it 1/ 兹LC. With this in mind,
has the lowest value of 웆rRC and therefore the lowest total
capacitance. But a single-section filter is simpler, and it might Gain (dB) = −20 log10 |1 − (ωr /ω0 )2 |
be the preferred design solution in practice. ≈ −40 log10 (ωr /ω0 ) if ωr /ω0  1 (27)
There are more sophisticated RC smoothing circuits (3,4),
including the parallel T notch network (4,5). These were used
in the past when low ripple was essential. Today, active It is essential that the resonant frequency be significantly
smoothing methods are a better alternative to these rather lower than the ripple frequency; otherwise, the filter could
sensitive circuits. actually increase the ripple.
When two LC networks are cascaded to form a multisec-
tion filter, additional resonances are created, and it becomes
LC Smoothing even more important to ensure that 1/ 兹LC is well below the
Single-stage and two-stage LC smoothing filters are shown in ripple frequency. The two-section filter with component val-
Fig. 8. For these filters, the efficiency is 100% in principle, ues L/2 and C/2 has the transfer function
although in practice it will be limited by parasitic resistances
within the components. For the single-stage circuit, the volt- 1
A(s) = (28)
age gain transfer function is 1 + 34 s2 LC + 16 (s LC)
1 2 2

1
A(s) = (25) The gain is
1 + s2 LC

Gain (dB) = −20 log10 |1 − 34 ωr2 LC + 1


16
(ωr2 LC)2 | (29)

0 With 웆0 ⫽ 1/ 兹LC once again, the gain becomes

Gain (dB) = −20 log10 |1 − 34 (ωr /ω0 )2 + 1


16
(ωr /ω0 )4 |
–20 ≈ 24 − 80 log10 (ωr /ω0 ) if ωr /ω0  1
Gain (dB)

(30)
1 section
2 sections
3 sections The filtering effect as frequency increases is much larger than
–40
for a two-section RC smoother. However, the frequency be-
havior is more complicated, having two resonant peaks. A
Bode diagram for one-, two-, and three-section LC filters with
–60 no load is shown in Fig. 9. For the single-section filter, the
0.01 0.1 1 10 100
Frequency × 2π RC
ripple frequency must be at least 兹2 times the resonant fre-
quency to ensure some reduction. Frequencies more than
Figure 7. Frequency response for multisection RC filters. about five times the resonant value are strongly attenuated.
406 SMOOTHING CIRCUITS

L
0 I0

VI C0 V0
Gain (dB)

–50 C
1 section
2 sections
3 sections Figure 10. LC filter with blocking pair.
–100

10. This combination blocks all flow at 웆0 ⫽ 1/ 兹LC. The


–150 transfer function is
0.01 0.1 1 10 100
Frequency × 2π LC 1 + s2 LC
A(s) = (32)
1 + s2 L(C + CO )
Figure 9. Frequency response for multisection LC filters.
At high frequency, A(s) 앒 C/(C ⫹ CO), so the design requires
CO ⬎⬎ C to give useful high-frequency attenuation. The un-
For the two-section filter, the ripple will increase without wanted high-gain resonance then occurs at a relatively low
bound if the ripple frequency is 1.23 or 3.24 times the reso- frequency 1/ 兹L(C ⫹ CO). If LC ⫽ 1/웆r2 and CO ⫽ 10C, this
nant frequency 웆0 ⫽ 1/ 兹LC, but again the filter is effective if combination will give excellent ripple attenuation at 웆r, and
the ripple value is at least five times the resonant value. The more than 20 dB of attenuation at higher frequencies. As in
two-section filter gives better results than the single-section the basic LC filters, the value of CO is chosen to provide a low
filter provided that 웆r兹LC ⬎ 5.2. The three-section filter has output impedance.
peaks near 1.34웆0, 3.74웆0, and 5.41웆0, and it is better than Consider again the previous power supply example with
the two-section filter only if 웆r兹LC ⬎ 8.8. The resonance 1200 ⍀ load impedance. With a blocking filter, it is likely that
problems make even the three-section filter rarely used for the largest remaining ripple component will appear at 3f r, or
smoothing except at extreme power levels (several kilowatts 360 Hz. An output capacitor value of 5 애F will make the im-
or more). pedance sufficiently low at this frequency. This suggests a ca-
The gain parameters for Fig. 9 depend only on the LC pacitor value of 0.5 애F for the blocking pair. The inductor is
product, but do not give guidance on the selection of L and selected based on 1/ 兹LC ⫽ 240앟 rad/s, giving L ⫽ 3.5 H.
C. One general rule is to choose 웆r兹LC ⬎ 5. A second require- Blocking filters are most useful when specific high frequencies
ment can be generated based on the impedance needs of an are involved, rather than power line frequencies. A similar
ideal dc supply: The output impedance should be much lower design procedure to block 20 kHz ripple in a switching power
than the load impedance. This implies that the impedance of supply will lead to a smaller inductor.
the capacitor across the output terminals should be much Figure 11 shows a shunt trap filter. In this case, the load
lower than the effective load impedance, ZL. The single-sec- will not see any ripple at the single frequency 웆0 ⫽ 1/ 兹LC
tion LC filter thus requires except owing to component ESR values. The transfer function
is
1 1
 |ZL | or C  (31) 1 + s2 LC
ωrC ωr |ZL | A(s) = (33)
1 + s2 (L + LI )C
In the preceding RC example, the load draws 10 mA from a
12 V source. The effective load impedance is 12 V/10 mA ⫽ At very high frequency, A(s) 앒 L/(L ⫹ LI), so the circuit
1200 ⍀. For a single-stage LC filter with ripple frequency of should have LI ⬎⬎ L to provide good high-frequency attenua-
120 Hz, this requires C ⬎⬎ 1.1 애F. A value of 100 애F will be tion. This circuit acts as the dual of the blocking circuit. The
suitable. With the resonance requirement 웆r兹LC ⬎ 5, we find impedance of the inductor LI should be much higher than that
L ⬎ 0.44 H. of the load to prevent flow of unwanted frequencies. Like
The actual performance of LC smoothing circuits is closely blockers, traps are used to eliminate specific high frequencies.
linked to the quality of their components. Any real inductor In high-power supplies, traps are often used to eliminate par-
or capacitor has its own internal equivalent series resistance ticular strong harmonics rather than for broad ripple smooth-
(ESR). In smoothing circuits, ESR values are often not much ing. Additional discussion of tuned traps can be found in
different from the intended filter component impedance lev- Ref. 6.
els. For example, ESR in the output capacitor of an LC net-
work can limit the ability to provide low output impedance.
Discussion of the nature of ESR and its effect on filters can
LI I0
be found in Ref. 2.
L
VI V0
LC Blocking and Traps: Resonant Smoothing
C
Since the ripple frequency is well-defined in many systems,
there are certain circumstances in which resonance can be
used to advantage. Consider the series blocking circuit of Fig. Figure 11. LC filter with a trap.
SMOOTHING CIRCUITS 407

This decay will continue as long as the diodes are reverse-


1,1 1,2 biased, that is, vO ⬎ 兩vI兩. When the full-wave voltage increases
C
again during the next half-cycle, two diodes will turn on at
time ton as the full-wave value crosses the decaying output.
vI(t)
The output voltage maximum is the peak input Vpk, while the
minimum output occurs at the moment of diode turn-on, ton.
2,1 2,2 Thus the peak-to-peak output ripple is Vpk ⫺ 兩vI(ton)兩. To guar-
antee small ripple, the output voltage should decay very little
+ V0 – while the diodes are off. This means ␶ ⬎⬎ ton ⫺ toff to keep the
To load ripple low. For small ratios of (ton ⫺ toff )/ ␶, the exponential can
be represented accurately by the linear term from its Taylor
Figure 12. Full-bridge rectifier with capacitive filter. series expansion,

ex ≈ 1 + x, x1 (35)
Capacitive Smoothing for Rectifiers
A rectifier can be used with a purely capacitive smoothing The time difference ton ⫺ toff cannot be more than half the
filter. With a resistive load, the structure becomes an RC com- period of vI(t), so the low ripple requirement can be expressed
bination of the filter element and the load. This arrangement, as RC ⬎⬎ TI /2 if TI is the input period.
shown in Fig. 12, is sometimes called the classical rectifier The details of the output voltage waveform and the target
circuit. It is very common for filtering rectifiers below 50 W, of having low ripple lead to several reasonable assumptions
and it is used widely at higher power ratings as well. that can be made for the smoothing filter, leading in turn to
In the classical rectifier, the shape of the ripple combines a simplified design framework:
a sinusoidal portion during times when the diodes conduct,
and an exponential decay during times when the diodes do 1. The turn-off time occurs close to the voltage peak. It can
not conduct. The nature of the waveform supports useful ap- be assumed to coincide with the peak input voltage, and
proximations to the shape without resorting only to the fun- the output voltage at that moment will be Vpk.
damental frequency (6). The circuit output waveforms are 2. The voltage decay while the diodes are off is nearly lin-
given in Fig. 13. The waveform 兩vI(t)兩 is shown as a dotted line ear, as in Eq. (35). Thus after toff , the voltage falls lin-
for reference. When a given diode pair is on, the output is early as Vpk(1 ⫺ t/RC), with t being measured from the
connected directly, and vO ⫽ 兩vI兩. When the diodes are off, the voltage peak.
output is unconnected, and vO decays exponentially according
3. Since the total time of voltage decay never exceeds half
to the RC time constant: assuming a resistive load, R. Con-
the period (for the full-wave rectifier case), the voltage
sider the time of the input voltage peak, and assume that the
will not be less than Vpk[1 ⫺ TI /(2RC)]. The peak-to-
diodes labelled 1,1 and 2,2 are on. In this arrangement, the
peak ripple ⌬VO will be no more than VpkTI /(2RC).
output voltage matches the input apart from the diode for-
ward drops (which are assumed to be small for the moment) 4. The diodes are on just briefly during each half-cycle.
and the input current is iI ⫽ iC ⫹ iR. The arrangement will The input current flows as a high spike during this in-
continue until the diode current drops to zero and the devices terval. Given a turn-on time ton, the peak input current
turn off. This time toff occurs shortly after the voltage peak, is approximately C(dv/dt) ⫽ 웆CVpk cos(웆Iton).
and the time of the peak is a good approximation to the turn-
off point. All these simplifications require RC ⬎⬎ TI /2, the usual case
Once the diodes are off, the output decays exponentially to produce low ripple.
from its initial value. The initial voltage will be vI(toff ), and These simplifications are equivalent to assuming that the
the time constant ␶ will be the RC product, so output waveform is a sawtooth, with a peak value of Vpk and
a trough value of Vpk[1 ⫺ TI /(2RC)]. Therefore, the ripple is
Diodes off: vO (t) = Vpk sin ωItoff e−(t−t off )/τ (34) also a sawtooth, with a peak-to-peak value of ⌬VO ⫽
VpkTI /(2RC). This shape yields a simple design equation.
Given an output load current IO (approximately equal to
Vpk /R if the load is resistive), a desired ripple voltage ⌬VO,
and input frequency f I ⫽ 1/TI, we have
Vpk
IO IO
VO = , or C = (36)
2 f IC 2 f I VO
Voltage

Vpk/2 The capacitance is selected based on the highest allowed load


current. Notice that if a half-wave rectifier substitutes for the
bridge, the basic operation of the circuit does not change, ex-
cept that the maximum decay time is TI instead of TI /2. The
0 factors of 2 in Eq. (36) will not be present.
0 TI/2 TI 3TI/2
The sawtooth waveform can be filtered further by connect-
Time
ing an LC or RC passive circuit after the smoothing capacitor.
Figure 13. Output voltage of classical rectifier. Sawtooth ripple with a peak-to-peak value of ⌬VO corresponds
408 SMOOTHING CIRCUITS

18 36
iI

C 12 24
120 V

Output voltage (V)


60 Hz

Input current (A)


6 12

0 0

120:10 6Ω
–6 v0(t) –12
+ – vI (t)
V0
–12 iI(t) –24
Figure 14. Circuit to provide 12 V output from a smoothed rectifier.
–18 –36
0 0.005 0.01 0.015 0.01 0.025 0.03
to a ripple waveform fundamental of Time (s)

VO Figure 15. Output voltage and input current for rectifier example.
sin ωr t (37)
π

and this ⌬VO /앟 amplitude provides a good basis for design of standard 22 mF capacitor will meet the requirements. The
further filtering. waveforms that result from these choices are shown in Fig.
The capacitor handles a substantial ripple current, and 15. Notice that the current into the rectifier bridge does in-
this should be considered when choosing the component. Once deed flow as a series of spikes—with a peak value of about 36
the peak input current Ipk ⫽ 웆CVpk cos(웆Iton) is determined, A. The rms capacitor current is about 7 A.
the rms current in the capacitor can be estimated. The capaci- The assumptions used for simplified rectifier design yield
tor current will be a series of approximately sawtooth spikes excellent end results, compared with more precise calcula-
of height Ipk and a narrow width TI ⫺ ton, and this waveform tions. For example, precise calculation shows that a 22 mF
has an rms value IC given by capacitor yields 0.66 V ripple instead of 0.72 V. The linear
 3
ripple assumption is a conservative approximation: It always
overestimates the actual ripple when exponentials are in-
Ipk
IC ≈ (38) volved.
3πωICVpk
Current Ripple Issues
This expression can be used to determine the ripple current The narrow input current spikes in Fig. 15 beg the question
rating requirement of the capacitor. of whether smoothing will be needed for the input current.
The high current will produce significant voltage drops in any
Capacitive Smoothing Example
circuit or device impedances, and it raises issues of coupling
Let us illustrate capacitive smoothing by choosing a suitable through an imperfect ac source. To improve the input current
capacitor for a classical rectifier. The rectifier is to supply 12 waveform, inductance can be placed in series with the recti-
V ⫾ 3% to a 24 W load, based on a 120 V, 60 Hz input source. fier input. With added inductance, the moment of diode turn-
The arrangement needed to solve this problem is shown in off will be delayed by the inductor’s energy storage action.
Fig. 14. When the diodes are on, the new circuit is a rectified sine
A transformer will be needed to provide the proper step- wave driving an inductor in series with the parallel RC load.
down ratio for this design. For completeness, let us include a To analyze the situation, it is convenient to use the funda-
typical 1 V diode on-state forward drop. The load should draw mental of the ripple voltage expected to be imposed on the
24 W/12 V ⫽ 2 A, and therefore it is modeled with a 6 ⍀ inductor-capacitor-load combination. For large inductor val-
resistor. When a given diode pair is on, the output will be two ues, this ripple will not exceed that of the rectified sinusoid.
forward drops less than the input waveform, or 兩vI兩 ⫺ 2 V. We For small inductor values, this ripple is approximately the
need a peak output voltage close to 12 V. Therefore, the peak sawtooth waveform with the capacitor alone. The function
value of voltage out of the transformer should be about 14 V. 兩Vpk sin(웆It)兩 has a fundamental component of amplitude
The root mean square (rms) value of vI is almost exactly 10 V 4Vpk /3앟, while the sawtooth has the fundamental amplitude
for this peak level. Let us choose a standard 120 V to 10 V given in Eq. (37). Figure 16 shows an equivalent circuit based
transformer for the circuit on this basis. on the fundamental of the imposed ripple voltage.
To meet the ⫾3% ripple requirement, the output peak-to-
peak ripple should be less than 0.72 V. The capacitance
should be ∆i(t) jω L +
∆VI 1 R ∆V0
IO 2A sin (ω t)
C= = = 23 mF (39) 2 jω C
2 f I VO 2(60 Hz)(0.72 V) –

The approximate methods overestimate the ripple slightly, Figure 16. Fundamental equivalent circuit for ripple evaluation in
since the time of the exponential decay is less than TI /2, so a a rectifier.
SMOOTHING CIRCUITS 409

From the circuit in Fig. 16, we can compute the current iL L L2


V1 iL2
drawn from the fundamental source, and then we can use a + –
current divider computation to find the ripple imposed on the VI VL +
load resistance. The result for the peak-to-peak output ripple R V0
voltage as a function of the input peak-to-peak ripple voltage C C2 –
⌬VI (estimated based on the fundamental) is

VI Figure 18. A dc–dc buck converter with two-stage LC output filter.
VO = (40)
(1 + jωL/R − ω2 LC)

To make sure the inductor gives a useful effect, it is impor- Example: Smoothing for dc–dc Converters
tant that 웆0 ⫽ 1/ 兹LC be significantly less than the ripple A dc–dc converter application will help illustrate LC smooth-
frequency 웆r. ing design and a simplified approach. A typical switching
Consider again the example 12 V supply described pre- dc–dc converter, with a two-stage LC output filter, is shown
viously. The ripple frequency is 240앟 rad/s. Inductor values in Fig. 18. The semiconductor devices act to impose a voltage
up to about 100 애H have little effect, or could even increase square wave at the filter input terminals.
the ripple, owing to resonance. An inductance of 200 애H The key to a simplified approach is this: At each node
yields a value of 兩⌬VO /⌬VI兩 ⫽ 0.67. What value of imposed in- within the filter, it is desired that the ripple be very low. This
put ripple should we use? The value 웆r2LC in the denominator simple concept means, for instance, that the voltage V1 in Fig.
of Eq. (40) is 2.5, which is only a little larger than 1. The 18 should be nearly constant. If that is true, the inductor L is
input ripple will be nearly that of the capacitor alone, and the exposed to a square voltage waveform. The various wave-
inductor would be expected to reduce ripple by about 30%. forms are given in Fig. 19. Since the inductor voltage vL ⫽
Simulation results were computed for the complete rectifier, L(di/dt), the inductor current in Fig. 19(b) can be determined
and they showed a reduction by 28% to 0.042 V. At the same from
time, the peak value of iI dropped from almost 36 A to only 
8.2 A. vL (t)
iL (t) = dt (41)
Figure 17 shows the current iI for no inductance, for 200 L
애H, and for a 2 mH inductor. The current waveforms show
how the turn-off delay brings down the peak value and makes The integral of a square wave is a triangle wave. This sup-
the current smoother. One important aspect is that the turn- ports a linear ripple assumption for further analysis.
off delay decreases the peak voltage at the output. For exam- With linear ripple, the inductance L becomes relatively
ple, the 2 mH case provides a 9 V output instead of the re- easy to select. Consider a case in which an inductor is exposed
quired 12 V. For a larger inductor, the actual output voltage to a square wave of amplitude Vpk, a frequency of f, and a
would be the average of the rectified sine wave, equal to pulse width of DT, as illustrated in Fig. 19(a). In the step-
2Vpk /앟. In the limit of L 씮 앝 the current iI becomes a square down circuit of Fig. 18, this would lead to an average output
wave with a peak value equal to the load current. More com- voltage of DVpk. While the voltage is high, the inductor is ex-
plete discussion of designs of LC filters for rectifier smoothing posed to (1 ⫺ D)Vpk ⫽ L(diL /dt). Since the ripple is linear, this
can be found in Refs. 3, 4, and 6. can be written vL ⫽ L(⌬iL /⌬t), with ⌬t ⫽ DT. Now, the induc-
tance can be chosen to meet a specific current ripple require-
ment,

36 vL DT vL DT
L= and iL = (42)
iL L

24
This simple but powerful expression leads to a quick selection
of values once the circuit requirements are established.
12 A special value of inductance from Eq. (42) is the one that
sets current ripple to ⫾100%. This is the minimum induc-
Current (A)

tance that will maintain current flow iL ⬎ 0 and is termed the


0 critical inductance, Lcrit. Setting a specific ripple level is equiv-
alent to setting the ratio L/Lcrit. For example, if the current
i I, L = 0 ripple is to be ⫾10%, the inductance should be ten times the
–12
iI, L = 0.2 mH
critical value, and so on.
Now consider the capacitor C that follows the inductor.
iI, L = 2 mH
–24 The desire for low ripple means that the current in inductor
L2 should be almost constant. The current in the capacitor C
will be very nearly the triangular ripple current flowing in
–36
0 0.005 0.01 0.015 0.02 0.025
L. Since iC ⫽ C(dvC /dt), we have

Time (s) iC (t)
vC = dt (43)
Figure 17. Rectifier input current when inductance is added. C
410 SMOOTHING CIRCUITS

To provide low relative ripple ⌬v1 /vL, the resonant radian fre-
Vpk quency 1/ 兹LC must be well below the square-wave radian
Voltage at diode

DT
frequency 2앟/T. This is easy to see by requiring ⌬v1 /vL ⬍⬍ 1
in Eq. (45). Then

DT 2 1
r8
 1, √  f (46)
8LC LC D

The next inductor L2 should provide almost constant out-


0 T = 1/f 2T 3T put vO, so it is exposed to the piecewise quadratic ripple volt-
age from capacitor C. Analysis of this waveform is more com-
(a)

;;
plicated, but it can be approximated well as by a sine wave
with peak value ⌬v1 /2. The fundamental should provide a
good basis for further stages. Then the approximate sinusoi-
VL(t) dal waveform appears entirely across L2. The ripple current
in L2 is

1 v1 v1
iL2 = sin(ωrt) dt = cos(ωrt) (47)
L2 2 2L2 ωr

Since 웆r ⫽ 2앟/T, the peak-to-peak current ripple in L2 is


Area 5iL(t)L/T
v1 T vL DT 3
iL2 = = (48)
2πL2 16πL2 LC

By a similar process, the final output voltage ripple is


Voltage or current

25v1(t)LC/T 2 iL2T vL DT 4
vO = = (49)
2πC2 32π 2 L2C2 LC

Since these relationships are based on ideal results for each


part, the assumption here is that the ripple is reduced sig-
100iL2(t)L2LC/T 3 nificantly at each stage. This requires 1/ 兹L2C2 ⬍ 2앟/T, and
so on.
Actually, it is unusual in the context of dc–dc conversion
to reduce the ripple substantially in each LC filter stage.
More typically, the first stage performs the primary ripple re-
duction, while the second stage uses much smaller compo-
500v0(t)L2C2LC/T 4 nents to filter out the effects of ESR in C.

ACTIVE SMOOTHING
0 T 2T 3T
Time In active smoothing, circuits that resemble amplifiers are
used in addition to storage elements for the smoothing pro-
(b) cess. Both dissipative and nondissipative approaches exist,
Figure 19. Current and voltage waveforms at points within the LC but dissipative methods are the most common. The energy
filter of Fig. 18. (a) Diode voltage. (b) Voltages and currents in the arguments require dissipative active smoothers to deliver out-
smoothing filter. put power below the minimum instantaneous input power.
For this reason, most dissipative active methods combine
smoothing and regulation. Voltage regulators are covered in a
The integral of a triangle is a piecewise-quadratic waveform. separate article, so just a short introduction is provided here.
Of more immediate concern is the effect on voltage ripple, as
shown in Fig. 19(b). When the capacitor current is positive, Series-Pass Smoothing
the voltage will be increasing. The total amount of the voltage Figure 20 shows a simple series-pass circuit for smoothing
increase, ⌬v1, will be proportional to the shaded area under and regulation. In the arrangement shown, a constant low-
the triangle, and
1 1 T iL iL T
v1 = = (44) –
C2 2 2 8C iI = ic +
Imperfect +
iB VBE To
With the ripple current value from Eq. (42), this means that dc VI V0 load
the ripple on voltage V1 is supply VR

v DT 2
v1 = L (45)
8LC Figure 20. Series-pass active smoothing and regulating circuit.
SMOOTHING CIRCUITS 411

Shunt Smoothing
B RB RC C
Cµ Series smoothing makes use of the ability of a bipolar transis-
rπ r0
tor to establish an emitter current that depends on the base
Cπ gmvbe input rather than on the input source. Shunt smoothing is a
dual of this in certain respects: It makes use of the ability of
certain elements to establish a voltage that is independent of
the source. In Fig. 22, a basic form and a typical implementa-
RE tion of a shunt regulator are shown. The imperfect dc supply
provides energy flow and current iI through the resistance
E RI. The fixed voltage delivers the desired output current IO.
Figure 21. Hybrid-앟 transistor model. The fixed element makes IO independent of iI, and smoothing
is accomplished.
The simple circuit of Fig. 22(a) is actually very common in
battery-powered devices. When a charger is connected, it is
power reference voltage VR is produced and is then used to only necessary to make sure that the average value of iI is
drive the base of a pass transistor. In its active region, the greater than IO to provide both regulation and charging. With
transistor exhibits a nearly constant base–emitter voltage the charger is disconnected, the battery continues to maintain
drop, and the current iC equals 웁iB. The emitter voltage will operation of the load. In this case, the storage action of the
be VR ⫺ VBE, which is nearly constant. If 웁 is high, the base battery means that the instantaneous value of iI is unimport-
current will be low, and the voltage VBE will change little as ant; an unfiltered rectifier can be used as the imperfect dc
a function of load. supply, for example. The actual level of output ripple depends
From a smoothing perspective, the interesting aspect of on the quality of the battery as a dc source.
the series-pass circuit is that the output voltage is indepen- The Zener diode circuit of Fig. 22(b) is common for use in
dent of the input voltage, provided that the input voltage is generation of reference voltages, and it is also widely used for
high enough for proper biasing of the transistor. This is ex- low-power sensor supply requirements and similar applica-
actly representative of the dissipative smoothing concept. For tions. Since the diode does not have any energy storage capa-
example, if the output is intended to be 5 V and if the transis- bility, this smoother requires that the instantaneous value of
tor voltage VCE should be at least 2 V for proper bias in the iI must always be greater than the desired IO. If the output
active region, then any input voltage higher than 7 V will current is not well-defined, a worst-case design must estimate
support constant 5 V output. The input voltage can vary arbi- the highest possible output current as the basis for the mini-
trarily, but the output will stay constant as long as it never mum iI.
dips below 7 V. In a shunt regulator, there is power loss in the resistor:
The efficiency of a series-pass circuit is easy to compute. If the square of the rms value of iI times the input resistance
웁 is very large, the emitter current IE ⫽ IO will equal the col- RI. There is also additional power in the fixed voltage ele-
lector input current IC ⫽ II. The efficiency is ment: the difference iI ⫺ IO times VO. The power in the voltage
element is lost in the Zener circuit, or it serves as charging
power in the battery circuit. The output power PO is VOIO. If
PO V I V
η= = O O = O (50) we could select a value of input current to exactly match the
PI VI II VI output current (the best-case situation with minimum input

High efficiency demands that the input and output voltages


be as close as possible.
A real series-pass circuit will still exhibit a certain level of
ripple. The basis for this can be seen in the small-signal hy- iI RI l0
Imperfect To
brid-앟 model of a bipolar transistor (7), shown in Fig. 21. In dc VI V0
load
the case of fixed voltage at the base terminal and voltage with supply
ripple at the collector terminal, the stray elements r0 and C애
both provide a path by which ripple current can reach the
output. In a good-quality transistor, r0 can be made very high, (a)
so internal capacitive coupling to the base terminal is the
most important leakage path. In practical applications, it is
common to provide a passive smoothing filter stage at the se-
ries-pass element input to help remove high-frequency har-
monics. The output of the regulator is provided with capaci- iI l0
Imperfect
tive decoupling to prevent loop problems such as those VI V0 To
dc
load
described in the Introduction. With these additions, a series- supply
pass smoother can reduce ripple to just a few millivolts even
with several volts of input ripple. That is, ripple reductions
on the order of 60 dB or more can be achieved. Discussions of
(b)
integrated circuits that implement series-pass circuits can be
found in Refs. 8 and 9. Figure 22. Shunt smoothing alternatives.
412 SMOOTHING CIRCUITS

function of the selected reference value, and it is independent


of the input. Large voltage ratios are troublesome for the lin-
Imperfect Power High Active Low
ear smoothers. For example, if either type is used for 48 V to
dc supply conversion ripple smoothing ripple 5 V conversion, the best possible efficiency is only about 10%
port circuit port even if ripple is not an issue.
Both series and shunt smoothers benefit if the input source
ripple is relatively low, provided that this is used to advan-
tage to keep the voltage ratio low as well. For example, a
series smoother with an input voltage of 7 V ⫾ 0.1 V and an
ac current sensors output voltage of 5 V can produce an output with less than 1
mV of ripple with efficiency of about 70% if the series-pass
element can work with bias levels down to 1.9 V. A shunt
smoother can function with even lower bias. With a fixed load
level of 100 mA, an input voltage of 5.5 V ⫾ 0.1 V, and an
~ ~
High iI i0 Low output voltage of 5.00 V, a shunt regulator with efficiency up
ripple ic ripple to about 85% can be designed.
port port

Feedforward Feedback path Cancellation Smoothers


path
Cancellation current driver In cancellation smoothing (10,11), methods similar to series
and shunt circuits are used, but handle only the ripple itself.
This avoids the dc dissipation inherent in both of the linear
Figure 23. A general active smoothing system and its generic imple-
mentation.
methods, since the dc input to output voltage ratio becomes
irrelevant. The general principle of cancellation smoothing
can be observed in Fig. 23, which shows a shunt-type current
current and minimum loss), the loss power PL would be IO2 RI.
injection cancellation smoother. In such a system, the input
Since the input current is (VI ⫺ VO)/RI, the efficiency would
ripple current ĩI is sensed, and the controller creates a cancel-
be
ling current iC as close as possible to ⫺ĩI. The practical version
PO VO IO
η= =  V − V  = VO (51)
in Fig. 24 shows an input filter inductor and a transformer-
PO + IO2 RI VI based amplifier coupler. With these additions, the current
VO IO + IO I O
RI
RI amplifier handles none of the dc current or power, and there
is very little dissipation.
Any realistic design must have higher input current. For this Cancellation smoothing can work either on feedforward or
reason, a shunt smoother is always less efficient than a series feedback principles (or with a combination of these). In feed-
smoother for given input and output voltages. It is a very sim- forward cancellation, the input ripple current is sensed, and
ple circuit, however, which explains its wide use, especially at an amplifier with good bandwidth and a gain of exactly ⫺1 is
low power levels. needed. The ability of such a system to produce smooth out-
put depends on the accuracy of the gain. For example, if the
Summary of Linear Smoothing
actual gain is ⫺0.99 instead of ⫺1.00, then the output ripple
Series and shunt smoothers are termed linear active circuits will be 0.01 times that at the input—a reduction of 40 dB. It
because they function as amplifiers. The output is a linear is not trivial to produce precise gain over a wide frequency

Low ripple here


VI iI I0 V0
L
Dc – dc
converter Current
with transformer Load
iC
imperfect C0
input Dc blocking
CC

CF Feedback
path
~ +
Feedforward vL
Current
path driver Gain
~
iI – correction
RF to minimize
ripple
Integration
~
Figure 24. Implementing a feedforward to provide iI
~
from vL
ripple current canceler.
SOCIAL AND ETHICAL ASPECTS OF INFORMATION TECHNOLOGY 413

range, but even 10% gain error can provide a useful 20 dB of 14. L. E. LaWhite and M. F. Schlecht, Active filters for 1 MHz power
current ripple reduction. circuits with strict input/output ripple requirements, IEEE
In feedback cancellation, the operating principle is to mea- Trans. Power Electronics, 2: 282–290, 1987.
sure and amplify the output ripple signal ĩO and use this to
develop a correction current equal to the gain k times the out- PHILIP T. KREIN
put ripple. Feedback cancellation has the advantage of cor- University of Illinois
recting ripple caused by noise at the output as well as ripple DAVID C. HAMILL
derived from the imperfect dc supply. High gain is required. University of Surrey
For example, if k ⫽ 100, the output ripple ideally is a factor
of 100 lower than the input ripple, and the ripple is reduced
by 40 dB. Gain of k ⫽ 1000 reduces current ripple by up to
60 dB, and so on. This is too simplistic, however. The sensing
device for ĩO has an associated time lag. If the gain is too high,
this time lag will lead to instability. Feedback cancellation is
extremely effective in systems with low ripple frequencies (be-
low about 10 kHz), since a brief time lag in the sensor will
not have much effect at low speeds.
Cancellation methods, often combining feedforward and
feedback techniques, have been used in power supplies at 50
Hz and 60 Hz (12,13), spacecraft systems switching at up to
100 kHz (11), and a variety of dc–dc conversion systems
(10,14).

ACKNOWLEDGMENT

P. Krein participated as a Fulbright Scholar, supported


through the joint United States–United Kingdom Fulbright
Commission during a visiting appointment at the University
of Surrey.

BIBLIOGRAPHY

1. A. I. Zverev, Handbook of Filter Synthesis, New York: Wiley, 1967.


2. A. B. Williams, Electronic Filter Design Handbook, New York:
McGraw-Hill, 1981.
3. P. M. Chirlian, Analysis and Design of Integrated Electronic Cir-
cuits, London: Harper and Row, 1982, p. 1011.
4. R. J. Rawlings, Parallel T filter networks, in F. Langford-Smith
(ed.), Radio Designer’s Handbook, 4th ed., London: Iliffe Books,
1967, pp. 1194–1196.
5. Anonymous, High Q notch filter, linear brief 5, in Linear Applica-
tions Databook, Santa Clara: National Semiconductor, 1986, p.
1096.
6. P. T. Krein, Elements of Power Electronics, New York: Oxford
Univ. Press, 1998.
7. A. Vladimirescu, The SPICE Book, New York: Wiley, 1994.
8. R. J. Widlar, A versatile monolithic voltage regulator, National
Semiconductor Application Note AN-1, 1967.
9. R. J. Widlar, IC provides on-card regulation for logic circuits, Na-
tional Semiconductor Application Note AN-42, in Linear Applica-
tions Databook, Santa Clara: National Semiconductor, 1986.
10. P. Midya and P. T. Krein, Feedforward active filter for output
ripple cancellation, Int. J. Electronics, 77: 805–818, 1994.
11. D. C. Hamill, An efficient active ripple filter for use in dc–dc con-
version, IEEE Trans. Aerosp. Electron. Syst., 32: 1077–1084, 1996.
12. L. Gyugyi and E. C. Strycula, Active ac power filters, Proc. IEEE
Industry Applications Soc. Annu. Meeting, 1976, p. 529.
13. F. Kamran and T. G. Habetler, Combined deadbeat control of a
series-parallel converter combination used as a universal power
filter, IEEE Trans. Power Electron., 13: 160–168, 1998.
SUMMING CIRCUITS 633

are always related to physical properties of one or more cir-


cuit elements and, in some cases, to other electrical signals.
The number of analog summing circuits reported in the
literature is extremely large. Therefore, a classification is
mandatory for their discussion. This classification can be
made according to the nature of the signals to be added (cur-
rent or voltage) and also by the nature of the output signal.
Another distinctive property is the way in which the time
evolution of the input signals is taken into account: continu-
ous-time or sampled-data systems. Although in either case
the expected input and output signals are continuous in am-
plitude (i.e., analog), continuous-time signals are defined at
any instant within some time interval, and the corresponding
SUMMING CIRCUITS systems operate continuously in time. On the other hand, the
information carried by discrete-time signals is defined only for
Electronic systems perform operations on information signals discrete-time instants, and the corresponding sampled-data
represented by electrical variables. Among these operations, systems operate under the control of one or more periodic
one of the most simple, and therefore most common and clock signals.
widely used, is the summation or addition of signals, with a An alternative form of classification refers to the circuit
large range of variations. technique employed to find the summing circuit, in particular
Signal addition is a fundamental operation upon which to the type of elements required for its implementation: resis-
many other simple and complex operators are based. For ex- tors, capacitors, analog switches, operational amplifiers, cur-
ample, digital processing circuits perform most of their opera- rent conveyors, transistors, operational transconductance am-
tions from some combination of addition and shifting. plifiers, and the like.
Signal-processing circuits can be classified into two large
groups, according to the way in which they perform their pro-
Analog Summation Fundamentals
cessing function: analog and digital processing systems. Ana-
log processing systems operate on signals defined over a con- Analog summing circuits are essentially based on Kirchhoff
tinuous range or interval. These signals are generally Laws. To be precise, most analog summing circuits rely on
associated with the continuous value of some electrical mag- Kirchhoff Current Law (KCL), which can be formulated as
nitude of the circuit, like a voltage, current, charge, or mag- follows: The algebraic sum of currents flowing into any net-
netic flux defined in connection with some element or electri- work node is zero. According to this law, the summation of
cal nodes in the network. Analog summing operations emerge any number of current signals is straightforward. It can be
from the basic laws of electromagnetism, Maxwell equations, obtained by simply connecting the circuit branches carrying
and in particular their derived result in circuit theory (Kirch- the currents to be added to a common node and allowing an
hoff ’s Laws). On the other hand, digital processing systems additional branch for the sum of the currents to flow out of
operate over abstract number representations, generally codi- the node. Obviously, the current branches must have one of
fied as a sequence of digits, without a direct connection to a the nodes available to be connected to the summing node.
physical magnitude in the network. Possible values of each The Kirchhoff Voltage Law introduces a similar tool for
digit are discrete (two in the typical binary case), and each voltage signals. It can be formulated as follows: The algebraic
value is associated to some range of an electrical variable. In sum of the potential drops around any loop is zero. According
these cases, summation follows algorithmic rules not related to this law, the summation of voltage signals is also straight-
to circuit theory. forward and can be obtained from a series connection of the
pair of terminals between which the incoming voltage signals
are defined. Because the incoming voltage sources are con-
ANALOG ADDERS nected in series, they must be ‘‘floating,’’ that is, the voltage
drops must be insensitive to a shift of their terminal voltages
Introduction with respect to an arbitrary reference level.
An electronic analog adder operates over two or more electri- Although summing circuits based on either of the two ap-
cal input signals (x1, x2, . . ., xN), providing an electrical out- proaches are possible, voltage signals found in most electronic
put signal xs, which is the sum of the former circuits are defined as the potential at a particular node mea-
sured from a common ground reference: therefore, floating
xS = x1 + x2 + · · · + xN (1) voltage signals are not usual. For this reason, most analog
summing circuits rely on KCL, as stated previously.
The electrical signals involved may be of the same or different Still, KCL-based summing circuits can operate on voltage
nature (voltage, current, charge, flux). Often, input signals signals, as long as the incoming voltages are transformed into
are all of the same type. If signals of different nature are com- currents, added at a common node, and the resulting current
bined, appropriate constants must be introduced to maintain transformed again into a voltage. This is the most common
a proper dimensionality (1). Such signal multiplication by a operation principle of summing circuits, found in traditional
constant or scaling, is often found as a constitutive operator and well-known structures.
of analog summing circuits, as shall be seen, even when all Because summation is linear by definition, voltage-to-cur-
input signals are of the same nature. The involved constants rent and current-to-voltage transformations should be linear.

J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
634 SUMMING CIRCUITS

In its simplest form, these transformations can be obtained I1


from linear two-terminal elements, whose constitutive equa- V1 Z1
tions are defined as I2 IS
V2 Z2 Vo
V =Z·I or I =Y ·V (2) .
. . Ns
. .
. . ZF
. .
. .
where Z and Y are the impedance and admittance of the two- . IN
.
terminals element, respectively. It is obvious that Z ⫽ 1/Y. VN ZN
Figure 1 shows a conceptual example of voltage-signals
summation based on KCL. Simple analysis results in the fol- Figure 2. Voltage-signals summation without active element. Scal-
lowing expression for the output voltage: ing factors become interdependent.


N
Z N
Yi
Vo = F
Vi = Vi (3)
i=1
Zi Y
i=1 F
Ii. This will be illustrated in connection with Fig. 2, a simpli-
fied version of Fig. 1 in which the active element has been
eliminated. Simple analysis results in the following expres-
Elements Z1 to ZN perform a linear transformation of the in- sion for the new output voltage:
put voltages into currents. These currents are added at node
Ns according to KCL. The resulting current Is is reproduced 
N
by the current-controlled current-source (CCCS) and linearly YiVi
transformed into the output voltage Vo with the help of ele- Vo =
i=1
(6)
ment ZF. Note that the output voltage represents a weighted 
N

summation of the input voltage signals, all of them defined Yi + YF


i=1
with respect to a common voltage reference.
The intermediate transformation into currents results in
which is still a weighted summation of the input voltages.
different scaling factors or weights Wi for each input signal
Now, however, the scaling factors associated with the differ-
ent input signals cannot be controlled independently. Fur-
Yi Z thermore, in the simplest case in which all elements are resis-
Wi = = F (4)
YF Zi tors, the weighting factors will all be smaller than one. In
addition, the effect of the external load on the output voltage
must be carefully considered. Because of these and other re-
allowing the output voltage to be expressed as
lated reasons, most analog summing circuits employ some
type of active element.

N
Vo = WiVi (5)
i=1
Analog Summing Circuits with Operational Amplifiers
A simple and well-known alternative for a practical imple-
The impedance ZF of the output element provides a ‘‘gain’’ mentation of Fig. 1 uses operational amplifiers as active de-
control for the output signal. Scaling weights and global gain vices and linear resistors as two-terminal elements. Other
control introduce a large flexibility in these summing opera- simple two-terminal elements can also be employed (e.g., ca-
tors. Some of these capabilities rely on an active element (in pacitors), although special considerations are required. In
this example a CCCS) to implement a virtual ground at node what follows, we assume the use of linear resistors R ⫽ 1/G
Ns, which in turn allows the transformation of current Is into for simplicity.
the output voltage without altering the individual currents The resulting circuit is shown in Fig. 3. In this circuit, cur-
rent Is flows from the virtual ground node toward the output
terminal, resulting in a sign inversion in the summing opera-
tion. This summing circuit, in which all weights are negative,
is commonly referred to as an inverting configuration.
I1
Positive weights can be achieved by several means, the
V1 Z1 simplest of them being the use of an additional inverting con-
I2 IS
figuration with a single input (i.e., an inverting amplifier), as
V2 Z2 shown in Fig. 4.
. Vo
. . Ns Another alternative results from the combination of the
. .
. . circuit in Fig. 2 with a noninverting amplifier. This solves the
. . ZF
. . restriction on the weights to values smaller than unity and
. IN IS
.
provides the necessary driving capability for the output volt-
VN ZN
age. The resulting circuit, commonly known as a noninverting
configuration, is shown in Fig. 5. Note that the grounded in-
Figure 1. Conceptual example of voltage-signals summation based put element is used as an additional input.
on KCL. This is the underlying fundamental of most analog sum- The circuits in Figs. 3–Fig. 5 are restricted to ‘‘same-sign’’
ming circuits. weights. When a summing circuit with both positive and neg-
SUMMING CIRCUITS 635

I1
V1 Z1 ZF
I2 IS
V2 Z2 –
.
. . Ns Vo
. .
. .
. . +
. . N
RF
N
Gi
N
. IN
. Vo = – Σ Vi = – Σ Vi = – Σ Wi Vi
i = 1 Ri i = 1 GF i= 1 Figure 3. Voltage-summing circuit using oper-
VN ZN
ational amplifiers (inverting configuration).

I1
V1 Z1 N
ZF
I2 IS Vo = Σ Wi Vi
Z i= 1
V2 Z2 –
.
. . Ns Z
. . –
. .
. . +
. . Vo
. IN Gi Z
. Wi = = F
VN ZN GF Zi

Figure 4. Positive-weight summing circuit using two inverting configurations.

N
Σ
Gi Vi
Z– ZF G– i = 1
Vo = 1 +
I1 GF N
V1 Z1 – Σ Gi
i=1
I2 Vo
V2 Z2 +
.
. .
. .
. .
. . G– Gi
. . Wi = 1 +
. IN
. GF N
VN ZN Σ Gi Figure 5. Positive-weight summing cir-
i =1 cuit using a noninverting amplifier.

V1– Z1– Z 0–

V2– Z2– M
. GF + Σ Gj–
. .
. . N G+ M G–
. . j= 0
Σ Vi+ – Σ
. i j –
. . Vo = V
. . N GF GF j
. + i =1 j= 1
VM– ZM

ZF
Σ Gi
i= 0

V1+ Z1+ –
Vo
V2+ Z2+ +
.
. .
. .
. .
. .
. .
.
.
+
VN+ ZN Z 0+

Figure 6. Generalized summing circuit based on an operational amplifier.


636 SUMMING CIRCUITS

ative weights is required, some of the input signals can be circuits are in fact direct implementations of Fig. 1. As in the
inverted at the expense of an additional active element per previous case, different configurations with positive and nega-
inverted input. Another alternative is the use of the so-called tive weights can be obtained.
generalized adder, obtained from a combination of the in- Figure 7 shows a noninverting [Fig. 7(a)] and an inverting
verting and noninverting configurations in Figs. 3 and 5. The [Fig. 7(b)] configuration. Both of them are possible with either
resulting circuit and its transfer function are shown in Fig. 6. type I or type II CCs. The two configurations differ only in
As with Fig. 3, negative weights are given by the ratio of the ‘‘sign’’ of the CCs: CC⫹ for the noninverting configuration
the input Gj⫺ to the feedback GF conductances and are inde- and CC⫺ for the inverting counterpart.
pendent of each other. On the other hand, positive weights If either CC⫹ or CC⫺ are not available, the necessary sign
depend on all input conductances. In order to eliminate this inversion can be achieved at the expense of an additional CC
drawback, two additional elements Z0⫹ and Z0⫺ are introduced of either sign, as shown in Fig. 8. Note that the sign-inverter
to allow for the possibility of making in Fig. 8(a) operates on a voltage signal. Its input impedance
is high; therefore, it may be connected to any circuit node

M
GF + G−j without affecting its behavior. On the other hand, inverting
j=0 stages in Fig. 8(b, c) operate on currents and thus should be
=1 (7) inserted in series at the output of the CC in Fig. 7, whose

N
G+
i
loading element ZF must be eliminated.
i=0 The combination of the circuits in Figs. 7 and 8 results in
either inverting or noninverting summing circuits realizable
which allows the transfer function of the generalized adder to with any type of CC. If positive and negative weights are re-
be expressed as quired on the same summing device, we can use inverters at
specific inputs, or a generalized adder architecture based on

N 
M
Vo = Wi+Vi − W j− V j− (8) CCs. Figure 9(a) shows an implementation based on CCI of
i=1 j=1 either sign. Indeed, the output of the CCI is not used. Note
that its transfer function would be identical to Eq. (8) if
with positive and negative weights having similar expressions

N 
M
G+ G−j G+
i − G−j = GF (14)
Wi+ = i and W j− = (9) i=0 j=0
GF GF

Note that Eq. (7) can also be written as where GF is an arbitrary normalization conductance, not asso-
ciated to any element, that plays the role of GF in Eq. (9).

M
G− N
G+ Elements Z0⫹ and Z0⫺ in Fig. 9(a) serve the purpose of achieving
1+ W j− + 0
= Wi+ + 0 (10) Eq. (14). As with opamp-based adders, only one of these ele-
j=1
GF i=1
GF
ments is required.
The design equations required to obtain the different Gi
Therefore, if
values are identical to those obtained for the generalized

M 
N adder in Fig. 6.
1+ W j− < Wi+ (11) Figure 9(b) shows a generalized adder based on a CCII and
j=1 i=1 its transfer function. The positive signed expression is ob-
tained if a CCII⫹ is employed, whereas the negative sign cor-
we can select G0⫹ ⫽ 0 and responds to the use of a CCII⫺. In either case, the transfer
  function can again be expressed in the form of Eq. (8) if Z0⫹

N 
M
G− Wi+ − W j− − 1 and Z0⫺ are chosen to verify
0 = GF (12)
i=1 j=1

N 
M

On the other hand, if Eq. (11) is not true, we can select G0⫺ ⫽ G+
i = G−j (15)
i=0 j=0
0 and
 

M 
N As in the previous case, only one of both impedances are
G+
0 = GF 1 + W j− − Wi+ (13) needed. If
j=1 i=1


M 
N
Therefore, only one element among Z0⫹ and Z0⫺ is actually re- W j− < Wi+ (16)
quired. j=1 i=1

Summing Circuits Using Current Conveyors


we can select G0⫹ ⫽ 0 and
The use of current conveyors (see CURRENT CONVEYORS) as the
 
required active element in Fig. 1 results in a new family of 
N 
M
summing circuits. Indeed, because current conveyors (CC) G−
0 = GF Wi+ − W j− (17)
perform as current-controlled current-sources, the resulting i=1 j=1
SUMMING CIRCUITS 637

I1 I1
V1 Z1 V1 Z1
I2 IS I2 IS
+
V2 Z2 x CCI V2 Z2 x CCI–
. or z Vo . or z Vo
. . Ns y . . Ns y
. . CCII+ . . CCII–
. . . .
. . . . IS ZF
.
. IN . IS ZF .
. IN .
. .
VN ZN VN ZN

N G N N G N
Vo = Σ i
GF
Vi = Σ Wi Vi Vo = – Σ i
GF
Vi = – Σ Wi Vi
i=1 i=1 i=1 i=1
(a) (b)

Figure 7. Summing circuits using a single current conveyor: (a) noninverting configuration and
(b) inverting configuration.

Otherwise, we can select G0⫺ ⫽ 0 and ential input of the OTAs allow the realization of either-sign
  weights by simply swapping the input nodes.
M 
N
Figure 11 shows a generalized adder structure, whose
G+
0 = GF W j− − Wi+ (18) transfer function is given by
j=1 i=1


N 
M
An important remark concerning the presented summing cir- Vo = Wi+Vi − W j− V j− (19)
cuits with CCs is that, because the output impedance of the i=1 j=1
current conveyor is high, any current drain from the output
node Vo would result in deviations from the expected behav- where the weights are given by transconductances ratio
ior. If a low impedance load is to be driven by Vo, a buffer will
be required. g+ g−
Wi+ = W j− =
mi mj
and (20)
gmF gmF
Summing Circuits Using Operational
Transconductance Amplifiers which can be made highly insensitive to variations in the IC
fabrication process. As with CC-based realizations, an output
The use of operational transconductance amplifiers (OTA) as
buffer will be required if low impedances are to be driven.
active elements constitutes by itself a general technique for
the realization of analog circuits, with special relevance in
Discrete-Time Summing Circuits
integrated circuit (IC) realizations. Summing devices are eas-
Using Switched-Capacitors Techniques
ily realized with this circuit technique.
Figure 10(a) shows the symbol and transfer function of an Switched-capacitor (SC) techniques substitute continuous-
OTA. Its differential input provides a large flexibility in the time current flow by periodic charge-package transferences.
realization of most operators, including positive and negative In their simplest and most common form, SC circuits are con-
resistors, as shown in Figs. 10(b, c). OTAs by themselves pro- trolled by a pair of nonoverlapped clock signals defining two
vide a direct transformation of voltages into currents, alternating configurations of the circuit. These two ‘‘phases’’
whereas the ‘‘resistor’’ configurations in Fig. 10 allow the in- are often referred to as even and odd.
verse transformation. Therefore, we have all elements re- The operation of an SC summing circuit can be described
quired to realize a summing structure. In addition, the differ- in general as follows: given a set of voltage signals to be

IS IS
V01 y V0 = – V01 x Vo = – Is ZF y CCI+
CCII+ z CCII – z or z
x y x CCI–
IS Vo = – Is ZF
Z ZF
Z ZF

(a) (b) (c)



Figure 8. Sign inverters using current conveyors: (a) inverter using a CCII , (b) inverter using
a CCII⫺, and (c) inverter using either a positive or a negative CCI.
638 SUMMING CIRCUITS

V1– Z1– Z 0– V1– Z1– Z 0–

– –
V2 Z2 V2– Z2–
. .
. . . .
. . . .
. . . .
. . . .
. . . .
. .
. .
– –
VM ZM VM– ZM–
y y
CCI z V0 CCII z Vo
x x
+ +
V1 Z1 V1+ Z1+
ZF

+ +
V2 Z2 V2+ Z2+
. .
. . . .
. . . .
. . . .
. . . .
. . . .
. .
. .
+ + +
VN ZN Z0 VN+ ZN+ Z +0

N
N M
Σ Gi+ Vi+ – Σ Gj– Vj– N G+
Σ Gi+ M G–
+ i= 0
Σ Σ GF Vj–
i j
i=1 j=1 Vo = – V+–
Vo = GF i M
N M i= 1 j= 1
Σ Gj

Σ
Gi+ – Σ Gj–
j= 0
i=0 j= 0
(a) (b)

Figure 9. Generalized adders using current conveyors: (a) using CCI and (b) using CCII.

added, each of them is periodically sampled, during one of 


N
 
the clock phases, in a linear capacitor. The resulting charge Qoe = Qe − Qo = Ci Vi2e (n) + Vi3o (n − 12 ) − Vi1o (n − 12 )
packages are added in a common node and transformed into a i=1
(21)
voltage during the same or the next clock phase using another
capacitor. Note that the underlying operation principle is
Note that signal information is conveyed in the difference of
identical to that of the previous continuous-time circuits, ex-
capacitor charges at the end of two consecutive odd and even
cept that current flows are replaced by periodic discrete-time
charge transferences, which indeed may be considered as a
current flow from a time-averaged perspective.
Figure 12(a) shows a set of SC input branches. In the odd- + –
V1 + – V1
to-even phase transition, a charge package ⌬Qoe, equal to the +
gm1 –
gm1
sum of the charge variations in each capacitor, flows to a vir- –
tual ground node. The value of ⌬Qoe is easily obtained
applying the charge-conservation principle, yielding
+ Vo –
V2 + – V2
+
gm2 –
gm2
I I –
. .
. .. .. .
. .
. .. .. .
I . .
– . . . .
. – .
gm V gm V gm . – .
V + gmF –
– – – VN + – VM
– – + –
gmN gmM
1 1 –
I = gm V V= V= –
gm I gm I
(a) (b) (c)
N g+ M g–
Vo = Σ mi Vi+ – Σ mj Vj
Figure 10. (a) Symbol and transfer function of an operational trans- –

conductance amplifier. (b) Implementation of a grounded resistor us- gmF gmF


i= 1 j= 1
ing an OTA with negative feedback. (c) Implementation of a grounded
negative resistor using an OTA with positive feedback. Figure 11. Generalized adder circuit based on OTAs.
SUMMING CIRCUITS 639

o
V11 o o o V1o o
C1 o
V13 C1

e
V12 e e e V1e e

o V2o o ∆Qoe
V21 o o C2
C2 e
V23
∆Qoe
e
V22 V2e e
.. e e ..
.. .. .. ..
.. .. .. ..
.. . .. .
o
VN1 o o VNo o
CN o
VN3 CN
e
VN2 e e VNe e

(a) (b)

Figure 12. (a) Generic input branch of a SC summing circuit. (b) Input branch for SC structures
insensitive to opamp offset-voltage.

phases, represented by time-instants (n ⫺ )T and nT, respec- discharge the feedback capacitor and to provide a current
tively, where T is the clock-signal period. The discrete-time path to the virtual ground node. Therefore, only one of the
nature of the processing is therefore evident. charge packages originated by the input branches, ⌬Qoe in the
Each term in Eq. (21) is given by voltage differences at the example, is actually transformed into a voltage, and the out-
two plates of the corresponding capacitor. Although the vir- put signal is valid only during one of the clock phases. Indeed,
tual ground node is nominally equivalent to ground, the in- during the other clock phase, the output voltage is equal to
put-referred offset voltage of the required active element in- the opamp offset voltage, resulting in large slewing require-
troduces a small error. This error will be relevant if the ments from the opamp. Figures 13(b, c) present alternative
required accuracy is in the range of 7–8 equivalent bits or charge-sensing stages with lower slewing requirements at the
above. Offset-voltage effects can be avoided if one of the plates expense of an increased complexity. Other relevant differ-
of the capacitor is permanently connected to the virtual ences are related to their particular sensitivity to the finite
ground node, as shown in Fig. 12(b), which results in the fol- gain and bandwidth of the opamps (1).
lowing expression for ⌬Qoe, Combinations of the input branches in Fig. 12 and the

N sensing stages in Fig. 13 result in summing structures insen-
Qoe = Qe − Qo = Ci [Vie (n) − Vio (n − 12 )] (22) sitive to parasitic capacitances. If Fig. 12(b) is employed, the
i=1 result is also insensitive to the opamp offset, but only during
one of the clock phases.
Results similar to Eqs. (21) and (22) can be obtained for the
In every case, the output voltage can be obtained from
charge package ⌬Qoe originated just after the even-to-odd
transition.
Vie = −Qoe /Co (23)
The transformation of these charge signals into a voltage
requires a linear capacitor and an active element to imple-
ment the required virtual ground. An operational amplifier Note that a sign inversion takes place in the charge-sensing
with capacitive feedback is the most common choice, as shown stage. The underlying voltage-charge-voltage transformations
in Fig. 13(a). Note that one of the clock phases is used to using capacitors result in the following expression for the ab-

o o oo
∆Qoe Co ∆Qoe Co ∆Qoe Co
e e Co′′ e
– – –
o o o
+ Vo + Vo + Vo

o
e e
Co′ Co′

(a) (b) (c)

Figure 13. Charge-sensing stages insensitive to opamp offset-voltage and stray capacitances:
(a) Gregorian stage (2), (b) Maloberti stage (3), and (c) Nagaraj stage (4).
640 SUMMING CIRCUITS

solute value of the weighting coefficients: weight will be equal to 兹2 times the tolerance of the resis-
tors. This is a reasonable assumption when discrete compo-
Ci nents are being employed. However, when integrated circuits
Wi = (24)
Co are being formed, same-type components are fabricated si-
multaneously under extremely similar conditions; therefore,
which can be made highly independent of IC fabrication tech- appreciable correlation exists. In this case, the two error
nology variations. As seen from Eqs. (21) and (22), contribu- terms tend to cancel each other, and it is not rare to obtain
tions of either sign are possible, depending on the particular accuracies in the order of 0.1% with absolute value tolerances
switching configuration of the input branch. Note also that a of about 20%.
delay of T/2 exists for some of the input signals. The delay is
related to the sign of the weighting factor, in particular if the Active Element Offset Voltage. Mismatch among ideally
offset-insensitive branch is used. identical devices within active devices (opamps, current con-
The operational amplifiers in Figs. 12 and 13 can be re- veyors, OTAs) produce deviations in their performance as
placed by operational transconductance amplifiers whenever well. One of the most representative is the so-called input-
the SC circuit drives a capacitive load. This is in fact a com- referred offset voltage. Its effect can be analyzed using a ran-
mon practice in IC realizations, in which OTAs are usually dom-value dc (direct current) voltage source at one of the in-
advantageous in terms of area and power as compared to tra- put terminals. In analog summing structures, it produces at
ditional, low output-impedance opamps. the output an additional term that is independent of the input
signals (output offset). As an example, the analysis of the gen-
Advanced Considerations in the Design eral adder in Fig. 6 results in the following expression for its
of Analog Summing Circuits output voltage:
The discussion of the preceding circuits has been made, as  
usual, on the basis of idealized descriptions of circuit ele- 
N 
M 
M
Vo = Wi+Vi − W j−V j− − 1+ W j− Eos (26)
ments: models. Practice, however, shows that real circuits op- i=1 j=1 j=1
eration is affected by several ‘‘second-order’’ effects. These in-
clude qualitative deviations of components behavior from where Eos is the opamp offset voltage.
their ideal model, systematic and random variations of elec-
trical parameters from their nominal values, additional para- Active Elements Dynamic Response. The dynamic response
sitic elements, and external interferences. Some of these error of active elements is reflected in the dynamic behavior of ana-
sources and their effects on analog summing circuits are de- log summing circuits. The corresponding analysis requires a
scribed next. dynamic model of the specific active device. We will consider
again the opamp-based generalized adder in Fig. 6 as an ex-
Element Tolerances. Electrical parameters of real circuit ample. Using a dominant-pole linear model for the opamp, we
components differ from their nominal values as a result of obtain the following expression:
unavoidable manufacturing process inaccuracies. Such devia-
 
tions are generally unpredictable and, therefore, commonly 1 N M
+ − −
treated as statistical variables. In general, manufacturers Vo (s) =   Wi Vi (s) − W j V j (s) (27)
s
provide a tolerance range for representative parameters of 1+ i=1 j=1
electronic components. Typical discrete-component tolerances sp
are in the range of 1 to 20%.
Inaccuracies in component values result in deviations in where sp is the dominant pole of the summing circuit given by
circuit performances, which may be critical or not depending
−GB
on specific sensitivities and acceptability margins. sp =   (28)
Concerning analog summing circuits, element tolerances 
M

1+ Wj
result in deviations from the desired weighting factors. In j=0
particular, we have seen that weighting factors are generally
given by parameter ratios of same-type elements. Using the and GB is the gain-bandwidth product of the opamp (see OP-
case of a resistor ratio Ro /Ri as an example, the actual weight ERATIONAL AMPLIFIERS).
value can be expressed as The application of a step voltage at one of the input signals
  will thus result in an exponential response characterized by
Ro
1+   a time constant
Ro + Ro Ro 
 Ro 
∼ Ro Ro Ri
Wi = = = 1 + −  
Ri + Ri Ri  Ri  Ri Ro Ri 
1+ −1 1 M
− 1 N
Ri τ= = 1+ Wj = Wi+ (29)
(25) sp GB j=0
GB i=0

Note that relative weight deviations are given by the differ- where we have used the design Eq. (7). Note that system re-
ence of the relative error of the two resistors. Therefore, ex- sponse-time increases with the sum of either positive or nega-
treme deviations may be as large as twice the tolerance of the tive weights. This is a general statement valid for analog
components (assumed equal for simplicity), but they can also summing circuits based on other active devices.
be extremely low. Assuming uncorrelated errors in the two An important nonlinear limitation of operational amplifi-
resistors, it is easy to show that the standard deviation of the ers, known as slew rate, establishes an upper bound for the
SUMMING CIRCUITS 641

slope of the output voltage waveform. In cases where the lin- vices. Input (and output) impedance of active devices results
ear model predicts faster variations, the opamp will respond in uniform weight deviations. Opamp input bias-currents pro-
with a constant slope independent of the input signal. duce output offset, and finite power-supply rejection-ratio
(PSRR) and common-mode rejection-ratio (CMRR) result in
Finite Opamp Open-Loop Gain. Opamps finite low-fre- spurious components at the output signal due to power-sup-
quency gain A0 produces a uniform attenuation of summing- ply and common-mode signals coupling. High-order parasitic
circuit weight values. As an example, analysis of the general- dynamic effects of active devices could produce stability prob-
ized adder in Fig. 6 yields the following result: lems. Finally, electronic noise from active devices and resis-
tors may be relevant in certain applications cases.

N 
M
Wi+Vi − W j− V j−
i=1 j=1 DIGITAL ADDERS
Vo =   (30)
1 
M
1+ 1+ W j− Introduction
A0 j=0
Previous sections focused on analog summing circuits. Digital
adders operate with an essentially different codification of sig-
Finite Output Impedance of CCs and OTAs. When current nals and are, therefore, different from their analog counter-
conveyors or operational transconductance amplifiers are parts in practically every aspect.
used, their finite output impedance produces a result similar Digital addition follows strict algorithmic rules on abstract
to that of the finite open loop gain of operational amplifiers. numbers represented by a sequence of digits. In many senses,
An analysis of the generalized OTA-based adder in Fig. 11 the process is identical to ‘‘human’’ summation of magnitudes
results in represented by decimal (base 10) numbers. One major differ-
ence is the numerical base, which in the vast majority of digi-

N 
M
tal systems is base 2. Numbers are therefore represented by
Wi+Vi − W j− V j−
i=1 j=1
strings of bits (i.e., digits), whose possible values are either 0
Vo =   (31) or 1. The most common form of representation of unsigned

N 
M
1+α 1+ Wi+ + W j− numbers is binary magnitudes, which follows the same con-
i=1 j=1 ceptual rules as decimal representation. An additional differ-
ence is related to the representation of signed numbers. For
where 움 is the ratio of OTAs output-conductance to transcon- the purpose of arithmetic operations, the so-called two’s com-
ductance, which is assumed equal for every OTA for simplic- plement notation is the most widely used. Finally, an impor-
ity. This is indeed a correct assumption on most practical tant constraint on digital summing circuits is their fixed
cases. Similarly, the analysis of the generalized CC-based word-length, imposed by hardware realizations, which may
adder in Fig. 9(b) yields result in truncation or round-off errors depending on the rep-
resentation employed: fixed-point or floating-point (see DIGI-

N 
M TAL ARITHMETIC). In what follows, fixed-point arithmetic is as-
Wi+Vi+ − W j−V j− sumed.
i=1 j=1
Vo = (32)
1 + Gp /GF Binary-Magnitudes Arithmetic
The addition of two (positive) binary magnitudes A and B can
where Gp is the output conductance of the CCs.
be performed following the same conceptual rules employed
in ‘‘hand’’ addition of decimal numbers. Fig. 14(a) illustrates
Parasitic Devices. Any real circuit includes, in addition to the procedure, beginning from the right-hand side column,
the devices employed to implement the desired function, which represents the least significative bit (LSB) and proceed-
many other unwanted "devices" such as wiring and contact ing toward the most significative bit (MSB) on the left-hand
resistances, self and mutual inductances among wires, capaci- side.
tive couplings, and transmission-lines. These parasitic ele- The sum of any pair of bits ai and bi, each with values 0 or
ments may become relevant in certain circumstances, like 1, ranges from 0 to 2 and must be represented by a two bits
high-frequency operation; in integrated circuit design; and, in number commonly denoted as (Ci⫹1 Si), as shown in Fig. 14(b).
general, whenever their electrical parameters are in the The sum-bit Si is already part of the result S ⫽ A ⫹ B,
range of nominal devices. In these cases, special circuit tech- whereas the carry-bit Ci⫹1 must be added to the next column.
niques and careful routing should be considered. Therefore, three bits must be added at each column i: ai, bi
and the carry-bit from the previous column Ci. The sum of
Feedthrough. In switched-capacitor circuits, the MOS tran- three bits ranges from 0 to 3 and can still be represented with
sistors employed as analog switches produce charge-injection a two-bits number (Ci⫹1 Si), as shown in Fig. 14(c). Thus, the
effects on the capacitors employed for charge storage. These process can start from the LSB column, for which C0 ⫽ 0 is
effects can be attenuated using small switches and large ca- assumed, and proceed toward the MSB in a repetitive man-
pacitors. ner. This procedure is the underlying fundamental of binary
digital adders.
Other Error Sources. Nonlinearity of passive and active ele-
ments produce distortion on the output signal. A clear exam- Basic Circuit Blocks. A digital circuit block realizing the
ple is the output voltage- and current-saturation of active de- truth table in Fig. 14(b) is commonly known as a half-adder
642 SUMMING CIRCUITS

ai ai
0 0 bi 0 0 01 11 10
0 1 1 Carry bi Ci
1 0 0 1 A 0 00 01 0 00 01 10 01
0 0 1 1 B
Figure 14. Examples of (a) binary addi- 1 01 10 1 01 10 11 10
tion process, (b) arithmetic addition of two 1 1 0 0 Sum
(Ci + 1 Si)2 = ai + bi (Ci + 1 Si)2 = ai + bi + Ci
bits, and (c) arithmetic addition of three
bits. (a) (b) (c)

(HA), whereas that defined by Fig. 14(c) is referred to as a Serial and Parallel Adders. The addition of two n-bit binary
full-adder (FA). Fig. 15(a, b) contain representations for these numbers can be carried out serially or in parallel. A serial
two basic building blocks of digital adders. Their implementa- adder, shown in Fig. 18, contains one single FA and a flip-
tion can be carried out following any general procedure for flop and is controlled by a clock signal. The two words A and
Boolean functions realization. B are sequentially added on a bit-to-bit basis, beginning with
The functionality of a HA can be expressed as two Boolean the LSB, for which the flip-flop must be initially set to zero.
functions: Consecutive clock cycles produce consecutive bits of the re-
sulting sum S, as well as a carry-bit, which is stored in the
SHA = ai · b i + ai · b i = ai ⊕ b i HA
Ci+1 = ai · b i (33) flip-flop and employed as input to the FA in the next clock
i
cycle. The summation of the two words requires n clock cycles.
Therefore, serial adders constitute a slow solution in general.
from which an implementation using two digital gates, an
On the other hand, they are highly efficient in terms of
XOR and an AND, is straightforward, as shown in Fig. 16.
hardware.
Because the input-to-output signal path goes through just one
Parallel adders can be regarded as the opposite alterna-
gate, the propagation delay of this realization corresponds to
tive, realizing fast additions at the expense of an increased
one ‘‘gate level.’’
hardware cost. Figure 19 shows an intuitive realization of a
An FA can be implemented using two HAs and one OR
parallel adder, commonly known as serial-carry and also as
gate, as shown in Fig. 17(a). This realization requires a re-
ripple adder. Its hardware cost is of n full adders. The carry-
duced number of gates but, on the other hand, exhibits a de-
bits are transmitted in a chain from the FA corresponding to
lay of three gate levels. Other implementations can be built
the LSB toward the MSB. This signal path determines the
on the basis of the Boolean expressions for the two outputs of
response time of the parallel adder, which can be described
a FA,
as

i = ai · bi · Ci + ai · bi · Ci + ai · bi · Ci + ai · bi · Ci
SFA tsp = ntFA (36)
(34)
= ai ⊕ bi ⊕ Ci
where tFA is the response time of one FA. The response times
FA
Ci+1 = ai · bi + bi · Ci + ai · Ci (35) of both the serial and the parallel adders are proportional to
the number of bits. However, because the period of the clock
Figure 17(b) shows an implementation using double-rail input signal employed in the serial adder must be at least several
signals and two levels of NAND gates, and Fig. 17(c) shows times larger than tFA, it is clear that parallel adders are
an implementation using single-rail signals using just two- faster. Still, parallel adders may be too slow for certain appli-
input NAND gates, with a propagation delay of six gate lev- cations, especially for long digital words (large n). Certain ad-
els. Many other alternatives exist. vanced architectures overcome these problems at the expense
A careful evaluation of these alternatives in terms of cost of additional hardware (see ‘‘High-Performance Digital
and speed should take into account the diverse complexity Adders’’).
(transistor count) and propagation delay of different gates. In
general, NAND, NOR, and INV gates are faster and simpler, Addition and Substraction of Signed Numbers
whereas AND, OR, and especially XOR and NXOR gates are Addition-Substraction Equivalence. The addition and the
more complex and slower. The requirement of double-rail in- substraction of two signed numbers can both be formulated
puts signals may result in additional cost and delay, de- on the basis of a summing operation, by simply changing the
pending on the specific case. sign of one of the operands when needed. Fig. 20 shows a
conceptual flow diagram of an adder/substracter circuit based

ai
ai Si Si
HA bi FA Ci + 1
bi Ci + 1 Ci + 1 ai
Ci
bi
(a) (b) Si

Figure 15. Basic digital-adder modules representation: (a) half


adder and (b) full adder. Figure 16. Half adder implementation.
SUMMING CIRCUITS 643

ai
Si Si bi
HA HA Si Ci ai
i
Ci+1 Ci+1 ai bi
bi
i
Ci ai
Ci +1 Si Ci +1
ai Ci
bi
(a) bi
Ci
Ci
ai
bi
Ci
(b)
Ci

ai
Si
bi

Ci +1

(c)

Figure 17. Alternative implementations of a full adder: (a) with two HAs and one OR gate, (b)
with double-rail inputs and two levels of NAND gates, (c) with two-input NAND gates and six
levels of delay.

on this approach. Signal a/s controls whether the sign of op- complement representation coincides with the (positive) bi-
erand B is changed or not before the summation is performed. nary magnitude summation of their digital codes. Fig. 21
The specific meaning of a sign-inversion operation depends shows examples covering the four possible cases of operand
on the representation being used for the signed numbers, as signs. The final (MSB) carry-bit Cn is neglected for the pur-
described in Fig. 20. pose of evaluating the result. It may be used, however, to-
In a sign-magnitude (SM) representation, a sign inversion gether with Cn⫺1 to detect overflow. The possibility of using
is achieved by complementing just the sign bit, whereas the simple binary adders, like those described previously, for the
rest of the bits remain unchanged. In one’s complement (C1) summation of signed number has turned two’s complement
arithmetic, a sign inversion is obtained complementing every arithmetic the most widely used in digital operators, and the
bit in the word. Finally, in two’s complement (C2) arithmetic, only one considered in what follows.
a sign inversion requires adding one unit to the word obtained
by complementing every bit. Two’s Complement Adder-Subtracter Circuit. Figure 22(a)
describes a digital adder-subtracter, controlled by signal a/s,
following the diagram illustrated in Fig. 20. It is based on
Signed-Numbers Addition in Two’s Complement Arithmetic. It a parallel binary-magnitude adder, and a parallel transfer/
can be shown that the addition of signed numbers in two’s complement (B/B) block. The realization and functionality of

. . . 0 1 1(0) C . . . 0, 1, 1, (0)
. . .1 0 0 1 A t Ci Si
+ . . . 1, 1, 0, 0
. . .0 0 1 1 B . . . 0, 0, 1, 1 FA
bi
Ci +1 D q
. . .1 1 0 0 S . . . 1, 0, 0, 1 ai
Figure 18. Serial adder and summation
. . . 0, 1, 1 example. t indicates increasing time se-
Ck quence.
644 SUMMING CIRCUITS

an –1 bn –1 Cn –1 a2 b2 C2 a1 b1 C1 a0 b0 C0 = Cin

FA FA FA FA
⋅⋅⋅⋅

Figure 19. Parallel adder with serial carry (ripple


adder). Cout = Cn Sn –1 C3 S2 C2 S1 C1 S0

A, B

a(0) s(1)
(a/s)
SM: yn – 1 = bn – 1; yi = bi, i = 0, 1, ..., n – 2
Y= B Y=–B C1: Y = C1(B); yi = bi, i = 0, 1, ..., n – 1
C2: Y = C2(B) = C1(B) + 1 = B + 1
Figure 20. Flow diagram of a signed-number adder/sub-
F = A +Y
tracter circuit.

A = 0100 B = 0010 A = 0010 B = 1100

Cn Cn – 1 0100 (+ 4) 0010 (+ 2)
0010 (+ 2) 1100 (– 4)
an – 1 an – 2 ... a1 a0
bn – 1 bn – 2 ... b1 b0 0110 (+ 6) 1110 (– 2)

Fn – 1 Fn – 2 ... F1 F0 A = 0100 B = 1110 A = 1100 B = 1110

11 11
F=A+B
0100 (+ 4) 1100 (– 4)
1110 (– 2) 1110 (– 2)

0010 (+ 2) 1010 (– 6)

Figure 21. Four possible cases of arithmetic addition of signed numbers addition in two’s com-
plement representation. The result is correct in every case after neglecting the carry bit.

n a/s

B/B circuit a/s Bn – 1 Bn – 2 B0


A

n n Y ⋅⋅⋅
Yn – 1 Yn – 2 Y0
Co Parallel adder [n] Ci a/s
a/s = 0 Y=B
a/s = 1 Y=B
n (b)

F
(a)

Figure 22. (a) Adder/subtracter circuit for signed numbers in two’s complement notation and
(b) transfer/complement (B/B) circuit.
SUMMING CIRCUITS 645

this last circuit block is described in Fig. 22(b). If a/s ⫽ 0, the group whether an eventual carry input Ci would propagate
B/B circuit simply transfers its input B to its output, and the through the group. If it does, Ci is directly transferred to the
parallel adder, with the initial (LSB) carry-bit Ci set to 0, per- carry output Co. Otherwise, Ci can be ignored, and Co is com-
forms the addition of the two operands A ⫹ B. The result is puted from the group input bits. A similar strategy results in
correct for signed two’s complement numbers and also for bi- the so-called carry-skip adder (6). An alternative architecture,
nary magnitudes. On the other hand, if a/s ⫽ 1, the B/B cir- the linear carry-select adder (5), computes within each group
cuit complements every bit bi of operand B and transmits the two results corresponding to the two possible values of Ci, and
result to the full adder, which now sees a 1 at Ci. The result selects one of them after receiving its actual Ci value. A modi-
is that A is added with B ⫹ 1, that is, ⫺B in two’s complement fication of this last architecture, the square root carry-select
representation; therefore, the full adder produces the differ- adder (5), employs increasing-length groups and results in a
ence A ⫺ B as expected. propagation type proportional to the square root of the num-
ber of bits.
Overflow Problems Carry look-ahead adders (6,7) employ a significative differ-
ent approach. Their architecture allows all carry-bits to be
An n-bits binary magnitude may take values ranging from 0
obtained simultaneously at the expense of a rapidly increas-
to 2n ⫺ 1, and the sum of two such magnitudes from 0 to
ing complexity with the number of bits. In practice, this limits
2n⫹1 ⫺ 2. Similarly, the possible values of an n-bits signed-
the number of bits to about four, forcing the use of combined
number in two’s complement representation range from
approaches for larger word lengths.
⫺2n⫺1 to 2n⫺1 ⫺ 1, and the sum or difference of two such num-
Finally, a pipeline adder architecture (5) results in a high
bers from ⫺2n to 2n ⫺ 2. This means that the n-bits output of
summing throughput, although the propagation time of indi-
the adder-subtracter circuit in Fig. 22 will be unable to show
vidual summations may be larger than with the standard rip-
the correct result in certain cases. This phenomenon is com-
ple adder.
monly known as overflow and is obviously something that
Other alternatives relying on innovative circuit techniques
should be detected. Furthermore, it would be convenient to
(e.g., threshold gates, multivaluated-logic) or technologies
determine the correct result also in these cases.
(e.g., optical processors) do exist, but they will not be treated
When unsigned binary magnitudes are being added, the
here.
MSB carry-bit Cn provides a flag-signal for overflow occur-
rences. It can also be shown that function
BIBLIOGRAPHY
V = Cn ⊕ Cn−1 (37)
1. R. Unbehauen and A. Cichocki, MOS Switched-Capacitor and Con-
which can be obtained at the expense of an additional XOR tinuous-Time Integrated Circuits and Systems, New York: Springer-
gate, constitutes a valid overflow flag for the addition and the Verlag, 1989.
subtraction of signed numbers in two’s complement represen- 2. R. Gregorian and G. C. Teme, Analog MOS Integrated Circuits for
tation. Both signals are active when high. Signal Processing, New York: Wiley-Interscience, 1986.
In the event of overflow, and regardless the operation be-
3. F. Maloberti, Switched-capacitor building blocks for analogue sig-
ing performed, the correct result can be obtained, in its proper nal processing, Electronics Lett., 19: 263–265. 1983.
representation (binary magnitude or two’s complement), from
4. K. Nagaraj et al., Reduction of finite-gain effect in switched-capaci-
the n ⫹ 1 bits number compound by Cn (the new MSB or the tor circuits, Electron. Lett., 21: 644–645, 1985.
new sign bit) and the n-bits output-word of the circuit in
5. Jan M. Rabaey, Digital Integrated Circuit: A Design Perspective,
Fig. 22.
Upper Saddle River, NJ: Prentice-Hall, 1996.
6. Amos R. Omondi, Computer Arithmetic Systems. Algorithms, Archi-
High-Performance Digital Adders
tectures and Implementations, Upper Saddle River, NJ: Prentice-
As in most digital processing circuits, the main concern in the Hall, 1994.
optimization of digital adders is to increase their operation 7. N. Weste and K. Eshraghian, Principles of CMOS VLSI Design. A
speed. Response time reductions can be achieved through im- Systems Perspective, Reading, MA: Addison-Wesley, 1985.
provements in the basic digital adder circuit block (the FA),
through algorithmic or architectural modifications, or a com- RAFAEL DOMÍNGUEZ-CASTRO
bination of both. Parallel ripple adders are often used as a SERVANDO ESPEJO
reference for the evaluation of advanced solutions, which in ANGEL RODRÍGUEZ-VÁZQUEZ
general focus on the elimination or at least the attenuation of CARMEN BAENA
the constraint imposed by the long signal path of carry sig- MANUEL VALENCIA
nals from the least to the most significative bit. University of Seville, IMSE-CNM-
Most modifications to the conventional implementations of CSIC
the FA circuit block involve a reduction of the capacitive load
of the carry signal [e.g., the so-called mirror, dynamic, and
Manchester-adders (5)]. FA blocks with modified I/O signals
are employed in the carry-completion adder architecture (6), SUNPHOTOMETERS. See PHOTOMETERS.
yielding a reduced ‘‘average’’ response time. SUPERCAPACITORS. See CAPACITOR STORAGE.
Most architectural modifications rely on a segmentation of SUPERCONDUCTING ANALOG AND DIGITAL MI-
the bit-chain in smaller groups. One alternative, known as CROWAVE COMPONENTS. See SUPERCONDUCTING
the carry-bypass adder (5), is based on evaluating within each MICROWAVE TECHNOLOGY.
SWITCHED CAPACITOR NETWORKS 165

SWITCHED CAPACITOR NETWORKS

The requirement for fully integrated analog circuits prompted


circuit designers two decades ago to explore alternatives to
conventional discrete component circuits. A sound alternative
was developed, a switched-capacitor (SC). The basic idea was
replacing a resistor by a switched-capacitor CR simulating a
resistor. Thus, this equivalent resistor could be implemented
with a capacitor and two switches operating with a two-phase
clock. This equivalent resistor is equal to 1/f CCR, where f C is
the sampling (clock) frequency. SC circuits consist of
switches, capacitors, and operational amplifiers (op amps).
They are described by difference equations in contrast to dif-
ferential equations for continuous-time circuits. Concurrently

J. Webster (ed.), Wiley Encyclopedia of Electrical and Electronics Engineering. Copyright # 1999 John Wiley & Sons, Inc.
166 SWITCHED CAPACITOR NETWORKS

the mathematical operator to handle sample-data systems, approach. Furthermore, many practical analog/digital
such as switched-capacitor circuits is the z-transform, and the (A/D) converters use SC implementations.
Laplace transform for continuous-time circuits. A host of
practical properties of SC circuits have made them very popu-
FUNDAMENTAL BUILDING BLOCKS
lar in industry:

1. The time constants (RC products) from active-RC cir- The fundamental building blocks in SC circuits are voltage-
cuits become capacitor ratios multiplied by the clock pe- gain amplifiers, sample/holds integrators, and multipliers. A
riod TC, that is, combination of these blocks is interconnected to yield a num-
ber of useful circuits.
 
1 C C
τ= = TC (1a) Gain Amplifiers
fC CR CR
The gain amplifier is a fundamental building block in
where T ⫽ Tc ⫽ 1/f C is the sampling frequency. The switched-capacitor circuits. A voltage amplifier is imple-
accuracy of ␶ is expressed as mented as shown in Fig. 1(a). The switched-capacitor resistor
dτ dTC dC dCR gives a dc path for leakage current but reduces further the
= + − (1b) low-frequency gain. A detailed analysis of this topology shows
τ TC C CR
that the dc output voltage is equal to ⫺Ileak T/CP, with CP the
parasitic capacitor associated with the feedback path. The
Assuming that TC is perfectly accurate gives
leakage current Ileak in switched-capacitor circuits is a result
dτ dC dCR of the diodes associated with the bottom plate of the capaci-
= − (1c) tors and the switches (drain and source junctions). This leak-
τ C CR
age current is about 1 nA/cm2. Using typical analytical meth-
ods for switched-capacitor networks, it can be shown that the
Because the two capacitors C and CR are built close to-
z-domain transfer function of this topology becomes
gether, d␶ / ␶ compatible with conventional CMOS tech-
nologies is in the neighborhood of 0.1%.
V0 (z) ∼ CS 1 − z−1
2. Ordinarily the load of an SC circuit is mainly capaci- H(z) = =−  
Vi (z) CI 1 − 1 − C P z−1
tive. Therefore the required low-impedance output- C I (2)
stage op amp is no longer required. This allows the use C z−1
of a single-stage operational transconductance amplifier =− S
CI z − (1 − CP /CI )
(OTA) which is especially useful in high-speed applica-
tions. Op amp and OTA are not differentiated in the
with z ⫽ ej2앟fT. For low frequencies, z 앒 1, the transfer function
rest of this article.
is very small, and only for higher frequencies does the circuit
3. Reduced silicon area, because the equivalent of large re- behave as a voltage amplifier.
sistors is simulated by small capacitors. Moreover, posi- A practical version is shown in Fig. 1(b). During ⌽2, the op
tive and/or negative equivalent resistors are easily im- amp output voltage is equal to the previous voltage plus the
plemented with SC techniques. op amp offset voltage plus V0 /AV, where AV is the open-loop dc
4. Switched-capacitor circuits are implemented in a digital gain of the op amp. In this clock phase, both capacitors, CI
circuit process technology. Thus, useful mixed-mode sig- and CS, are charged to the voltage at the inverting terminal
nal circuits are economically realized in standard MOS of the op amp. This voltage is approximately equal to the op
technology with available double-poly. amp offset voltage plus V0 /AV. During the next clock phase,
5. The SC design technique has matured. In the audio the sampling capacitor is charged to CS(VI ⫺ V⫺), but because
range, SC design techniques are the dominant design it was precharged to ⫺CSV⫺, the injected charge to CI is equal

φ1 φ2 Cl φ1
Cp
φ2

φ2 CH

Cs Cl
Vi φ1

Vo Vi φ1 Cs
+ –
Vo
φ2 +

Cspike
Figure 1. Voltage gain amplifiers: (a)
with dc feedback; (b) available during both
clock phases. (a) (b)
SWITCHED CAPACITOR NETWORKS 167

simplicity and potential speed. It is often convenient to add


an input buffer stage to the S/H circuit. The acquisition time
depends on the tracking speed and input impedance of the
– input buffer, the on-resistance of the switch, and the value of
Vin φ1 the holding capacitor. The hold settling time is governed by
+ the settling behavior of the buffer. A drawback of this archi-
tecture is the linearity requirements imposed on the buffers
CH as a consequence. This limits the speed. Moreover, the input-
dependent charge injected by the sampling switch onto the
hold capacitor yields an undesirable source of nonlinearity.
(a) This type of S/H architecture achieves a linearity to nearly 8
bits. A full-period S/H signal is obtained by either a cascade
of two S/H circuits of Fig. 2, driven by opposite clock phases,
or by a parallel connection of two simple S/H circuits, output
sampling switches, and a third (output) buffer as illustrated
in Fig. 3. Structures with closed-loop connections are also
used. Figure 4(a) illustrates a popular architecture often en-
countered in pipelined A/D converters. In the acquisition
mode, switches associated with ␾1 and ␾1⬘ are on whereas ␾2
is off, and the transconductance amplifier acts as a unity-gain
φ2 φ1 amplifier. Thus the voltage across CH is the input voltage and
Time (T) the virtual ground. In the transition to the hold mode, the
(n–1) (n–1/2) n switches associated with ␾1⬘ and ␾1 turn off one after the
(b) other. Then ␾2 turns on. One advantage of this architecture
is that because ␾1⬘ turns off first, the input-dependent charge
Figure 2. Open-loop S/H: (a) simple S/H buffer; (b) timing diagram. injected by ␾1 onto CH does not appear in the held output volt-
age. Besides, because of the virtual ground, the channel
charge associated with ␾1⬘ does not depend on the input signal.
to CSVI. As a result of this, the op amp output voltage is equal Yet another advantage is that the offset voltage is not added
to ⫺(CI /CS)VI. Therefore, this topology has low sensitivity to to the output. A disadvantage is that a high-slew-rate trans-
the op amp offset voltage and to the op amp finite DC gain. A conductance amplifier is required. Figure 4(b) shows a double-
minor drawback of this topology is that the op amp stays in sampling S/H circuit. The S/H operation is valid for both
the open loop during the nonoverlapping phase transitions,
clock phases.
producing spikes during these time intervals. A solution for
this is to connect a small capacitor between the op amp out-
put and the left-hand plate of CS. Multipliers
One difficulty in an SC multiplication technique is that con-
Sample-and-Hold tinuous programmability or multiplication of two signals is
The function of a sample/hold (S/H) is to transform a continu- not available. A digitally programmable coefficient is realized
ous-time signal into a discrete-time version. A simple S/H cir- with a capacitor bank, as shown in Fig. 5. The resolution of
cuit is shown in Fig. 2(a). Its clock phases are shown in Fig. this technique is limited because the capacitor size increases
2(b). This open-loop architecture is attractive because of its by 2k where k is the number of programming bits.

– φ2
φ1
+


C1
V0
+

Vin
CH

– φ1
φ2
+

C2
Figure 3. Double-sampling S/H archi-
tecture.
168 SWITCHED CAPACITOR NETWORKS

φ2

CH
φ 1' φ2
φ1 φ2
Vin φ1 φ1
– Vin φ2
Gm V0
CH –
+
+
V0

φ1 φ1

φ2

(a) (b)

Figure 4. (a) SC S/H single-ended. (b) Double-sampling S/H.

When continuous programmability is required, a continu- These two approaches are depicted in Fig. 6. The topology of
ous multiplier is used. Despite many reported multiplier cir- Fig. 6(a) is based on two-quadrant multipliers. Fig. 6(b) is
cuits, only two cancellation methods for four-quadrant multi- based on square law devices. X and Y are arbitrary constant
plication are known. Because a single-ended configuration terms and are not shown in Fig. 6.
does not completely cancel nonlinearity and has poor PSRR, MOS transistors are used to implement these cancellation
a fully differential configuration is often necessary in a sound schemes. Let us consider a simple MOS transistor model
multiplier topology. The multiplier has two inputs. Therefore characterized in its linear and saturation regions, respec-
there are four combinations of two differential signals, that tively by the following equations:
is (x, y), (⫺x, y), (⫺x, ⫺y), and (x, ⫺y). The multiplication and
cancellation of an unwanted component are achieved by ei-  
V
ther of the following two equalities: Id = K Vgs − VT − ds Vds
2
for |Vgs | > |VT |, |Vds | < |Vgs − VT | (4a)
4xy = [(X + x)(Y + y) + (X − x)(Y − y)]
− [(X − x)(Y + y) + (X + x)(Y − y)] (3a) K
Id = (Vgs − VT )2 for |Vgs | > |VT |, |Vds | > |Vgs − VT | (4b)
2
or
where K ⫽ 애oCox W/L and VT are the conventional notations
for the transconductance parameter and the threshold voltage
8xy ={[(X + x) + (Y + y)] + [(X − x) + (Y − y)] }
2 2
of the MOS transistor, respectively. The terms VgsVds in Eq.
− {[(X − x) + (Y + y)]2 + [(X + x) + (Y − y)]2 } (3b) (4a) or Vgs2
in Eq. (4b) are used to implement Eqs. (3a) and
(3b), respectively. Next we discuss a sound combination of a
continuous-time multiplier and an SC integrator. In an SC
circuit, the multiplier precedes the integrator, thus forming a
C weighted integrator. The output of the multiplier is a voltage
signal or a current signal. In the case of a voltage-mode multi-
plier, the configuration of the SC integrator is identical with
2 1C a conventional integrator, as shown in Fig. 7. The transcon-
ductance multiplier is connected directly to the op amp, as
shown in Fig. 8. A common drawback in a weighted integrator
2 2C is the multiplier offset because it is accumulated in the inte-
grator. This problem is more serious for the transconduc-
tance mode.
The topology in Fig. 8 with the multiplier implemented by
a FET transistor operating in the linear region is known as
MOSFET-C implementation. Instead of using a single tran-
2 kC sistor, a linearizing scheme uses four transistors as shown in
Fig. 9.
The four FETs in Fig. 9(a) are operating in the linear re-
Figure 5. Digitally programmable capacitor bank. gion, and depletion FETs are often used in many cases to
SWITCHED CAPACITOR NETWORKS 169

xy x2 + 2xy + y2
Σ x+y ( )2 Σ
+ +
x – xy x2 – 2xy + y2
–x + y ( )2
–y 4xy 8xy
xy x2 + 2xy + y2
–x – y ( )2
–x – xy –
Σ x2 – 2xy + y2 –
x–y ( )2 Σ
y Figure 6. Four-quadrant multiplier topol-
ogies: (a) using single-quadrant multipli-
(a) (b) ers; (b) Using square devices.

overcome the transistor threshold limit. The drain current of Several modifications are possible from this prototype. In
each FET is given by the balanced differential op amp, the drain voltage vd is virtu-
  ally grounded because the common-mode voltage is fixed to
v+ − v+ ground. In this case, only two FETs are required, as shown in
id1 = K v+ +
(v+ +
x
y − vx − VT − d − vx )
d
2 Fig. 9(b). The drain current of each FET is given by
 
v− − v+  
id2 = K v− +
(v− +
x
− v − V − d
d − vx )
−vx
y x T
2 id1 = K v−

You might also like