Professional Documents
Culture Documents
Multiplexer, Encoder and Decoder: Prepared By: Rakesh Mahto
Multiplexer, Encoder and Decoder: Prepared By: Rakesh Mahto
Encoder and
Decoder
Lab 05
Prepared By: Rakesh Mahto
Objective
Basic of Multiplexer
Basic of Decoder
Basic of Encoder
y0 y1 y2 y3 y4 y5 y6 y7 a b c d
0 0 0 0 0 0 0 0 0 0 0 0
1 0 0 0 0 0 0 0 0 0 0 1
X 1 0 0 0 0 0 0 0 0 1 1
X X 1 0 0 0 0 0 0 1 0 1
X X X 1 0 0 0 0 0 1 1 1
X X X X 1 0 0 0 1 0 0 1
X X X X X 1 0 0 1 0 1 1
X X X X X X 1 0 1 1 0 1
X X X X X X X 1 1 1 1 1
VHDL code for decoder
process (I)
begin
end process;
VHDL code for encoder
entity prio_encoder42 is port(
r: in std_logic_vector(3 downto 0);
code: out std_logic_vector(1 downto 0); input Output
active: out std_logic
r code active
); end prio_encoder42;
1XXX 11 1
architecture cond_arch of prio_encoder42 is
begin 01XX 10 1
code <= "11" when (r(3)=’1’) else
"10" when (r(2)=’1’) else 001X 01 1
"01" when (r(1)=’1’) else
"00"; 0001 00 1
active <= r(3) or r(2) or r(1) or r(0);
end cond_arch; 0000 00 0
Component Declaration
component component_name
generic(
generic_declaration;
generic_declaration;
…………
);
Port(
port_declaration;
port_declaration;
……….
);
Components in VHDL
architecture xor_arch of even_detector is
signal odd: std_logic;
begin
even <= not
odd <= a(2) xor a(1) xor a(0);
end xor_arch;
begin
end str_arch;
Reference
Pong P. Chu, “ RTL Hardware Design Using VHDL”.