You are on page 1of 123

Grating Coupler Design Based on

Silicon-On-Insulator
by

Yun Wang

B.Sc., Shenzhen University, 2011

A THESIS SUBMITTED IN PARTIAL FULFILLMENT OF


THE REQUIREMENTS FOR THE DEGREE OF

MASTER OF APPLIED SCIENCE

in

The Faculty of Graduate Studies

(Electrical and Computer Engineering)

THE UNIVERSITY OF BRITISH COLUMBIA


(Vancouver)
April 2013

c Yun Wang 2013
Abstract
Silicon-on-insulator has become a promising platform for high-density inte-
grated photonics circuits. The large refractive index contrast between the
functional silicon layer and its cladding raises a coupling issue between an
optical fibre and on-chip devices. Grating coupler provides a compact and
efficient way to tackle the coupling issue between the optical fibre and silicon
waveguide. In this thesis, a universal design methodology, which accommo-
dates various etch depths, silicon thicknesses, and cladding materials has
been demonstrated and verified by both FDTD simulation and measure-
ment results. A fully etched grating coupler with a sub-wavelength grating
structure has been proposed to reduce the large back reflection of existing
fully etched grating couplers. Back reflection of the proposed fully etched
grating coupler has been reduced from more than 20% to about 5%. The
insertion loss and bandwidth of the proposed structure have also been im-
proved. In addition, a bidirectional grating coupler for vertical coupling has
been proposed to improve the insertion loss and bandwidth of the traditional
grating coupler. A simulated insertion loss of -1.5dB with a 3dB bandwidth
of more 100nm has been achieved with the proposed structure.

ii
Preface
I am the first author on two conference papers and titled “Fully Etched Grat-
ing Coupler With Low Back Reflection” and “ Universal Grating Coupler
Design” respectively. I also co-authored five papers, including two journal
papers [44, 45] and three conference papers. In addition, I am the main
author of a book chapter [9]. During the last year, I proposed a universal
design methodology to design grating couplers for various fabrication pro-
cesses and applications. This design flow has been implemented in Pyxis to
automatically generate the desired grating coupler with user-specified input
parameters. The validity of this design method has been verified by both
theoretical calculation and measurement results. I also proposed a fully
etched grating coupler with sub-wavelength grating structure to reduce the
back reflection of the existing fully etched grating coupler. In addition, I
proposed a bidirectional grating coupler structure for vertical coupling, the
potential of which has been verified by theoretical calculation and numerical
simulation.
My complete list of publications are:

1. Yun Wang, Jonas Flueckiger, Charlie Lin, and Lukas Chrostowski, “


Fully Etched Grating Coupler With Low Back Reflection ” Photonics
North 2013 (accepted);

2. Yun Wang, Jonas Flueckiger, Charlie Lin, and Lukas Chrostowski, “


Universal Grating Coupler Design ” Photonics North 2013 (accepted);

3. Wei Shi, Han Yun, Wen Zhang, Charlie Lin, Ting Kai Chang, Yun
Wang, Nicolas A. F. Jaeger, and Lukas Chrostowski .“ Ultra-Compact,
High-Q Silicon Micodisk Reflectors ”, Optics Express, Vol.20, Issue 20,
pp.21846(2012)

iii
Preface

4. Wei Shi, Han Yun, Charlie Lin, Mark Greenburg, Xu Wang, Yun
Wang, Sahba Talebi Fard, Jonas Flueckiger, Nicolas A. F. Jaeger, and
Lukas Chrostowski, “ Ultra-compact, flat-top demultiplexer using anti-
reflection contra-directional couplers for CWDM networks on silicon ”
Optics Express, (accepted),
http://www.opticsinfobase.org/oe/upcomingissue.cfm

5. Wei Shi, Ting Kai Chang, Han Yun, Wen Zhang, Yun Wang, Char-
lie Lin, Nicolas A. F. Jaeger, and Lukas Chrostowski, “ Differential
Measurement of Transmission Losses of Integrated Optical Compo-
nents Using Waveguide Ring Resonators ” Proc. SPIE 8412, Photonics
North 2012, 84120R (October 23, 2012); doi:10.1117/12.2001409

6. Wei Shi, Han Yun, Charlie Lin, Xu Wang, Yun Wang, Jonas Flueck-
iger, Nicolas A. F. Jaeger, and Lukas Chrostowski, “ Silicon CWDM
Demultiplexers Using Contra-Directional Couplers ”, CLEO, 2013

7. Han Yun, Wei Shi, Yun Wang, Lukas Chrostowski, and Nicolas A.F.
Jaeger “2x2 Adiabatic 3-dB Couplign on Silicon-on-insulator Rib Waveg-
uides ”, Photonics North 2013 (accepted);

8. Lukas Chrowstowski and Michael Hochberg, “ Silicon Photonics De-


sign ” (Chapter 6), 2013, ISBN: 9781105948749

iv
Table of Contents

Abstract . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ii

Preface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . iii

Table of Contents . . . . . . . . . . . . . . . . . . . . . . . . . . . . v

List of Tables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . vi

List of Figures . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . vii

Acknowledgements . . . . . . . . . . . . . . . . . . . . . . . . . . . viii

Dedication . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ix

1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1
1.1 Silicon Photonics . . . . . . . . . . . . . . . . . . . . . . . . . 1
1.2 Challenge of Coupling Light Into Nanophotonic Waveguide . 3
1.3 Grating Coupler . . . . . . . . . . . . . . . . . . . . . . . . . 5
1.4 Slab Waveguide and Channel Waveguide . . . . . . . . . . . 6
1.5 Polarization of Waveguide Modes . . . . . . . . . . . . . . . 7
1.6 State-of-the-art Grating Couplers . . . . . . . . . . . . . . . 8
1.7 Measurement Setup . . . . . . . . . . . . . . . . . . . . . . . 12

2 Theory And Numerical Methods . . . . . . . . . . . . . . . . 14


2.1 Bragg Condition . . . . . . . . . . . . . . . . . . . . . . . . . 14
2.1.1 Bragg’s Law . . . . . . . . . . . . . . . . . . . . . . . 14
2.1.2 The Bragg Condition for Grating Coupler . . . . . . 15
2.2 Effective Index Method . . . . . . . . . . . . . . . . . . . . . 17

v
Table of Contents

2.3 Finite-Difference Time-Domain Method . . . . . . . . . . . . 21

3 Detuned Grating Coupler . . . . . . . . . . . . . . . . . . . . 25


3.1 Detuned Shallow Etched Grating Coupler . . . . . . . . . . . 25
3.1.1 Initial Condition . . . . . . . . . . . . . . . . . . . . . 26
3.1.2 Design Parameters . . . . . . . . . . . . . . . . . . . . 27
3.1.3 Optimization of the Grating Coupler . . . . . . . . . 34
3.1.4 Design Stability . . . . . . . . . . . . . . . . . . . . . 38
3.2 Universal Grating Coupler Design . . . . . . . . . . . . . . . 41
3.2.1 Design Approach . . . . . . . . . . . . . . . . . . . . 41
3.2.2 Simulation Results . . . . . . . . . . . . . . . . . . . . 43
3.2.3 Mask Layout . . . . . . . . . . . . . . . . . . . . . . . 49
3.2.4 Measurement Results . . . . . . . . . . . . . . . . . . 50

4 Fully Etched Grating Coupler . . . . . . . . . . . . . . . . . . 56


4.1 Regular Fully Etched Grating Coupler . . . . . . . . . . . . . 56
4.1.1 Bottlenecks of Regular Fully Etched Grating Couplers 57
4.1.2 Optimization of the Regular Fully Etched Grating Cou-
plers . . . . . . . . . . . . . . . . . . . . . . . . . . . 60
4.2 Improved Fully Etched Grating Coupler . . . . . . . . . . . . 64
4.2.1 Design Approach . . . . . . . . . . . . . . . . . . . . 65
4.2.2 Simulation Results . . . . . . . . . . . . . . . . . . . . 67
4.2.3 Measurement Results . . . . . . . . . . . . . . . . . . 68

5 Vertical Grating Coupler . . . . . . . . . . . . . . . . . . . . . 70


5.1 Regular Vertical Grating Couplers . . . . . . . . . . . . . . . 70
5.2 Bidirectional Grating Coupler . . . . . . . . . . . . . . . . . 73
5.2.1 Device Layout . . . . . . . . . . . . . . . . . . . . . . 73
5.2.2 Design and Simulation . . . . . . . . . . . . . . . . . 74

6 Discussion And Future Work . . . . . . . . . . . . . . . . . . 78

Bibliography . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 81

vi
Table of Contents

Appendices

A FDTD code to generate universal grating coupler model . 89

B Pyxis code for universal grating coupler design . . . . . . . 101

vii
List of Tables

1.1 State-of-the-art grating couplers . . . . . . . . . . . . . . . . 11

3.1 Initial values . . . . . . . . . . . . . . . . . . . . . . . . . . . 26


3.2 Tuning coefficients of various parameters . . . . . . . . . . . . 32
3.3 Input paramters . . . . . . . . . . . . . . . . . . . . . . . . . 44
3.4 Tuning coefficient of different parameters . . . . . . . . . . . 51

6.1 Comparison of the published results and the result of the


grating coupler generated by the universal design methodology 79

viii
List of Figures

1.1 Schematic of SOI wafer . . . . . . . . . . . . . . . . . . . . . 2


1.2 Schematic of grating coupler . . . . . . . . . . . . . . . . . . . 4
1.3 Schematic of slab waveguide and channel waveguide in SOI . 6
1.4 (a) The amplitude of the electric field of the first order TE-like
mode in a rectangular channel waveguide; (b) The amplitude
of the magnetic field of the first order TE-like mode in a
rectangular channel waveguide [9] . . . . . . . . . . . . . . . . 9
1.5 (a)The amplitude of the electric field of the first order TM-like
mode in a rectangular channel waveguide; (b)The amplitude
of the magnetic filed of the first order TM-like mode in a
rectangular channel waveguide [9] . . . . . . . . . . . . . . . . 10
1.6 (a) Illustration of the automated setup; (b) automated setup 12
1.7 Fibre array ribbon, ribbon holder and ribbon arm [26] . . . . 13

2.1 Bragg’s Law . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15


2.2 Schematic of a grating coupler . . . . . . . . . . . . . . . . . 16
2.3 Diagram for Bragg condition . . . . . . . . . . . . . . . . . . 17
2.4 Cross section of silicon-on-insulator waveguide . . . . . . . . . 18
2.5 Schematic of a SOI strip waveguide . . . . . . . . . . . . . . . 18
2.6 Schematic of the effective index of a strip waveguide . . . . . 19
2.7 Effective index of TE and TM modes . . . . . . . . . . . . . . 21
2.8 FDTD mesh for a grating coupler . . . . . . . . . . . . . . . . 22
2.9 (a) Schematic of simulation structure for input grating cou-
pler; (b) Schematic of simulation structure for output grating
coupler . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24

ix
List of Figures

3.1 Insertion loss and back reflection to the waveguide of the ini-
tial grating coupler . . . . . . . . . . . . . . . . . . . . . . . . 27
3.2 Variations of grating period . . . . . . . . . . . . . . . . . . . 28
3.3 Variations of duty cycle . . . . . . . . . . . . . . . . . . . . . 29
3.4 Variations of etch depth . . . . . . . . . . . . . . . . . . . . . 30
3.5 Variations of incident angle . . . . . . . . . . . . . . . . . . . 31
3.6 Schematic of reflections at different interfaces . . . . . . . . . 32
3.7 Variations for BOX thickness . . . . . . . . . . . . . . . . . . 33
3.8 Variations for cladding thickness . . . . . . . . . . . . . . . . 34
3.9 Grating coupler design fabricated through OpSIS-IME . . . . 35
3.10 Images of fibre ribbon . . . . . . . . . . . . . . . . . . . . . . 36
3.11 Impacts of the gap between fibre ribbon tip and photonic chip
on the insertion loss and bandwidth of the grating coupler . . 37
3.12 Spectra of simulation results with different gap distance and
measurement results . . . . . . . . . . . . . . . . . . . . . . . 38
3.13 The insertion losses of the same grating coupler design at
different positions of the chip . . . . . . . . . . . . . . . . . . 39
3.14 The 3dB bandwidths of the same grating coupler design at
different positions of the chip . . . . . . . . . . . . . . . . . . 40
3.15 The central wavelengths of the same grating coupler design
at different positions of the chip . . . . . . . . . . . . . . . . . 40
3.16 Flow chart of the universal design method . . . . . . . . . . . 42
3.17 Universal grating couplers with 10 degree incident angle for
TE mode wave with oxide cladding . . . . . . . . . . . . . . . 46
3.18 Universal grating couplers with 10 degree incident angle for
TM mode wave with oxide cladding . . . . . . . . . . . . . . 46
3.19 Universal grating coupler with 10 degree incident angle for
TE mode wave with air cladding . . . . . . . . . . . . . . . . 47
3.20 Universal grating coupler with 10 degree incident angle for
TM mode wave with air cladding . . . . . . . . . . . . . . . . 47
3.21 Comparison of designs generated by universal grating coupler
model and the optimized design for 1550nm TE wave with 10
degree incident angle . . . . . . . . . . . . . . . . . . . . . . . 48

x
List of Figures

3.22 Mask layout of a grating coupler with focusing grating curve 50


3.23 Measurement vs. simulation of universal grating couplers
with 10 degree incident angle . . . . . . . . . . . . . . . . . . 52
3.24 Measurement vs. simulation of universal grating couplers
with 15 degree incident angle . . . . . . . . . . . . . . . . . . 52
3.25 Measurement vs. simulation of universal grating couplers
with 20 degree incident angle . . . . . . . . . . . . . . . . . . 53
3.26 (a) Peak power of simulation and measurement results with
10 incident angle; (b)comparison of simulated and measured
wavelength mismatch with 10 degree incident angle . . . . . . 54
3.27 (a)Peak power of simulation and measurement results with
15 incident angle; (b)comparison of simulated and measured
wavelength mismatch with 15 degree incident angle . . . . . . 54
3.28 (a)Peak power of simulation and measurement results with
20 incident angle; (b)comparison of simulated and measured
wavelength mismatch with 20 degree incident angle . . . . . . 55

4.1 Schematic of fully etched grating coupler . . . . . . . . . . . . 57


4.2 Schematic of diffraction, reflection and penetration of a reg-
ular fully etched grating coupler . . . . . . . . . . . . . . . . 58
4.3 Insertion loss of grating couplers with 20 degree incident angle
for TE operation wave as function of the thickness of the
buried oxide. . . . . . . . . . . . . . . . . . . . . . . . . . . . 59
4.4 Directionality, insertion loss and reflection to waveguide of a
general fully-etched grating coupler . . . . . . . . . . . . . . . 61
4.5 Mask layout of a fully etched grating coupler test structure . 62
4.6 Comparison of measurement result and simulation result of
fully-etched grating coupler . . . . . . . . . . . . . . . . . . . 63
4.7 Comparison of back reflections between shallow-etched grat-
ing coupler and fully-etched grating coupler . . . . . . . . . . 64
4.8 Schematic of a fully etched grating coupler with sub-wavelength
gratings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66

xi
List of Figures

4.9 Comparison of regular fully etched grating coupler and the


fully etched grating coupler with minor sub-wavelength grat-
ings. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 67
4.10 Measurement results of the regular fully etched grating cou-
pler and the fully-etched grating coupler with minor sub-
wavelength gratings . . . . . . . . . . . . . . . . . . . . . . . 68

5.1 Diagram of wave vectors for vertical grating coupler . . . . . 71


5.2 Insertion loss and back reflection of a regular vertical grating
coupler . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72
5.3 Schematic of bidirectional grating coupler for vertical coupling 73
5.4 Cross section of a bidirectional grating coupler . . . . . . . . 74
5.5 The insertion loss of the optimized bidirectional grating coupler 75
5.6 Insertion of the bidirectional vertical grating coupler as func-
tion of wavelength for different offset . . . . . . . . . . . . . . 76
5.7 Schematic of a bidirectional grating coupler with incident
wave off from the centre of the grating. . . . . . . . . . . . . . 77

xii
Acknowledgements
I would like to thank my supervisor Dr. Lukas Chrostowski. For the care
he provided to help me settle down when I first came to the University of
British Columbia as an international student, for the patient guidance and
help he provided when I was faced with difficulties and for the friendly and
warm atmosphere he has created within our research group.
I would like also to thank Dr. Nicolas Jaeger for the helpful instruction
and kindly help with my research.
Also, I would like to thank my colleagues Wei Shi, Xu Wang, Han Yun,
Jonas Flueckiger, Samantha Grist, Charlie Lin, and Sahba Talebi Fard.
Special thanks to Wei Shi for his instructive discussions and kind help.
In addition, I would also like to acknowledge the nano-fabrication center
at University of Washington, CMC Microsystems and OpSIS IME for the
fabrication of my devices and Lumerical Solutions Inc. for their technical
support.

xiii
Dedication
To my father Junping Wang and my mother Miaoling Zhang, who have
shown me what love is. Specifically, the courage, determination and passion
from my father to help me find what I truly love and to follow my own heart,
and the rationality from my mother, which helped me to think independently
when I met obstacles in my life. To my fiance Ge Shi, for her dedication
and love.

xiv
Chapter 1

Introduction

1.1 Silicon Photonics


After dominating the electronics industry for decades, silicon is on the verge
of becoming the material of choice for the photonics industry [21]. The
early work in the area of silicon photonics dates back to the late 1980s
and the early 1990s [12, 20, 43, 46, 51, 52, 52, 53, 62]. The motivation for
silicon photonics is its compatibility with the silicon Integrated Circuit (IC)
manufacturing process, which represents the most spectacular convergence
of technological sophistication and economies of scale. The industry is able
to produce microprocessors with hundreds of millions of components, all
integrated onto a thumb-size chip, and to offer them at extremely low price.
Another motivation is the availability of high-quality silicon-on-insulator
(SOI) wafers, an ideal platform for creating planar waveguide circuits. A
schematic of an SOI wafer is shown in Fig. 1.1. An SOI wafer consists of three
layers: a silicon substrate at the bottom (of Fig. 1.1) for mechanical support,
a buried oxide (BOX) layer (in the middle) acting as the insulator layer, and
another silicon layer (on the top) acting as the functional wave guiding layer.
There may be another cladding layer on top of the silicon layer for protection.
The strong optical confinement offered by the high index contrast between Si
(n=3.45) and SiO2 (n = 1.45) makes it possible to make photonics devices on
the scale of a few hundred nanometers. Such small dimensions are required
for compatibility with the IC processing. The Smart Cut [2] technology
commercialized by Soitec also propelled the commercial applications of SOI
into exponential growth and entered the mainstream of Ultra Large Scale
Integration (ULSI).

1
1.1. Silicon Photonics

Figure 1.1: Schematic of SOI wafer

The main application envisioned for silicon photonics is optical intercon-


nects for CMOS electronics [34]. Conventional wisdom holds that optical
interconnects are much better suited than copper interconnects in handling
high data rates. The physical benefits of changing the technology used
for interconnects to silicon photonic chips in computing and switching sys-
tems have been detailed in [33]. With the advantages of design simplifi-
cation, architectural advantages and physical benefits such as reduction of
power dissipation in interconnects and voltage isolation etc., light beams
that have dominated long-distance communication are progressively taking
over in shorter distance networks. Luxtera announced the world’s first 40
Gigabit Optical Active Cable (OAC) in 2007 [28]. Intel demonstrated their
4x12.5 Gbps CWDM silicon photonics link using integrated hybrid silicon
lasers [25, 37] in 2010. IBM unveiled the holey optochip to transfer informa-
tion at terabit per second speed in 2012 [10]. All those advancess show the
potential of silicon photonics as the future solution for optical interconnects.
Another important application of silicon photonics is bio-sensing. A
disposable mass-produced sensor would be attractive as it could grow the
market for biosensors. Sensor applications are somewhat different from opti-
cal communication ones as there are other low cost optical technologies that

2
1.2. Challenge of Coupling Light Into Nanophotonic Waveguide

compete in this space [23]. One likely application area for silicon photonics
is the so-called lab-on-a-chip, in which both reaction and analysis are per-
formed in a single device. In the future, this could be extended to include
electronic intelligence and wireless communications to create intelligent sen-
sor networks for environmental monitoring [21].
In addition, silicon has material properties that are important for a new
class of mid-infrared (IR) photonics devices. These include the linear and
non-linear optical properties in the mid-wave IR spectrum. The high optical
intensity arising from the large index contrast (between Si and SiO2 ) makes
it possible to observe nonlinear optical interactions, such as Raman and Kerr
effects, in chip-scale devices. Far from being limited to the near-IR data
communication band, from 1.26 to 1.67 microns, silicon also has a low loss
wavelength window extending from 1.1 to nearly 7 microns [22]. But oxide
limits its use in SOI to 3 microns. Silicon has high thermal conductivity
(10x higher than GaAs), high optical damage threshold (10x higher than
GaAs), and high third-order optical nonlinearities [21].

1.2 Challenge of Coupling Light Into


Nanophotonic Waveguide
Due to the large refractive index contrast between the silicon core (n≈ 3.47
at 1550 nanometers) and the silicon dioxide cladding (n≈1.5 at 1550 nanome-
ters), propagation modes are highly confined within the waveguide with a
dimension on the order of a few hundred nanometers, which enables large-
scale integration. However, the small feature size of the waveguide raises
the problem of huge mode mismatch between the optical mode within an
optical fibre and the mode within the waveguide. The cross-sectional area
of an optical fibre-core (with a diameter of 10 microns) is almost 3,000 times
larger than that of a silicon waveguide (with dimensions of 500 nanometers
x 220 nanometers).
Several approaches have been demonstrated to tackle the problem of
mode mismatch. Edge coupling using spot size converters and lensed fi-

3
1.2. Challenge of Coupling Light Into Nanophotonic Waveguide

bres is one solution used to address this, and high-efficiency coupling with
an insertion loss below 0.5 dB has been demonstrated [30]. However, this
approach can be only used at the edge of the chips, and the implemen-
tation of such designs requires complicated post-processes, which increase
the packaging cost dramatically. The alignment of such devices during the
measurement requires high accuracy, which often takes a lot of effort.
The grating couplers are an alternative solution to tackle the issue of
mode mismatch. Compared to the edge coupling, grating couplers have sev-
eral advantages: alignment to grating couplers during measurement is much
easier than alignment to edge couplers; the fabrication of grating couplers
does not require post-processing, which reduces the fabrication cost; grating
couplers can be put anywhere on a chip, which provides flexibility in the
design as well as enabling wafer scale automated testing. Both academic
and industrial research groups have demonstrated high efficiency grating
couplers [32, 35, 41].

Figure 1.2: Schematic of grating coupler

4
1.3. Grating Coupler

1.3 Grating Coupler


Figure 1.2 is a schematic of a shallow-etched grating coupler design in silicon-
on-insulator. The thickness of the functional Si layer and the thickness of
the buried oxide (BOX) layer are determined by the wafer type. A cladding
layer is often employed to protect the functional silicon layer, as shown in
Fig. 1.2. However, for some uses such as bio-sensing, air cladding is required.
In Fig. 1.2 :
• Λ denotes the grating period, which represents the length of the peri-
odic pattern;

• W denotes duty cycle of the grating coupler, which is the width of the
grating tooth;

• f f denotes fill factor, which is defined as the ratio of the grating period
and the duty cycle, i.e, f f = Λ/W ;

• θ denotes the incident angle of the grating coupler, which is the angle
between the incident wave and the normal to the grating surface;

• ed denotes the heights of the grating teeth, which are defined as etch
depth.
In addition to the geometric variables defined above, some other terms
are often used when discussing grating couplers:
1. Directionality: the ratio between the power diffracted upwards (Pup )
and the input power from the waveguide (Pwg ) [35], which is usually
expressed in decibels (dB) as 10 · log10 (Pup /Pwg );

2. Insertion loss (coupling efficiency): the ratio between the power cou-
pled into the fundamental mode of the fibre (Pfund ) and the input
power from the waveguide (Pwg ), which is usually expressed in dB as
10 · log10 (Pfund /Pwg );

3. Penetration loss: the ratio between the power lost in the substrate
(Psub ) and the input power from the waveguide, which is usually ex-
pressed in dB as 10 · log10 (Psub /Pwg );

5
1.4. Slab Waveguide and Channel Waveguide

4. Back reflection to the waveguide: due to the refractive index contrast


between the silicon wire waveguide and the grating, part of the input
light from the waveguide will be reflected back into the waveguide.
The ratio between the reflected power and the input power from the
waveguide is called back reflection to the waveguide. It is usually
expressed in dB or in percentage. This back reflection is unwanted
because it will cause Fabry-Perot oscillations by reflecting back and
forth between the input and output grating couplers [35, 55].

1.4 Slab Waveguide and Channel Waveguide


At angles of incidence above the critical angle it is possible to achieve total
internal reflection from a dielectric interface given that the incident elec-
tromagnetic wave is in the medium with the higher refractive index. So, it
should be possible to place a slab of dielectric with a high refractive index
between two media with lower refractive indices and confine a plane wave to
propagate in the high index dielectric slab [19]. Such a waveguide is called
the slab waveguide. A schematic of a slab waveguide in SOI is shown in the
left side of Fig. 1.3. The slab is such that it extends to infinity in the x and
z directions. However, the waveguide used in photonic systems are the ones
with two-dimensional refractive index profiles, which is shown in the right
side of Fig. 1.3. Such a waveguide is called the channel waveguide.

Figure 1.3: Schematic of slab waveguide and channel waveguide in SOI

6
1.5. Polarization of Waveguide Modes

1.5 Polarization of Waveguide Modes


If we orient our coordinate system so that the interface between the silicon
and the cladding and the interface between the silicon and the buried oxide
lie in the xz plane (shown in Fig. 1.3) and the guided mode propagates along
the z axis, then the wave vectors for the incident, reflected, and transmitted
waves are all contained in the yz plane. Thus the yz plane is called the plane
of incidence [19]. Transverse electric (TE) modes are defined as these modes
having their electric fields perpendicular to the plane of incidence (i.e. x
axis here) and transverse magnetic (TM) modes are defined as these modes
having their magnetic fields perpendicular to the plane of incidence. The
amplitude of the electric field and magnetic field of the fundamental (first
order or lowest order) TE-like mode are shown in Fig. 1.4. The amplitude
of the electric field and magnetic field of the fundamental TM-like mode are
shown in Fig. 1.5. By comparing the amplitude of the fundamental TE-like
mode and the fundamental TM-like mode, we can see that the TE-like mode
is better confined than the TM-like mode. In all subsequent sections of this
thesis, channel waveguides are assumed, and we will drop the -like extension
and refer to the modes as simple TE and TM modes.
The propagation constant, β, is employed to represent the behaviour of
different modes within the channel waveguide and is defined as [19]:

2·π
β= · neff (1.1)
λ0

where λ0 denotes the operation wavelength and neff denotes the effective
refractive index of the mode. The effective refractive index is introduced to
describe and compare the confined modes within the channel waveguide and
is defined as:
c β
neff = = (1.2)
vp k0
where c is the speed of light in vacuum, vp is the phase velocity of the mode,
and k0 denotes the wave vector in free space, i.e., k0 = 2π/λ.

7
1.6. State-of-the-art Grating Couplers

1.6 State-of-the-art Grating Couplers


Some state-of-the-art grating couplers are listed in Table 1.1. Important pa-
rameters such as central wavelength, polarization, insertion loss, and band-
width, for comparison purpose, are shown in the table. Corresponding fabri-
cation details are also listed because the performance of a grating coupler is
highly dependent on how it is made. The insertion loss of the grating coupler
is mainly determined by the thickness of the top silicon layer and the thick-
ness of the buried oxide (BOX), because these two values affect the phase
conditions of different wavelengths at the interface between the grating layer
and the buried oxide layer. Bottom distributed Bragg reflectors (DBR) [31]
and top overlays [56] have been used to decrease the insertion loss, but non-
standard wafers and fabrication processes are required for these approaches.
Both shallow etched and fully etched grating couplers are shown in the table
and the central wavelengths are around 1550 nm and 1310 nm, which are in
the two most commonly used optical windows in telecommunications. These
grating couplers listed are mainly designed for TE mode operation.

8
1.6. State-of-the-art Grating Couplers

0.4

0.8
0.3
0.7
0.2
0.6
0.1
micron

0.5

0
0.4

−0.1
0.3

−0.2 0.2

−0.3 0.1

−0.4
−0.5 0 0.5
micron
(a)
−5
x 10
0.4 7

0.3 6

0.2
5

0.1
4
micron

0
3

−0.1
2
−0.2

1
−0.3

−0.4
−0.5 0 0.5
micron
(b)

Figure 1.4: (a) The amplitude of the electric field of the first order TE-like
mode in a rectangular channel waveguide; (b) The amplitude of the magnetic
field of the first order TE-like mode in a rectangular channel waveguide [9]

9
1.6. State-of-the-art Grating Couplers

0.4

0.8
0.3
0.7
0.2
0.6
0.1
micron

0.5

0
0.4

−0.1
0.3

−0.2 0.2

−0.3 0.1

−0.4
−0.5 0 0.5
micron
(a)
−5
x 10
0.4 4.5

4
0.3
3.5
0.2
3
0.1
micron

2.5

0
2

−0.1
1.5

−0.2 1

−0.3 0.5

−0.4
−0.5 0 0.5
micron
(b)

Figure 1.5: (a)The amplitude of the electric field of the first order TM-like
mode in a rectangular channel waveguide; (b)The amplitude of the magnetic
filed of the first order TM-like mode in a rectangular channel waveguide [9]

10
Wavelength Polarization Insertion Loss Bandwidth Process
2006 [48] 1550nm TE -5.1 dB 40nm (1dB) 220nm Si, 1um BOX, shallow etch
2010 [56] 1530nm TE -1.6dB 80nm (3dB) amorphous Si overlay, shallow etch
2010 [3] 1530nm TE -1.2dB N/A 340nm Si, 2um BOX, shallow etch
2011 [35] 1310nm TE -3dB 58nm 400nm Si, shallow etch
2012 [60] 1550nm TE -4.4dB 45nm (1.5dB) 220nm Si, 2um BOX, shallow etch

1.6. State-of-the-art Grating Couplers


2012 [31] 1490nm TE -0.75 dB N/A shallow etch, bottom DBR
2010 [13] 1550nm TM -3.7dB 60nm full etch
2012 [58] 1550nm TE -2.29dB 60nm full etch, 250 Si ,1um BOX

Table 1.1: State-of-the-art grating couplers


11
1.7. Measurement Setup

1.7 Measurement Setup

(a)

(b)

Figure 1.6: (a) Illustration of the automated setup; (b) automated setup

12
1.7. Measurement Setup

The automated setup used for the measurement of the devices shown in
this thesis are built by Charlie Lin [26] and Jonas Flueckiger. The illus-
tration and physical map of the automated setup are shown in Fig. 1.6 (a)
and Fig. 1.6(b), respectively. The chip is placed on a platform which is
on top of an angle rotator. An X-axis motorized stage and a Y-axis stage
are seated below the angle rotator, which forms a cross configuration. The
fibre array ribbon is held by a custom-made aluminum fibre ribbon holder,
which is suspended on top of the chip platform. An aluminum arm is used
to hold the fibre ribbon holder and is attached to an angle rotator (shown
in Fig. 1.7). The angle rotator is fixed onto a Z-axis actuator that is bolted
to a raised platform so the fibre ribbon height can be manually adjusted
accordingly. The ribbon-to-chip image is captured two microscopes; one mi-
croscope shows the top view and is used for alignment purpose; the other
microscope is angled from the side to display the height displacement be-
tween the fibre array and the chip to prevent crashing the fibre array into
the chip during alignment. The light source for the microscopes illuminates
the chip platform at an angle from behind the fibre ribbon [26].

Figure 1.7: Fibre array ribbon, ribbon holder and ribbon arm [26]

13
Chapter 2

Theory And Numerical


Methods
In this chapter, we will give a short overview on the fundamental theories
and numerical methods related to grating coupler design. We will start from
Bragg’s Law, from which we will derive the most fundamental theory to deal
with periodic structures, i.e., the Bragg condition. Also, the effective Index
Method (EIM) will be introduced to obtain an approximation of the effective
index of refraction of a slab waveguide. Finally, the Finite-Difference Time
Domain (FDTD) method is introduced to obtain the optimized solution for
the grating coupler design and to predict the performance.

2.1 Bragg Condition


2.1.1 Bragg’s Law
A schematic of Bragg’s Law is shown in Fig. 2.1. Periodic dots are seated in
the air with a period of d in the y direction. A plane wave is incident on the
periodic structure and is scattered by each plane periodic dots in such a way
that the portion scattered from the second plane of dots undergoes an extra
length of 2dsin(θ), as compared to the portion scattered by the first plane of
dots. Depending on the phase condition, either constructive interference or
destructive interference occurs. Constructive interference occurs when the
extra length is equal to an integer multiple of the wavelength of the incident
wave, i.e.:
2 · d · sinθ = m · π (2.1)

14
2.1. Bragg Condition

where m is an integer, λ is the wavelength of the incident wave, and θ is the


scattering angle.

Figure 2.1: Bragg’s Law

2.1.2 The Bragg Condition for Grating Coupler


The most fundamental formula concerning periodic structures is Bragg con-
dition. The grating coupler discussed in this thesis is a one-dimensional
periodic structure, as shown in Fig. 2.2. In Fig. 2.2 we assume that the
wave incident on the grating is a guided wave propagating in a slab waveg-
uide, and its direction of propagation is in the same plane as the grating
and is normal to the grating teeth. The general form of the Bragg condition
can be expressed as:
β − kz = m · K (2.2)

where β denotes the wave vector of the input wave, i.e., β = 2πnwg /λ, nwg
denotes the effective index of the incident wave, kz denotes the component of
the wave vector of the diffracted wave in the direction of the incident wave,
where kz = 2πnc /λ; and K = 2π/Λ, which is determined by the periodicity

15
2.1. Bragg Condition

of the structure. This relation can also be depicted by a diagram shown in


Fig. 2.3, which is easier to understand.

Figure 2.2: Schematic of a grating coupler

The diffractions of a grating coupler can be observed in those directions


where constructive interference is achieved. Each value of m that results in
diffraction is referred to as the m-th order diffraction:

neff · Λ − nc · Λ · sinθ = m · λ (2.3)

where neff denotes the effective index of the grating, nc denotes the effective
index of the fibre mode in the cladding, Λ denotes the period of the grating,
θ denotes the diffraction angle, λ denotes the wavelength of the incident
wave (or out-coupled wave), and m is an integer denoting the diffraction
order. The diffraction order normally used for coupling is the first order
(i.e., m = 1), so the Bragg Condition for a grating coupler can be further
simplified to:
λ
neff − nc · sin(θ) = (2.4)
Λ
It should be noted that the Bragg condition is only exact for infinite
gratings, i.e., one-dimensional grating with infinite grating period. In a

16
2.2. Effective Index Method

Figure 2.3: Diagram for Bragg condition

finite grating, there is not a discrete k-vector for which diffraction occurs,
but a range of k-vectors around the one predicted by the Bragg condition
[49].

2.2 Effective Index Method


The Effective Index Method (EIM) was initially proposed for the analysis
of dielectric waveguides with rectangular cores [24]. The basic idea of this
method is to replace a two-dimensional waveguide with a one-dimensional
one with an effective index derived from the geometry and refractive index
of the original structure [7]. The EIM has been applied to various structures
such as optical waveguides [17, 29], optical fibres [7], and waveguide arrays
[8].
Figure 2.4 shows the schematic of a silicon-on-insulator waveguide. It is
a three-layer structure with SiO2 (n=1.444) in the middle and Si (n=3.47)
layers on the top and bottom. Air (n=1) has been employed as the cladding
in this structure. The procedure for calculating the effective index for silicon-

17
2.2. Effective Index Method

Figure 2.4: Cross section of silicon-on-insulator waveguide

on-insulator waveguide with a two-dimensional cross-section is shown in


Fig. 2.5 and Fig. 2.6. The basic approach is to solve the mode condition
for a particular mode type in one dimension and find the propagation con-
stant. The effective index can be derived from the propagation constant,
neff = β/k0 , and then applied to the other dimension of the structure [19].

Figure 2.5: Schematic of a SOI strip waveguide

18
2.2. Effective Index Method

Figure 2.6: Schematic of the effective index of a strip waveguide

To begin with, we divide the structure into three regions. These three
regions are x < −w/2, −w/2 < x < w/2, and w/2 < x, where w denote the
width of the silicon waveguide. The cladding of the waveguide is air, which
has a refractive index of 1. Next we decide on the mode type that we wish
to solve for. For the lowest order TE-like mode, the T E0 mode, we solve the
mode condition for TE modes in the y-direction of a slab waveguide with
the refractive index profile in region I. The mode condition for TE modes
within region I can be expressed by [19]:

q p
ht = mπ + tan−1 ( ) + tan−1 ( ); m = 0, 1, 2, ... (2.5)
h h
q
h = k02 n22 − β 2 (2.6)
q
p = β 2 − k02 n23 (2.7)
q
q = β 2 − k02 n21 (2.8)

19
2.2. Effective Index Method

where m is the mode order, n1 , n2 , n3 , are the refractive index of the


cladding, silicon core and SiO2 , respectively. β is the propagation constant
of the mode supported by the slab waveguide, which is defined as k0 neffI ,
where neffI is the effective index of the mode within the slab waveguide in
region I.
Now we create the one-dimensional waveguide structure shown in Fig. 2.6,
in which our calculated neffI is used for the central slab, i.e., for region I.
The refractive index chosen for region II depends on the actual structure;
nevertheless, for SOI strip waveguides with air cladding, one can use refrac-
tive index of the air, i.e., n=1. In the x-direction, the mode condition is
solved for the appropriate TM mode of the structure. The condition for TM
modes can be expressed as [19]:

q̄ p̄
ht = mπ + tan−1 ( ) + tan−1 ( ); m = 0, 1, 2, ... (2.9)
h h

n22
p̄ = ·p (2.10)
n23
n22
q̄ = ·q (2.11)
n21
Using the EIM, we have now successfully converted a two-dimensional
waveguide into a one-dimensional structure, and then solved for that struc-
ture. By doing this, the EIM enables us to simulate three-dimensional struc-
tures as two-dimensional ones, which saves significant computational effort
and time. Following on this idea, we can take complex three-dimensional
waveguides and reduce them to two-dimensional systems in which each
waveguide is replaced by its effective index in a plane that is parallel to
the the interface of the Si layer and the BOX layer.
The effective index of refraction of a strip waveguide, with a dimension
of 220 nm by 500 nm, for both T E0 and T M0 modes, as a function of
wavelength, are shown in Fig. 2.7. The T E0 mode has a larger effective
index than the T M0 mode because the TE mode is better confined within
the waveguide. In this thesis, we only use the first step of the EIM to find
the effective index of the slab waveguide which forms the grating coupler.

20
2.3. Finite-Difference Time-Domain Method

3
TE
2.8 TM

2.6

2.4
effective index

2.2

1.8

1.6

1.4

1.2

1
1500 1520 1540 1560 1580 1600
wavelength (nm)

Figure 2.7: Effective index of TE and TM modes

2.3 Finite-Difference Time-Domain Method


The components used in photonic integrated circuits are normally compli-
cated three-dimensional structures such as gratings, rings, waveguide cou-
plers, etc. It is not possible to obtain the exact analytical solutions for
these structures, except for some special cases. In practice, we use numeri-
cal methods to obtain the solutions for such structures. Finite-Differential
Time-Domain (FDTD) method is a very popular numerical method used for
obtaining solutions to two-dimensional and three-dimensional structures.
When Maxwell’s differential equations are examined, it can be seen that
the change in the E-field in time (the time derivative) depends on the change
in the H-field in space (the curl). This results in the basic FDTD time-
stepping relation that, at any point in space, the updated value of the E-field
in time depends on the stored value of the E-field and the numerical curl of
the local distribution of the H-field in space[59]. The H-field is time-stepped
in a similar manner. At any point in space, the updated value of the H-field
in time is dependent on the stored value of the H-field and the numerical

21
2.3. Finite-Difference Time-Domain Method

curl of the local distribution of the E-field in space. Iterating the E-field and
H-field updates results in a “marching-in-time” process, wherein sampled-
data analogs of the continuous electromagnetic waves under consideration
propagate in a numerical grid stored in the computer memory[18].

Figure 2.8: FDTD mesh for a grating coupler

During the simulation, the structure is discretized using a uniform grid


as shown in Fig. 2.8. A brute-force calculation of Maxwell’s equations on
each mesh point will be operated within the time domain. The simulation
accuracy is highly dependent on the grid size. A smaller grid size is required
to get more accurate results. The advantage of the FDTD method is that it
can deal with arbitrarily complicated structures. However, the drawback of
this method is long calculation times and large computational memory is re-
quired for accurate simulations. The FDTD method may not be appropriate
for simulating extra long structures, but it is ideal for simulating compact
structures such as grating couplers. FDTD Solutions, a commercial product
from Lumerical Solutions Inc., was employed as the simulation tool for all
grating couplers in this thesis.

22
2.3. Finite-Difference Time-Domain Method

Two-dimensional simulations are generally used to simulate grating cou-


plers because it takes much less computational memory and simulation time.
After designing a grating using 2D simulations, 3D simulations are used to
verify the behaviours of the final design. The schematic shown in Fig. 2.9
depicts a general grating coupler structure: a Si layer on the bottom for
mechanical strength, a functional Si layer on top of 2-um buried oxide, and
a top oxide layer for protection. The orange rectangle defines the simula-
tion region and Perfectly Matched Layer (PML) boundary is used so that
radiation appears to propagate out of the computational area and there-
fore, does not interfere with the fields inside. The yellow lines shown in
the graphs represent frequency-domain power monitors which collect high-
accuracy power flow information in the frequency domain from simulation
results across spatial regions within the simulation. The green area denotes
the fibre, the purpose of which will be explained below.
Two types of simulation structures are often employed to simulate a
grating coupler. Figure. 2.9 (a) is used to simulate the input grating coupler
and Fig. 2.9 (b) is used to simulate the output grating coupler. A polished
optical fibre is presented on top of the cladding, with a light green area
indicating the fibre core and a dark green area indicating the cladding of
the fibre. For an input grating coupler, a fundamental TE mode is launched
from the fibre core and coupled into the waveguide by the grating. Power
monitors are used to record the insertion loss and reflection to the fibre
of the grating coupler. For an output grating coupler, a fundamental TE
mode is launched from the waveguide and out-coupled into the fibre. A
mode expansion monitor is used to calculate the power that goes into the
fundamental mode of the fibre [18]. This is essential for a coupler because
not all of the power is coupled into the fundamental mode due to the mode
mismatch.

23
2.3. Finite-Difference Time-Domain Method

(a)

(b)

Figure 2.9: (a) Schematic of simulation structure for input grating coupler;
(b) Schematic of simulation structure for output grating coupler

24
Chapter 3

Detuned Grating Coupler


The most important properties for a grating coupler are the insertion loss,
the back reflection to the waveguide, and the bandwidth. Compared to
the fully etched grating couplers, shallow etched grating couplers have the
advantages of high coupling efficiency and low back reflection to the waveg-
uide. So it is more popularly employed in the integrated photonics circuits.
A small angle is often employed between the incident wave and the normal
of the grating surface, so that the large second order Bragg reflection can
be avoided, which is called the detuned case.
In this chapter, the design flow for detuned shallow etched grating cou-
plers will be presented, and the influences of various factors on the properties
of the grating couplers will be discussed in detail. In addition, a universal
grating coupler design methodology will be introduced to generate shallow
etched grating couplers, using analytic calculations instead of numeric sim-
ulations.

3.1 Detuned Shallow Etched Grating Coupler


Designing a grating coupler follows a procedure. The first step is to get the
initial condition of the desired grating coupler using theoretical calculations,
and the second step is to optimize the performance of the initial design by
sweeping various parameters such as grating period, duty cycle, and incident
angle.

25
3.1. Detuned Shallow Etched Grating Coupler

3.1.1 Initial Condition


Designing a grating coupler should follow some restrictions: some of the
parameters are determined by the wafer type we use, such as the thickness of
the silicon layer and the thickness of the buried oxide; some of the parameters
are determined by the fabrication process, such as the cladding material, the
etch depth, and the minimum feature size; and some of the parameters are
decided by the application and tunability of the measurement setup, such
as the central wavelength and the incident angle. In our case, all the known
initial values are listed in Table 3.1.

Si SiO2 Cladding Etch Depth λ θ


220 nm 2um air 70 nm 1550 nm 20 degree

Table 3.1: Initial values

Given the initial values listed in Table 3.1, we can calculate the effective
index of refraction of the grating. We used the Finite-difference time-domain
(FDTD) method to calculate the effective index of refraction of the grating.
The effective index of refraction of the shallow etched slab waveguide, neff1 ,
is calculated to be 2.534, and the effective index of refraction of the un-
etched slab waveguide, neff2 , is calculated to be 2.848. Thus, the overall
effective index of refraction of the grating neff can be calculated from the
following equation:

neff = neff1 · f f + neff2 · (1 − f f ) (3.1)

where f f denotes the fill factor of the grating coupler. With the effective
index of refraction of the grating, we can get the grating period, Λ, from the
Bragg condition:
λ
nc · sinθ = neff − (3.2)
Λ
where nc denotes the effective index of the fibre mode, θ denotes the incident
angle, neff denotes the effective index of refraction of the grating, and λ
denotes the desired central wavelength. In our case, the grating period was

26
3.1. Detuned Shallow Etched Grating Coupler

calculated to be 660 nanometers. The insertion loss and back reflection of


the initial grating coupler are shown in Fig. 3.1. The central wavelength of
the initial grating coupler design is 1553nm, with an insertion loss of -3.1dB
and a 3dB bandwidth of 70nm.
0

−5

−10
power (dB)

−15
InsertionLoss
Reflection
−20

−25

−30

−35
1400 1450 1500 1550 1600 1650 1700
wavelength (nm)

Figure 3.1: Insertion loss and back reflection to the waveguide of the initial
grating coupler

3.1.2 Design Parameters


Once we got the initial condition, optimization of the design can be achieved
by sweeping different design parameters such as the grating period, the duty
cycle, the etch depth, and the incident angle. The impacts that each of the
parameters has on the grating coupler are described in the following sections.

Grating Period

Grating period influences the performance of a grating coupler through the


following equation:
λ
Λ= (3.3)
neff − nc · sin(θ)

27
3.1. Detuned Shallow Etched Grating Coupler

−5

−10
power (dB)

−15

−20

−25 620nm
640nm
−30 660nm
680nm
700nm
−35
1400 1450 1500 1550 1600 1650 1700
wavelength (nm)

Figure 3.2: Variations of grating period

where Λ denotes the period of the grating coupler, λ denotes the central
wavelength, neff denotes the effective index of the grating, nc denotes the
effective refractive index of the fibre mode and θ denotes the incident angle.
As shown in the equation, the central wavelength of the grating coupler is
proportional to the grating period. Figure. 3.2 shows the simulation results
for varying the grating period. We kept nc = 1, θ = 20◦ , and f f = 0.5. As
we varied the grating period from 620 nanometers to 700 nanometers, the
central wavelength of the grating coupler shifted from 1500 nanometers to
1612 nanometers. The redshift shown in the central wavelength is consistent
with our analytical calculation. And the tuning coefficient of the grating
period, which is defined as δλ/δΛ, was calculated to be 1.4 nm/nm.

28
3.1. Detuned Shallow Etched Grating Coupler

Duty Cycle

Duty cycle affects the performance of a grating coupler through its impact
on the effective index of refraction of the grating:

λ
neff = nc · sin(θ) + (3.4)
Λ

where neff denotes the effective index of refraction of the grating, θ is the
incident angle and λ is the central wavelength. For a given grating period,
the effective index of refraction of the grating is proportional to the duty
cycle of the grating. Figure. 3.3 shows the simulation results for varying duty
cycle. We kept grating period constant at 660 nanometers and varied the
duty cycle from 230 nanometers to 430 nanometers. The central wavelength
shifted from 1536 nanometers to 1579 nanometers as we varied the duty
δλ
cycle. The tuning coefficient of the duty cycle, which is defined as δW , was
calculated to be 0.215 nm/nm. By comparing Fig. 3.3 and Fig. 3.2, we note
that grating period has a stronger impact on the central wavelength of the
grating than the duty cycle does.
0

−5

−10
power (dB)

−15

−20

−25 230nm
280nm
−30 330nm
380nm
430nm
−35
1400 1450 1500 1550 1600 1650 1700
wavelength (nm)

Figure 3.3: Variations of duty cycle

29
3.1. Detuned Shallow Etched Grating Coupler

Etch Depth

Etch depth of a grating coupler also influences the performance of the grating
coupler through its impact on the effective index of refraction of the grating:

λ
neff = nc · sin(θ) + (3.5)
Λ

where neff denotes the effective index of refraction of the grating, θ denotes
the incident angle, and λ denotes the central wavelength. As the etch depth
increases, the effective index of refraction of the shallow etched area de-
creases, thus neff decreases. The effective index of refraction is proportional
to the central wavelength of the grating, so the etch depth of the grating
coupler is inversely proportional to the central wavelength of the grating.
Figure. 3.4 shows the simulation results for varying the etch depth. We
0

−5

−10
power (dB)

−15

−20
60nm
65nm
−25 70nm
75nm
80nm
−30
1400 1450 1500 1550 1600 1650 1700
wavelength (nm)

Figure 3.4: Variations of etch depth

kept grating period, duty cycle, incident angle constant and varied the etch
depth of the grating coupler from 60 nanometers to 80 nanometers. The
central wavelength shows a blueshift as the etch depth increases, which is

30
3.1. Detuned Shallow Etched Grating Coupler

consistent with our analytical calculation. The tuning coefficient of the etch
depth, which is defines as δλ/δed, was calculated to be 1.9 nm/nm.
0

−5

−10
power (dB)

−15

−20

−25 15
17.5
−30 20
22.5
25
−35
1400 1450 1500 1550 1600 1650 1700
wavelength (nm)

Figure 3.5: Variations of incident angle

Incident Angle

The incident angle of a grating coupler is defined as the angle between the
incident wave (or out-coupled wave) and the normal to the grating surface. A
positive angle indicates the case in which the incident wave and the coupled
wave in the waveguide propagate in the same direction and a negative angle
indicates the case in which the incident wave and the coupled wave in the
waveguide propagate in opposite directions. The incident angle influences
the central wavelength of the grating coupler through the following equation:

λ
neff − Λ
sin(θ) = (3.6)
nc

where neff denotes the effective index of refraction of the grating, λ is the
central wavelength, Λ is the grating period and nc denotes the effective index

31
3.1. Detuned Shallow Etched Grating Coupler

of the wave incident on the grating. Simulation results for varying incident
angle are shown in Figure 3.5. We kept the grating period, duty cycle and
etch depth of the grating constant. As we increased the incident angle from
15 degree to 25 degree, the central wavelength shifted from 1594 nanometers
to1524 nanometers. So the tuning coefficient, which defined as δλ/δθ, was
calculated to be 7 nm/degree.
From the simulation results shown above, we can see that grating pe-
riod, duty cycle, etch depth and incident angle all have impacts on the
central wavelength of the grating coupler, but the tuning coefficient is dif-
ferent. Table 3.2 shows the comparison of the tuning coefficients of various
parameters.

Parameter period duty cycle etch depth incident angle


Tuning coefficient 1.4 nm/nm 0.215 nm/nm 1.9 nm/nm 7 nm/degree

Table 3.2: Tuning coefficients of various parameters

Figure 3.6: Schematic of reflections at different interfaces

32
3.1. Detuned Shallow Etched Grating Coupler

Cladding and Buried Oxide

The thickness of the buried oxide and the thickness of the cladding are
two important factors that have impacts on the insertion loss of the grat-
ing coupler. An illustration of different reflections at various interfaces of
the grating coupler is shown in Figure. 3.6. The phase conditions between
those reflections determine the insertion loss of the grating coupler. Mini-
mum insertion loss can be achieved when Preflection1 and Preflection2 result in
destructive interference and Preflection3 and Preflection4 result in destructive
interference.
Simulation results for varying buried oxide are shown in Figure. 3.7. As
we varied the thickness of the buried oxide from 1 um to 3 um, the insertion
loss of the grating coupler changed in a sinusoidal way, which is determined
by the phase condition between Preflection1 and Preflection2 . The thickness of
the buried oxide for a particular wafer type is chosen to achieve constructive
interference between Preflection1 and Preflection2 , therefore, low insertion loss
can be obtained.
−3

−4

−5
power (dB)

−6

−7

−8

−9

−10
1 1.5 2 2.5 3
thickness of the BOX (um)

Figure 3.7: Variations for BOX thickness

33
3.1. Detuned Shallow Etched Grating Coupler

Similarly, the phase condition of Preflection3 and Preflection4 varies as the


thickness of the cladding changes. We define the thickness of the cladding
to be the height from the interface of the silicon and buried oxide to the top
surface of the cladding. Figure. 3.8 shows the simulation results for varying
the cladding thickness. Minimum insertion loss achieved where destructive
interference occurs and maximum insertion loss achieved where constructive
interference occurs. Depending on the incident angle and the central wave-
length, the optimal thickness of cladding changes. By comparing Fig. 3.7
and Fig. 3.8 we note that the thickness of the buried oxide has a larger
impact on the insertion loss of the grating. This is the case because the
reflection coefficients of Preflection3 and Preflection4 are larger than those of
Preflection1 and Preflection2 .
−2

−2.2

−2.4
power (dB)

−2.6

−2.8

−3

−3.2

−3.4
1 1.5 2 2.5 3
thickness of the cladding (um)

Figure 3.8: Variations for cladding thickness

3.1.3 Optimization of the Grating Coupler


Optimization of a grating coupler involves simulation sweeps of various pa-
rameters such as grating period, duty cycle, and incident angle, etc, but

34
3.1. Detuned Shallow Etched Grating Coupler

not all of parameters are variable. The thickness of different layers are de-
termined by the wafer type, whereas the etch depth and the cladding are
normally determined by the fabrication process. So the design variables are
the grating period, duty cycle, and incident angle. The optimization process
requires a lot of simulation sweeps on those design variables. Figure. 3.9
shows the simulation and measurement results of the grating coupler de-
signed for the fabrication process provided by Opsis-IME [1]. The designed
grating coupler has a grating period of 650 nanometers with a duty cycle
of 350 nanometers. The simulated results show an insertion loss of -2.74dB
with a 3dB bandwidth of 79.8nm, and the measurement shows an insertion
loss of -4.64dB with a 3dB bandwidth of 74.9nm. The simulation results
shown in Fig. 3.9 is obtained from the model shown in Fig. 2.9 (a) with the
assumption that the distance between the fibre tip and the chip is negligible.
−2

−4
power (dB)

−6

−8
Simulation
Measurement
−10

−12
1500 1520 1540 1560 1580 1600
wavelength (nm)

Figure 3.9: Grating coupler design fabricated through OpSIS-IME

From Fig. 3.9 we note that the measured insertion loss is smaller than
the simulated insertion loss. In addition, the bandwidth of the measured
spectrum is narrower than that of the simulated one. The mismatches in
insertion loss and bandwidth mainly results from the gap between the fibre

35
3.1. Detuned Shallow Etched Grating Coupler

ribbon and the photonics chip. The images of the fibre ribbon used in our
measurement setup are shown in Fig. 3.10. The tip of the fibre ribbon is
polished at 20.3 degrees. According to Snell’s Law, if the fibre tip is parallel
to the photonics chip, it will give us a 30 degree incident angle in the air.
In order to get a 20 degree incident angle in air, we need to rotate the fibre
ribbon (as shown in the right image of Fig. 3.10). In this case, there will be
a gap between the tip of the fibre ribbon and the photonic chip. In addition,
extra space is left intentionally to protect the chip from scratching by the
ribbon. So a large air gap exists between the ribbon and the photonic chip.
The mode profile becomes larger as it propagates between the fibre ribbon
and the chip, which introduces extra loss and narrows the bandwidth.

Figure 3.10: Images of fibre ribbon

Figure. 3.11 shows the impact of the gap between the fibre ribbon and
the measured photonic chip. The blue curve indicates the change in insertion
loss and the green curve indicates the change in bandwidth. For each value
of the gap, i.e., the distance from the fibre to the chip, we optimized the XY
position of the fibre to achieve lowest insertional loss. As we varied the gap
from 0 to 200 nanometers, the insertion loss of the grating coupler decrease
from -2.47dB to -6.08 dB and the bandwidth decreased from 77 nanometers
to 44.5 nanometers.

36
3.1. Detuned Shallow Etched Grating Coupler

According to the simulation results shown in Fig. 3.11, we revised our


simulation model and simulated the grating coupler design shown in Fig. 3.9
with a 25 um air gap between the fibre ribbon tip and the chip. The compar-
ison of the simulation results and measurement results of the grating coupler
are shown in Fig. 3.12. The blue curve denotes the simulation results of the
grating structure with 1um air gap between the fibre ribbon tip and the
chip, the green curve denotes the simulation results with a 25 um air gap
between the grating and the chip, and the red curve denotes the measure-
ment results for the same gating design. As we take the air gap between the
fibre ribbon tip and the grating into consideration, a closer match between
the simulation results and the measurement results have been achieved. The
remaining mismatch of insertion loss mainly comes from the optical connec-
tions of the measurement system, such as the connections between the fibre
and the laser and the connection between the fibre and the detector.
0 80
Insertion Loss (dB)

Bandwidth (nm)

−5 60

−10 40
0 50 100 150 200
Gap between fiber ribbon and chip (um)

Figure 3.11: Impacts of the gap between fibre ribbon tip and photonic chip
on the insertion loss and bandwidth of the grating coupler

37
3.1. Detuned Shallow Etched Grating Coupler

−2

−3

−4

−5

−6
power (dB)

−7

−8

−9

−10
Gap=1um
−11 Gap=25um
Measurement
−12
1500 1520 1540 1560 1580 1600
wavelength (nm)

Figure 3.12: Spectra of simulation results with different gap distance and
measurement results

3.1.4 Design Stability


To examine the stability of the grating coupler design at different positions
on the same photonic chip, we measured the performance of the same grat-
ing coupler design at different positions of the chip. The fabrication of the
grating couplers were done by electron beam lithography at University of
Washington. The grating coupler is designed based on a wafer with 2um
buried oxide and 220 nm top Si layer. A shallow etch layer with an etch
depth of 70 nm was used and air was employed as the cladding. The inser-
tion losses, 3dB bandwidths, and the central wavelengths of the same design
at 10 different positions were measured and the comparison of these results
are shown in Fig. 3.13, Fig. 3.14, and Fig. 3.15. Fig. 3.13 shows the insertion
losses of the same grating coupler design at different positions of the chip.
The measured insertion losses are between -7.58dB and -8.24 dB. In order
to protect the chip from scratching, we intentional left a gap between the
chip the fibre tip. Therefore, the insertion loss of the measurement results is

38
3.1. Detuned Shallow Etched Grating Coupler

much larger than the simulation results. However, the stability in insertion
loss of the grating coupler has been observed. Fig. 3.14 shows the measure-
ment results of 3dB bandwidths of the same grating coupler at 10 different
positions. The measured 3dB bandwidths of the grating coupler is between
47.5 nm and 42 nm. The chip may not perfectly aligned horizontally, which
results in different gap distances between the fibre ribbon tip and the chip
at different positions. The variations in gap distances is the main source
for differences in bandwidth. Fig. 3.15 shows the central wavelengths of
the same grating coupler design at different positions of the chip. Most of
the measured grating couplers have a central wavelength of about 1550 nm,
which is the designed central wavelength. The small discrepancy in central
wavelength indicates the high accuracy of the fabrication process.
−7.5

−7.6

−7.7
Insertion loss (dB)

−7.8

−7.9

−8

−8.1

−8.2

−8.3
0 2 4 6 8 10
Device ID

Figure 3.13: The insertion losses of the same grating coupler design at dif-
ferent positions of the chip

39
3.1. Detuned Shallow Etched Grating Coupler

48

47

46
3dB bandwidth (nm)

45

44

43

42

41
0 2 4 6 8 10
Device ID

Figure 3.14: The 3dB bandwidths of the same grating coupler design at
different positions of the chip

1551.5

1551

1550.5
central wavelength (nm)

1550

1549.5

1549

1548.5

1548

1547.5

1547
0 2 4 6 8 10
Device ID

Figure 3.15: The central wavelengths of the same grating coupler design at
different positions of the chip

40
3.2. Universal Grating Coupler Design

3.2 Universal Grating Coupler Design


Depending on the fabrication process and applications, various grating cou-
plers are required [16, 32, 35, 44, 56]. The traditional way of designing
grating couplers involves brute-force simulations on all of the design param-
eters, which is very time-consuming and a lot of computational memory is re-
quired. Here we present a methodology for designing grating couplers based
on theoretical calculations instead of numeric simulations. This methodol-
ogy is enabled by the combination of Bragg condition and Effective Index
Method (EIM). It is has been validated for the wavelengths from 1260 nm
to 1675 nm for both TE and TM mode waves. Based on this method, we
also generated a script to draw the mask layout for grating couplers having
only the central wavelength and the incident angle as inputs. The script
accommodates various etch depths, silicon thickness (e.g.,220nm, 300nm),
and cladding material (e.g., silicon oxide or air). This methodology has been
verified by both FDTD simulations and measurement results.

3.2.1 Design Approach


The design flow of the proposed design method is shown in the flow chart on
the next page. For any specific grating coupler there are two types of input
parameters: process determined parameters and design intent parameters.
The process determined parameters include the etch depth, the cladding
material, and the thickness of each layer, which are determined by the fabri-
cation process and wafer type. The design intent parameters include central
wavelength, λ, incident angle, θ, and the polarization of the operational
wave.

41
3.2. Universal Grating Coupler Design

Figure 3.16: Flow chart of the universal design method

42
3.2. Universal Grating Coupler Design

Knowning the cladding material, the thickness of the top silicon layer,
and the etch depth, we can obtain the effective index of the grating teeth and
the effective index of the grating slots using the EIM, under the assumption
that the grating has a infinite width. This assumption holds under the
condition that grating couplers for an optical fibre normally have widths of
more than 12 microns, which is much larger than the central wavelength.
If we denote the effective index of the grating tooth to be neff1 , and the
effective index of refraction of the grating slots to be neff2 , then the effective
index of the grating can be expressed as:

neff = f f · neff1 + (1 − f f ) · neff2 (3.7)

where f f denotes the fill factor of the grating, which is defined as the ratio
of the duty cycle and the grating period, i.e., f f = w/Λ.
After obtaining the effective index neff of the grating, the Bragg condition
is employed to calculate the grating period:

λ
nc · sinθ = neff − m · (3.8)
Λ
where nc denotes the effective index of the fibre mode in the cladding, θ is
the incident angle, λ is the desired central wavelength, and m denotes the
diffraction order. For a specific grating coupler nc , λ, and θ are known. In
addition, the effective index of the grating neff can be calculated by the EIM.
Therefore, we can get the period of the grating from Equation 3.18. This
method is used to design a one-dimensional coupler, which can be simulated
by 2D simulations in FDTD.

3.2.2 Simulation Results


Simulation models have been built using FDTD Solutions (Scripts shown
in Appendix A). Given the process determined parameters and design in-
tent parameters, our model can generate the desired grating couplers and
simulate them. The input parameters used in our case are listed in Table 3.3.
Extensive simulations have been done for the wavelengths ranging from

43
3.2. Universal Grating Coupler Design

Top Si thickness Etch depth Cladding λ θ Polarization


220 nm 70nm air/oxide 1550 nm 10 degree TE/TM

Table 3.3: Input paramters

1260nm to 1675nm, which covers all of the six optical bands. Air cladding
and SiO2 cladding have been examined for both TE and TM waves to verify
the accuracy of the universal design method. The input parameters used in
our case are shown in Table 3.3. Figure 3.17 shows the simulation results of
the grating couplers for TE light with a 10 degree incident angle and SiO2
as the cladding. The x-axis denotes the designed central wavelength, λ.
The left y-axis, indicated by the blue curve, denotes the insertion loss of the
grating couplers generated by the model, and the right y-axis, indicating by
the green curve, denotes the wavelength mismatches. The wavelength mis-
match, δλ, is defined as the difference between the actual central wavelength,
λreal , obtained from FDTD simulations and the design intent wavelength,
λ, i.e., δλ = λreal − λ. The insertion loss of the grating couplers varies
as the wavelength changes, which results from the phase condition changes
between Preflection3 and Preflection4 as shown in Fig. 3.6. Constructive in-
terferences is obtained between Preflection3 and Preflection3 around 1310nm
and 1550nm, therefore, the insertion losses of the grating couplers around
these wavelengths are smaller. However, destructive interference between
Preflection3 and Preflection4 is obtained around 1400nm, therefore, the inser-
tion loss of the grating couplers around these wavelengths are larger. For
most wavelengths, the simulated central wavelengths are close to the de-
signed central wavelengths, especially around the two most commonly used
optical windows around 1310 nm and 1550 nm. The wavelength mismatch
can be calibrated by adjusting the incident angle during the measurement
or by compensating for the wavelength during the design stage. Given that
the tuning coefficient is 7 nm/degree for TE light and 10 nm/degree for
TM light, the wavelength mismatches are within 2 degrees in most cases.
Figure. 3.18 shows the simulation results of the grating couplers for TM
light with a 10 degree incident angle and SiO2 as the cladding. The wave-

44
3.2. Universal Grating Coupler Design

length mismatches of grating couplers for TM light are larger than that of
the grating couplers for TE light at some wavelengths. This is the case
because the TM modes are less confined within the waveguides, therefore,
the central wavelengths of the grating couplers for TM light are more sensi-
tive to refractive index change than the central wavelengths of the grating
couplers for TE light.
For comparison purposes, we simulated the grating couplers generated
by the universal design model with a 10 degree incident angle and employed
air as the cladding. Figure 3.19 shows the simulation results of the grating
couples for TE operation with a 10 degree incident angle and air as the
cladding. Figure 3.20 shows the simulation results of the grating couplers for
TM operation with a 10 degree incident angle and air as the cladding. The
insertion loss of the grating couplers with SiO2 as the cladding are smaller
than the ones with air cladding. This is the case because the refractive
index contrast between the air and the top Si layer is reduced by employing
the cladding layer, therefore, more light can be coupled into the grating
by choosing the right thickness for the cladding layer. Also, the cladding
layer mitigates the refractive index change of the grating as the period and
the duty cycle of the grating varies, which results in the central wavelength
being less sensitive to the changes in the duty cycle and grating period.

45
3.2. Universal Grating Coupler Design

−2 5

−3 0

wavelength mismatch (nm)


−4 −5
power (dB)

−5 −10

−6 −15

−7 −20
1200 1300 1400 1500 1600 1700
wavelength (nm)

Figure 3.17: Universal grating couplers with 10 degree incident angle for TE
mode wave with oxide cladding

−2 50

wavelength mismatch (nm)


power (dB)

−4 0

−6 −50
1250 1300 1350 1400 1450 1500 1550 1600 1650 1700
wavelength (nm)

Figure 3.18: Universal grating couplers with 10 degree incident angle for
TM mode wave with oxide cladding

46
3.2. Universal Grating Coupler Design

−2 20

wavelength mismatch (nm)


−4 0
power (dB)

−6 −20

−8 −40
1200 1300 1400 1500 1600 1700
wavelength (nm)

Figure 3.19: Universal grating coupler with 10 degree incident angle for TE
mode wave with air cladding

−3 10

−4 0 wavelength mismatch (nm)

−5 −10
power (dB)

−6 −20

−7 −30

−8 −40

−9 −50
1200 1300 1400 1500 1600 1700
wavelength (nm)

Figure 3.20: Universal grating coupler with 10 degree incident angle for TM
mode wave with air cladding

47
3.2. Universal Grating Coupler Design

−2

−3

−4
power (dB)

−5

−6

−7

−8
Optimized
UGC
−9
1500 1520 1540 1560 1580 1600
wavelength (nm)

Figure 3.21: Comparison of designs generated by universal grating coupler


model and the optimized design for 1550nm TE wave with 10 degree incident
angle

In order to see the accuracy of the universal grating coupler design


method, we picked the most commonly used wavelength in telecommuni-
cations, i.e., 1550 nm, to compare the spectrum of the grating coupler gen-
erated by the universal design model and the spectrum of the grating coupler
design optimized by FDTD simulation. Figure. 3.21 shows the comparison
of the simulation results of the grating coupler generated by the universal
design method and the grating coupler optimized by FDTD simulations.
The green dash curve denotes the spectrum of the grating coupler generated
by the universal design method, which has an insertion loss of -2.79 and a
3dB bandwidth of 78.8 nm, and the blue curve denotes the spectrum of the
grating coupler design optimized by FDTD simulations, which has an inser-
tion loss of -2.74 and a 3dB bandwidth of 79.8 nm. The central wavelength
mismatch between the two grating couplers is as small as 3 nanometers and
the insertion loss only improved by 1% after hundreds of simulation sweeps
for different design variables. In this thesis, the optimization of the grat-

48
3.2. Universal Grating Coupler Design

ing coupler we use only considered uniform gratings. The improvements


in the insertion loss can be obtained by more sophisticated designs with
non-uniform gratings [50].

3.2.3 Mask Layout


With the physical parameters calculated from the Bragg condition, we can
generate the mask layout of the grating coupler. So far, we have only ad-
dressed the grating couplers with straight gratings. For those grating cou-
plers, two dimensional tapers are required to convert the mode from the
grating into the waveguide. Typically, the width of the grating is about
12 microns, and the width of the waveguide is only about 500 nanometers.
To achieve lossless conversion between the grating and the waveguide, two
dimensional tapers with a length on the order of a few hundred microns are
required [56], which is not space efficient. To tackle this issue, curved grating
designs have been employed to make the grating couple more compact [54].
According to [54], compact grating structure can be obtained by curving the
grating lines following the equation:
p
qλ0 = neff y 2 + z 2 − znt cos(θc ) (3.9)

where q is the integer indicating each grating lines, θc denotes the angle
between the fibre and the chip surface, nt denotes the refractive index of
the environment, λ0 denotes the central wavelength, and neff denotes the
effective index of the grating. The expression of Bragg condition for the
curved gratings can be also converted to a cylindrical system [32]:

ne kr = nc krsin(θ)cos(φ) + 2πN (3.10)

where ne denotes the effective of the mode propagating inside the grating,
k denotes the wave vector in free space, nc denotes the effective index of
the wave in the cladding, θ denotes the incident angle, and φ denotes the
angle subtended by an arbitrary points on the grating and to the z-axis. We
generated a universal script in Pyxis (shown in Appendix B), a commercial

49
3.2. Universal Grating Coupler Design

software from Mentor Graphics, to draw the mask layout of the desired
grating couplers with curved gratings. Our script is based on the cylindrical
expression of the curved grating as shown by Equation. 3.10. An example
of the mask layout of the grating coupler generated by our model is shown
in Fig. 3.22.

Figure 3.22: Mask layout of a grating coupler with focusing grating curve

3.2.4 Measurement Results


Test structures of the grating couplers generated by the universal design
method have been fabricated through the electron beam lithography at Uni-
versity of Washington using the JEOL JBX-6300FS E-Beam Lithography
system. Standard SOI wafer with a 220-nanometer top silicon layer and a
2-micron buried oxide were used for the fabrication. Silicon oxide was em-
ployed as the cladding layer to protect the functional structures. The etch
depth of the shallow etched grating couplers is 70 nanometers. The grating
couplers were designed for TE mode wave with incident angles of 10 de-
gree, 15 degree and 20 degree. The target central wavelengths are 1500nm,
1520nm, 1540nm, 1560nm, 1580nm, and 1600nm.
Figure 3.23 shows the simulated and measured spectra of the grating
couplers generated by the universal design method with a 10 degree incident

50
3.2. Universal Grating Coupler Design

angle. The simulation and measurement results of the grating couplers with
the same central wavelength are indicated by the same colour. Due to the
misalignment, inevitable system loss and fabrication errors, the measured
insertion loss of the grating couplers are larger than the simulated results.
In addition, the bandwidths of the measured grating coupler are narrower
than the simulated ones, which is hypothesized to be originating from the
large air gap. Similarly, Figure 3.24 shows the simulated and measured
spectra of the grating couplers generated by the universal design method
with a 15 degree incident angle, and Fig. 3.25 shows the simulated and
measured spectra of the grating couplers generated by the universal design
method with a 20 degree incident angle. The spectra of the measurement
results follows the same trend as the simulation results but with the addition
of extra insertion loss. The mismatch in central wavelength between the
simulation results and measurement results may caused by the fabrication
errors,e.g., the possible fabrication errors in grating period, duty cycle, and
the thickness variation of the Si layer across the samples. The sensitivity
of central wavelength of the design as function of design parameters such as
grating period, duty cycle etc have been shown in Table 3.4, which has been
explained in the previous section.

Parameters period duty cycle etch depth incident angle


Tuning coefficient 1.4 0.215 1.9 7 nm/degree

Table 3.4: Tuning coefficient of different parameters

51
3.2. Universal Grating Coupler Design

−2

−4

−6

−8
Power (dB)

−10

−12

−14

−16

−18
1450 1500 1550 1600 1650
Wavelength (nm)

Figure 3.23: Measurement vs. simulation of universal grating couplers with


10 degree incident angle

−2

−4

−6
Power (dB)

−8

−10

−12

−14

−16
1450 1500 1550 1600 1650
Wavelength (nm)

Figure 3.24: Measurement vs. simulation of universal grating couplers with


15 degree incident angle

52
3.2. Universal Grating Coupler Design

−2

−4

−6

−8
Power (dB)

−10

−12

−14

−16

−18

−20
1450 1500 1550 1600 1650
Wavelength (nm)

Figure 3.25: Measurement vs. simulation of universal grating couplers with


20 degree incident angle

Further comparison of simulation and measurement results of the grating


couplers generated by the universal design method are shown in Fig. 3.26
to Fig. 3.28. Figure. 3.26 (a) shows the comparison of the simulated and
measured insertion loss of the grating couplers with 10 degree incident angle.
Figure. 3.26 (b) shows the comparison of the simulated and measured central
wavelength mismatch. Similarly, the comparison between simulation and
measurement results of the grating couplers with 15 degree incident angle
and 20 degree incident angle are shown in Fig. 3.27 to Fig. 3.28. Obviously,
the insertion loss of the simulation results and measurement results follows
the same trends. However, the central wavelength mismatch of the measure-
ment results shows a random behaviour, which is not consistent with the
simulation results. The discrepancy in central wavelength is mainly caused
by the fabrication error because the possible fabrication errors in grating
period, duty cycle, etch depth all have impacts on the central wavelength of
the grating coupler.

53
3.2. Universal Grating Coupler Design

−2.5
6
measurement
−3 4 simulation

−3.5 2

wavelength mismatch (nm)


0
−4
power (dB)

−2
−4.5
−4
−5
−6
−5.5
−8

−6 −10
measurement
simulation
−6.5 −12
1500 1520 1540 1560 1580 1600 1500 1520 1540 1560 1580 1600
wavelength (nm) wavelength (nm)

(a) (b)
Figure 3.26: (a) Peak power of simulation and measurement results with
10 incident angle; (b)comparison of simulated and measured wavelength
mismatch with 10 degree incident angle

−2.5 10
measurement
−3 simulation
8

−3.5
wavelength mismatch (nm)

6
−4
4
power (dB)

−4.5
2
−5
0
−5.5
−2
−6

−6.5 −4
measurement
simulation
−7 −6
1500 1520 1540 1560 1580 1600 1500 1520 1540 1560 1580 1600
wavelength (nm) wavelength (nm)

(a) (b)
Figure 3.27: (a)Peak power of simulation and measurement results with
15 incident angle; (b)comparison of simulated and measured wavelength
mismatch with 15 degree incident angle

54
3.2. Universal Grating Coupler Design

−2.5
6
measurement
−3 simulation
4
−3.5 2

wavelength mismatch (nm)


−4 0
power (dB)

−4.5 −2

−5 −4

−5.5 −6

−6 −8

−6.5 measurement −10


simulation
−7 −12
1500 1520 1540 1560 1580 1600 1500 1520 1540 1560 1580 1600
wavelength (nm) wavelength (nm)

(a) (b)
Figure 3.28: (a)Peak power of simulation and measurement results with
20 incident angle; (b)comparison of simulated and measured wavelength
mismatch with 20 degree incident angle

55
Chapter 4

Fully Etched Grating


Coupler
All of the grating couplers mentioned so far are shallow etched grating cou-
plers, and the fabrication of these grating couplers requires two lithography
steps. Shallow etched grating couplers have the advantage of smaller back
reflection to the waveguide and lower insertion loss than the fully etched
grating couplers. However, the additional lithography step also increases
the fabrication cost and complexity. Fully etched grating couplers offer a
fast and economic experimental solution for the coupling issue, especially
for quick prototyping through electron beam lithography. All of the funda-
mental building blocks of the photonics integrated circuits can be fabricated
in just one step by implementing the fully etched grating coupler. In this
chapter, the performance of the traditional fully etched grating couplers
will be presented first, and the drawbacks of which will be pointed out.
Then, fully etched grating couplers with refractive index engineered sub-
wavelength structures will be introduced to improve the performance of the
fully etched grating couplers.

4.1 Regular Fully Etched Grating Coupler


Figure 4.1 is a schematic of a regular fully etched grating coupler in SOI with
uniform gratings. The grating teeth are formed by fully etching through the
functional silicon layer instead of partially etching. The thicknesses of the
functional silicon layer and buried oxide used in our case are 2 um and 220
nm, respectively. Air is employed as the cladding for the grating coupler
design.

56
4.1. Regular Fully Etched Grating Coupler

Figure 4.1: Schematic of fully etched grating coupler

4.1.1 Bottlenecks of Regular Fully Etched Grating Couplers


The disadvantages of the regular fully etched grating couplers include the
poor insertion loss and the large back reflection to the waveguide. The
poor insertion loss is mainly caused by the mode mismatch and penetration
loss, and the large back reflection to the waveguide results from the large
refractive index contrast between the waveguide and the grating.
When considering the grating coupler as an output coupler (shown in
Fig. 4.1), the power in the waveguide will be exponentially decaying due to
the presence of the grating [49]:

Pwg (z) = Pwg (z = 0)exp(−2αz) (4.1)

where 2α is the coupling strength or leakage factor of the grating, Pwg (z)
denotes the power of the mode at z. The inverse of the coupling strength is
defined as the coupling length, i.e., Lc = (2α)−1 . For shallow etched grating
couplers, the coupling strength α is small, and the coupling length Lc of
the grating couplers is similar to the diameter of the mode from an optical
fibre. Therefore, a large mode overlap between the exponential mode from
the grating and the Gaussian mode of a fibre can be achieved. However,

57
4.1. Regular Fully Etched Grating Coupler

the coupling strength α of fully etched grating couplers is much larger than
that of the shallow etched ones, therefore the coupling length Lc of the fully
etched grating couplers is much smaller than that of the shallow etched ones,
which results in a larger mode mismatch between the grating and the optical
fibre.

Figure 4.2: Schematic of diffraction, reflection and penetration of a regular


fully etched grating coupler

The large penetration loss is another reason leading to the poor insertion
loss of the fully etched grating couplers. Figure 4.2 shows the diffraction,
reflection, and penetration behaviours of a fully etched grating coupler. As
the incident wave impinges on the gratings, a portion of the light is diffracted
upwards to the air and a potion of the light is scattered downward to the
substrate. At the interface of the buried oxide and the Si substrate, part
of the light scattered downward from the grating is reflected back to the
grating and the other part of the light penetrates into the substrate. At
the interface of the functional Si layer and the buried oxide, the reflected
light from the lower interface interferes with the portion of light diffracted
upwards. Depending on the phase condition, either constructive interference
or destructive interference can be obtained. Lowest insertion loss can be
achieved when constructive interference is obtained.

58
4.1. Regular Fully Etched Grating Coupler

−3

−4

−5
Insertionl Loss (dB)

−6

−7

−8

−9
Fully etched GC
Shallow etched GC
−10
1 1.5 2 2.5 3
Thickness of the buried oxide (um)

Figure 4.3: Insertion loss of grating couplers with 20 degree incident angle
for TE operation wave as function of the thickness of the buried oxide.

The phase condition between the diffracted wave, i.e., Pdiffraction , and
the reflected wave, i.e., Preflected , depends on the thickness of the buried
oxide. Figure 4.3 shows the insertion loss of grating couplers with 20 degree
incident angles for TE operation wave as function of the thickness of the
buried oxide. As we vary the thickness of the buried oxide from 1 um to 3
um, the insertion loss of the grating couplers varies as the phase conditions
changes. The standard SOI wafers normally have a 2-um thick buried oxide,
which is chosen for the shallow etched grating couplers, but not ideal for fully
etched grating couplers.
The large back reflection to the waveguide of the fully etched grating
couplers results from the Fresnels reflection. The reflection coefficient for
TE wave can be expressed as:

n1 · cos(θi ) − n2 · cos(θt )
rT E = (4.2)
n1 · cos(θi ) + n2 · cos(θt )

59
4.1. Regular Fully Etched Grating Coupler

where n1 , n2 are the refractive indices of corresponding layers. And θi , θt


are the incident angle and transmission angle, respectively. In our case, n1
equals to the refractive index of silicon, i.e., n1 = 3.47, and n2 equals to the
refractive index of cladding material. The Fresnels reflection coefficient is
as high as 0.414 in the case with silica as cladding material, which means
more than 17% of the power will be reflected back into the waveguide. The
back reflection to waveguide is even larger in the case with air as cladding
since air has a lower refractive index than silica.

4.1.2 Optimization of the Regular Fully Etched Grating


Couplers
Insertion loss, back reflection to the waveguide, and bandwidth are the most
important figures of merit for a grating coupler. The optimization of a reg-
ular fully etched grating coupler involves optimizations for different design
parameters such as incident angle, grating period, duty cycle, etc.
Two efficiencies determine the overall efficiency of the fully etched grating
couplers. The first efficiency, η1 , is defined as the ratio of the light scattered
upwards and the input power from the waveguide:

Pup
η1 = (4.3)
Pwg

where Pup denotes the portion of light scattered upwards and Pwg denotes
the input power from the waveguide. η1 is also called the directionality of
the grating coupler. Theoretically, η1 can be maximized by employing the
optimal thickness of the buried oxide [11, 47, 54]. However, the thickness of
the buried oxide is determined by the wafer type, which is not changeable.
The second efficiency, η2 , is defined as the ratio of the power coupled into
the desired mode, i.e., the fundamental mode of the fibre, and the power
scattered upward:
Pfund
η2 = (4.4)
Pup
where Pfund denotes the power coupled in the fundamental mode of the
fibre, and Pup denotes the power scattered upwards by the grating. This

60
4.1. Regular Fully Etched Grating Coupler

efficiency indicates the overlap between the exponential scattering mode


from the grating and the Gaussian mode in an optical fibre. The exponential
scattering pattern can be engineered by varying the coupling strength α of
the grating coupler, and the maximized overlap was reported to be 80% [36],
which is consistent with our simulation results shown in Fig. 4.4. The overall
coupling efficiency (insertion loss) of the grating coupler can be expressed
as the product of the two partial efficiency:

η = η1 · η2 (4.5)

−3
Directionality
−4 Insertion loss
Reflction
−5

−6

−7
power (dB)

−8

−9

−10

−11

−12

−13
1500 1520 1540 1560 1580 1600
wavelength (nm)

Figure 4.4: Directionality, insertion loss and reflection to waveguide of a


general fully-etched grating coupler

An optimal design can be found by optimizing the two partial efficiencies


η1 and η2 . The thickness of the buried oxide is determined by the wafer type,
which is 2um in our case, η1 is fixed with the given central wavelength and
incident angle. The simulation results of a fully etched grating coupler with
air cladding operated for TE mode at 1550nm have been shown in Fig. 4.4.
The blue curve denotes the directionality of the grating, the green curve

61
4.1. Regular Fully Etched Grating Coupler

Figure 4.5: Mask layout of a fully etched grating coupler test structure

denotes the insertion loss of the grating, and the red curve denotes the back
reflection to the waveguide of the grating. Due to the large refractive index
contrast between the waveguide and the grating, the back reflection of the
fully etched grating coupler is huge, which is even larger than the insertion
loss of the grating coupler.
A mask layout of a fully etched grating coupler test structure is shown
in Fig. 4.5. An input coupler and an output coupler are connected by a
u-shape silicon wire waveguide. The centre-to-centre distance between the
two grating couplers is 127 microns, which is determined by the pitch of the
fibre ribbon used in our lab. The comparison of simulation and measurement
results for such a test structure is shown in Fig. 4.6. The green curve denotes
the simulated insertion loss of the grating pair and the blue curve denotes the
measured insertion loss of the same structure. Since the simulation result
is obtained from the simulation of a single grating coupler, and the back
reflection to the waveguide is not taken into consideration, no oscillations are
shown in the simulation spectrum. Strong oscillations have been observed in
the measurement spectrum of the grating structure, which is resulting from
the large back reflection of the grating coupler. Such big ripples strongly
affect the performance of resonator structures such as ring resonators, disks,
and Bragg gratings, etc.

62
4.1. Regular Fully Etched Grating Coupler

−10
Measurement
Simulation
−15

−20
power (dB)

−15
−25
−16
power (dB)
−30 −17

−18

−35 −19
1545 1550 1555
wavelength (um)

−40
1500 1510 1520 1530 1540 1550 1560 1570 1580 1590 1600
wavelength (um)

Figure 4.6: Comparison of measurement result and simulation result of fully-


etched grating coupler

The extinction ratio of the ripples shown in the measurement spectrum


can be calculated by the transmission function of the Fabry-Perot cavity:

(1 − R)2
T = (4.6)
(1 − R)2 + 4 · R · sin2 (δ/2)

where T denotes the transmitted power of a Fabry-Perot cavity, R is the


reflectivity of the mirror, i.e., grating couplers in our case, and δ is the
round trip phase shift. The reflectivity of the grating coupler is about 20% as
shown in Fig. 4.4, so the extinction ratio of the ripples is about 2.8dB which
is consistent with our measurement results shown in the inset of Fig. 4.6.

63
4.2. Improved Fully Etched Grating Coupler

4.2 Improved Fully Etched Grating Coupler


As we have discussed in the previous section, the bottlenecks faced by the
fully etched grating couplers are the large back reflection to the waveguide
and the high insertion loss. The strong back reflection of the fully etched
grating couplers results from the large refractive index contrast between the
waveguide and the grating. The simulation results of the back reflections of
both shallow etched grating couplers and fully etched grating couplers are
shown in Fig. 4.7. The green curve denotes the normalized back reflection
of a shallow etched grating coupler designed based on a SOI with a 2-um
buried oxide, 220 nm top Si layer, and air as the cladding. The blue curve
denotes the back reflection of a fully etched grating coupler designed with
the same wafer type and cladding. The grating couplers are designed for
TE mode with a central wavelength of 1550 nm. The back reflection of the
shallow etched grating coupler at the designed central wavelength is much
smaller than that of the fully etched grating coupler and a difference of more
than 20 dB has been observed.
0

−5

−10
power (dB)

−15 full−etch
shallow−etch
−20

−25

−30

−35
1400 1450 1500 1550 1600 1650 1700
wavelength (nm)

Figure 4.7: Comparison of back reflections between shallow-etched grating


coupler and fully-etched grating coupler

64
4.2. Improved Fully Etched Grating Coupler

Different approaches have been employed to tackle the issues of large


back reflection and high insertion loss of fully etched grating couplers. Pho-
tonic crystal structures have been implemented in fully etched grating cou-
plers to achieve low insertion loss [27]. However, customized wafers have
been used to meet the requirement of the optimized design, which cannot
be applied to the general cases with standard SOI wafers. Sub-wavelength
structures [13, 14] have been used to reduce the back reflection and improve
the insertion loss of the fully etched grating coupler at the same time. How-
ever, the reported designs are only applied for TM mode wave. In addition,
all of the reported grating couplers are one-dimensional periodic structures
and adiabatic tapers on the order of a few hundred micrometers are required
to convert the optical mode from the grating to the waveguide, which waste
a lot of precious space on the chip.
In this section, we will demonstrate a fully etched grating coupler for TE
mode wave operated at 1550 nm with reduced back reflection and improved
coupling efficiency. The proposed design is based on a SOI wafer with a
2um buried oxide and 220 nm top Si layer. The proposed design also has
the potential to be converted to a compact structure with curved gratings,
which has a much smaller footprint.

4.2.1 Design Approach


In order to reduce the back reflection of the fully etched grating couplers,
we proposed a fully etched grating with effective index areas consisting of
air gaps and minor sub-wavelength gratings (show in Fig. 4.8). The major
(wider) gratings determine the period and duty cycle of the grating cou-
pler and the minor sub-wavelength gratings are employed to mitigate the
refractive index contrast between the major gratings and the original air
gaps. Those minor gratings are easier to be fabricated than the holes or
rectangles [13, 14, 27]. Another advantage of the proposed design is the po-
tential to be converted to compact structures with curved gratings. Based
on the ideal mentioned in [6], one-dimensional gratings can be converted
to more compact strcutures with curved gratings, which enables a much

65
4.2. Improved Fully Etched Grating Coupler

smaller footprint.

Figure 4.8: Schematic of a fully etched grating coupler with sub-wavelength


gratings

According to the effective medium theory (EMT), zeroth-order approxi-


mation can be applied to sub-wavelength structures with period-to-wavelength
ratio, defined as R = neff · Λ/λ, much smaller than 1:

1 ff (1 − f f ) 1/2
=[ 2 + ] (4.7)
(0)
nT E nL n2si

where n(0)T E denotes the refractive index of the approximated grating region,
nL denotes the refractive index of the effective index area with minor sub-
wavelength gratings, nsi denotes the refractive index of the major grating,
and f f is defined as the fill factor of the grating. Second-order EMT can
be used as a more accurate approximation to explore structures with lateral
feature size of the same order as the wavelength in the medium [38]:

(0) (0)
(2) (0) π2 2 2 n n
nT E = nT E [1 + R f f (1 − f f )2 (n2L − n2si )2 · ( T M )2 ( T E )4 ]1/2 (4.8)
3 neff nL nsi

66
4.2. Improved Fully Etched Grating Coupler

where neff denotes the effective index of the TE mode in the grating region.
0

−5

−10
power (dB)

−15

−20
Directionality
InsertionLoss−New
−25 ReflectionToWG
InsertionLoss−old
ReflectionToWG−old
−30
1400 1450 1500 1550 1600 1650 1700
wavelength (nm)

Figure 4.9: Comparison of regular fully etched grating coupler and the fully
etched grating coupler with minor sub-wavelength gratings.

4.2.2 Simulation Results


FDTD method has been used to simulate and optimize the grating structure.
The simulations were based on the SOI wafer we used for fabrication, with a
2 um buried oxide and 220 nm top Si layer. Silicon oxide was employed as
the cladding for protection. Particle Swarm Optimization (PSO), which is an
optimization algorithm for electromagnetic optimization problems [39, 61],
has been used to optimize the grating design. Design parameters, i.e., grat-
ing period, duty cycle, and the width of the minor gratings were optimized
to achieve small back reflection as well as low insertion loss. The optimized
design has a period of 625 nm, a duty cycle of 190nm, and sub-wavelength
gratings with a width of 50 nm.
Figure 4.9 shows the simulation results of a regular fully etched grating
coupler and the fully etched grating coupler with minor sub-wavelength

67
4.2. Improved Fully Etched Grating Coupler

gratings. The dash lines denote the insertion loss and the back reflection
of the regular fully etched grating coupler, and the solid lines denote the
directionality, insertion loss, and the back reflection of the proposed grating
coupler. More than 64% (-1.9 dB) of the input power from the waveguide
was diffracted upwards by the grating. However, due to the mode mismatch
between the grating coupler and the fibre, only 45% (-3.47 dB) of light from
the waveguide was coupled in the fundamental mode of fibre. The back
reflection of the grating coupler is reduced from -6 dB to about -18 dB,
which is similar to that of a shallow etched grating coupler.

4.2.3 Measurement Results

−10

−15

−20
power (dB)

−25

−30
SubGC
−35 General FGC

−40
1520 1540 1560 1580 1600
wavelength (nm)

Figure 4.10: Measurement results of the regular fully etched grating coupler
and the fully-etched grating coupler with minor sub-wavelength gratings

Test structures, consisting of an input coupler and an output coupler, of


the fully etched grating couplers with minor sub-wavelength gratings have
been fabricated through electron beam lithography at University of Wash-
ington using the JEOL JBX-6300FS E-Beam Lithography system. Test

68
4.2. Improved Fully Etched Grating Coupler

structures of regular fully etched grating couplers have also been fabri-
cated for the comparison purpose. Measurement spectra of the regular fully
etched grating coupler and the fully etched grating coupler with minor sub-
wavelength gratings are shown in Fig. 4.10. Green curve donates the results
of the regular fully etched grating coupler and the blue curve denotes the
proposed fully etched grating coupler with minor sub-wavelength gratings.
The extinction ratio of the ripples have been reduced from about 3dB to
about 0.8 dB, by implementing the minor sub-wavelength gratings. The
insertion loss of the grating coupler is also improved by about 2 dB. In ad-
dition, the bandwidth of the proposed design is largely improved, which is
nearly twice as that of the regular fully etched grating coupler.

69
Chapter 5

Vertical Grating Coupler


All of the grating couplers mentioned so far are detuned grating couplers,
which indicates the case that a small angle is employed between the incident
wave to the normal of the grating surface. The small angle is introduced
to avoid the second order Bragg reflection [15], but such a small angle is
not desirable for a low-cost packaging process [5]. In this chapter, grating
couplers for perfectly vertical coupling will be presented, and a bidirectional
grating coupler for vertical coupling will be demonstrated to improve the
insertion loss of the vertical grating couplers.

5.1 Regular Vertical Grating Couplers


The main drawback of the vertical grating coupler is the large second order
reflection to the waveguide, which can be predicted by the Bragg condition:

β · sinθ = β − m · K (5.1)

where β denotes the wave vector of the propagated mode within the grating,
θ denotes the diffraction angle, m is an integer denoting the diffraction order,
and K = λ/Λ. In the case where the first order diffraction is perfectly
coupled to the fibre, i.e., θ = 0 when m = 1, β = K, i.e., Λ=λ/neff , where
neff denotes the effective index of the grating and λ denotes the central
wavelength. In this case, the second order diffraction of the grating is in
the direction of the input waveguide, i.e., θ = −90 when m = 2. The wave
vector diagram of a vertical grating coupler is shown in Fig. 5.1.

70
5.1. Regular Vertical Grating Couplers

Figure 5.1: Diagram of wave vectors for vertical grating coupler

A vertical grating coupler is designed based on a SOI wafer with a 2 um


buried oxide and a 220 nm top Si layer. A shallow etch layer with an etch
depth of 70 nm is used and SiO2 is employed as the cladding for protection.
The designed central wavelength is 1550 nm and FDTD simulations have
been used to find the optimized design with low insertion loss and large
bandwidth around the desired wavelength. The effective index of the 220
nm Si layer is 2.83 (neff1 ), and the effective index of the shallow etched
Si layer is 2.506 (neff2 ). Therefore, the effective index of the grating can
be calculated by neff = neff1 /2 + neff2 /2, which is 2.668. Grating period
of the desired grating can be calculated from the Bragg condition with the
known effective index of the grating, which is 580 nanometers in our case.
Further optimization of design parameters such as grating period and duty
cycle have been done using FDTD methods. Figure 5.2 shows simulation
results of the insertion loss and back reflection to waveguide of an optimized
regular vertical grating coupler. The blue curve denotes the insertion loss
and the green curve denotes the back reflection to the waveguide. A valley
is shown in the spectrum of the insertion loss, which is caused by the large
back reflection.

71
5.1. Regular Vertical Grating Couplers

−5

−10
power (dB)

−15

−20

−25

−30
Insertion loss
Reflection to WG
−35
1400 1450 1500 1550 1600 1650 1700
wavelength (nm)

Figure 5.2: Insertion loss and back reflection of a regular vertical grating
coupler

Different approaches have been employed to avoid the large second order
reflection of the vertical grating couplers [4, 5, 40, 57]. Asymmetric grating
structure [40] has been used to avoid the large Bragg reflection for vertical
coupling. However, the extra etch depth required for fabrication increases
the complexity as well as the cost. Chirped gratings were also implemented
to minimize the mode mismatch between the grating and optical fibre [4, 5].
However, high fabrication accuracy is required to fabricate those chirped
grating couplers. Given the fabrication accuracy of the existing technol-
ogy, the stability and repeatability of the chirped gratings are still doubted.
Another drawback of the chided grating coupler is their small bandwidth.
The reported 3dB bandwidth of the chirped vertical grating coupler is only
about 45 nanometers. Slanted grating coupler was proposed to obtain high
coupling efficiency to a vertically positioned optical fibre [57]. However, the
technology required for fabrication of the slanted grating slit is too com-
pacted to be compatible with standard CMOS technology, and therefore
only suitable for prototyping.

72
5.2. Bidirectional Grating Coupler

5.2 Bidirectional Grating Coupler


5.2.1 Device Layout
The regular vertical grating couplers only couple light from one side of the
grating. Theoretically, the insertion loss of such vertical grating couplers
with uniform gratings can exceed 50 %. Here we introduced a bidirectional
grating coupler structure for vertical grating, which breaks the theoretical
limitation of regular vertical grating couplers. A schematic of the bidirec-
tional grating coupler is shown in Fig. 5.2. Basically, it is a symmetric
structure with the grating in the centre. Two-dimensional tapers are con-
nected to both sides of the grating to convert the mode into the waveguides.
Bending waveguides are employed to direct the light from both sides of the
grating into a 50-50 coupler. Finally, light from both sides of the grating is
combined together with a 50-50 coupler.

Figure 5.3: Schematic of bidirectional grating coupler for vertical coupling

Figure 5.4 shows the cross-section of the bidirectional vertical grating


coupler designed in a SOI wafter with a 2 um buried oxide and a 300 nm
top Si layer. A shallow etch layer (ed = 155nm) has been employed by
the design, and SiO2 is used as the cladding for protection. Λ denotes the
grating period and f f denotes the duty cycle of the grating coupler.

73
5.2. Bidirectional Grating Coupler

Figure 5.4: Cross section of a bidirectional grating coupler

5.2.2 Design and Simulation


FDTD method has been used to design and simulate the bidirectional grat-
ing coupler design. The grating period of the grating coupler can be pre-
dicted by the Bragg condition. Given that the effective index of the 300 nm
slab waveguide is 3.052 (neff1 ), and the effective index of the 145 nm slab
waveguide is 2.571 (neff2 ), the effective index of the grating (neff ) can be
obtained, i.e., neff = 0.5 ∗ neff1 + 0.5 ∗ neff2 , which is 2.812 in our case. The
desired central wavelength is 1550 nanometers, so the grating period can be
obtained from the Bragg condition, which is about 550 nm in our case.
2D FDTD simulations were used to further optimize the design. Three
parameters, i.e., the number of grating, grating period, and the duty cycle
were optimized using the Particle Swarm Optimization algorithm to achieve
a design with low insertion loss and large bandwidth. The number of grating
period determines the length of the coupling region. As the length of the
grating region increases, the directionality of the grating increases, which
means more power can be diffracted to the fibre, but extra scattering loss
will be introduced as the light propagates from the grating to the waveguide.
On the other hand, shorter grating region can reduce the scattering loss, but
the directionality of the grating will decrease. The grating period along with

74
5.2. Bidirectional Grating Coupler

−2

−4

−6

−8
power (db)

−10

−12

−14

−16

−18

−20
1400 1450 1500 1550 1600 1650 1700
wavelength (nm)

Figure 5.5: The insertion loss of the optimized bidirectional grating coupler

the duty cycle of the grating determines the central wavelength of the grating
coupler. The optimized design has a grating number of 13, a grating period
of 580 nanometers, and a duty cycle of 240 nanometers. The insertion loss
of the optimized bidirectional vertical grating couple is shown in Fig. 5.5.
The insertion loss is calculated with the assumption that the taper and Y
junction are lossless. The assumption holds because theoretically a tape
with a length of 200 micron can achieve lossless conversion from 12 um
grating to a 500 nm wire waveguide[50], and compact Y junction with low
loss has been demonstrated [42, 61]. The insertion loss of the optimized
grating coupler is only -1.5 dB, which is much smaller than of that of the
regular vertical grating coupler. The 3dB bandwidth of the bidirectional
grating coupler is more than 100 nanometers, which is more than twice of
the value reported in [5].
The optical waves in different sides of the grating behave like two arms
of a MZI. The insertion loss shown in Fig. 5.5 can be only obtained when the
incident wave from the fibre impinges in the middle of the grating coupler. In
the case when the incident wave is off from the centre of the grating, optical

75
5.2. Bidirectional Grating Coupler

−5

−10
power (dB)

−15

−20
dx=0
dx=40nm
−25
dx=80nm
dx=120nm
−30 dx=160nm

−35
1400 1450 1500 1550 1600 1650 1700
wavelength (nm)

Figure 5.6: Insertion of the bidirectional vertical grating coupler as function


of wavelength for different offset

waves from the two arms interfere with each other at the junction of the 50-50
coupler. Depending on the deviation of the incident wave, either constructive
interference or destructive interference can be obtained at the 50-50 coupler.
Figure 5.6 shows the simulation results of the bidirectional grating coupler
with various deviation values. The deviation of the input wave, i.e., dx
shown in Fig. 5.7, is defined as the distance from centre of the input fibre core
to the centre of the grating. The effective index of the channel waveguide
used in our case is about 2.4, and the central wavelength of the input wave
is 1550nm. According to the phase condition between the propagated wave
in the two arm, i.e., neff · 2dx = λ/2, the first destructive interference will
obtained be when dx = 160nm. Fig. 5.6 shows the simulation results for
various deviation values. As the deviation, dx, goes beyond 160 nm, the
insertion loss of the vertical grating coupler will be somewhere in between the
maximum value and the minimum value. From the simulation results shown
in Fig. 5.6, we note that the insertion loss of the bidirectional vertical grating
coupler is very sensitive to the position of the input fibre, which results in

76
5.2. Bidirectional Grating Coupler

Figure 5.7: Schematic of a bidirectional grating coupler with incident wave


off from the centre of the grating.

difficulties for alignment of the grating during the measurement. Highly


accurate motor controllers are required for the alignment of the bidirectional
grating couplers during the measurement.

77
Chapter 6

Discussion And Future Work


In this thesis, we mainly presented three different works:

1. A universal design methodology has been presented to design shal-


low etched grating couples, which accommodates various etch depths,
silicon thicknesses, and cladding materials.

2. A fully etched grating coupler with minor sub-wavelength gratings has


been demonstrated to improve the insertion loss, back reflection, and
the bandwidth of the regular fully etched grating coupler.

3. A bidirectional grating coupler for vertical coupling has been pre-


sented.

The point of the universal design methodology is that it simplifies the


design process of a grating coupler. The traditional way of designing a grat-
ing coupler involves brute-force simulations on various design parameters,
which requires a lot of computational memory and time. Using the universal
design method, time-consuming simulations have been replaced by simple
analytical calculations. We also implemented the design process in Pyxis
to generate the mask layout of the desired grating coupler with the input
parameters determined by the fabrication process and the designer. The
analytical calculation is implemented into the scripts so no simulation is
ever needed to design a grating coupler using our design methodology. The
performance of the grating couplers designed by this method is comparable
to the published results, but with a much simplified design flow for system
level designers. Table 6.1 shows the comparison between our measurement
results and published results. Since the performance of the grating cou-
pler is highly dependent on the wafer type and fabrication process, we only

78
Chapter 6. Discussion And Future Work

listed the published results which used similar wafer types and fabrication
processes with us. Future work can be done to add a correction coefficient
to the existing model, thereby further improving the wavelength mismatch.

Pl. IL Bandwidth Process


2006 [48] TE -5.1 dB 40nm (1dB) 220nm Si, 1um BOX
2012 [60] TE -4.4dB 45nm (1.5dB) 220nm Si, 2um BOX
Ours TE -4.8dB 45nm(3dB) 220nm Si, 2um BOX

Table 6.1: Comparison of the published results and the result of the grating
coupler generated by the universal design methodology

A fully etched grating coupler with minor sub-wavelength grating has


also been proposed to improve the performance of regular fully etched grat-
ing coupler. Due to the fully etched slots, the coupling strength of the fully
etched grating couplers is very large, which makes high-efficiency coupling
more difficult to achieve. In addition, the thickness of buried oxide of the
most commonly used SOI wafer is 2 um, which is not ideal for efficient
coupling. Large back reflection to the waveguide leads to strong oscillation
ripples in the transmission. However, the large back reflection of the fully
etched grating coupler has been successfully reduced by employing the minor
sub-wavelength gratings between the major gratings. A larger bandwidth
has also been achieved by the proposed design. Further effort can be applied
to add chirped grating period to the design, therefore the mode overlap be-
tween the grating and the optical fibre can be further improved to reduce
the insertion loss.
In addition, a bidirectional grating coupler for vertical coupling was pro-
posed to reduced the fabrication complexity and improve the insertion loss
at the same time. The published vertical grating coupler designs employed
non-standard fabrication processes such as slanted grating [57] and extra
etch depth [40], which are only suitable for prototyping. The proposed
bidirectional grating coupler only requires uniform gratings with a single
shallow etch layer, which is compatible with the current CMOS technology.
The simulated insertion loss of this optimized design is as low as -1.46 dB

79
Chapter 6. Discussion And Future Work

with a 3dB bandwidth of more than 100nm. The 3 dB bandwidth of the


bidirectional vertical grating coupler is much larger than the value reported
by other research groups. Further work can be done to design a better Y
branch with lower insertion loss.

80
Bibliography
[1] Tom Baehr-Jones, Ran Ding, Ali Ayazi, Thierry Pinguet, Matt
Streshinsky, Nick Harris, Jing Li, Li He, Mike Gould, Yi Zhang, et al.
A 25 gb/s silicon photonics platform. arXiv preprint arXiv:1203.0767,
2012.

[2] Michel Bruel, Bernard Aspar, and Andre-Jacques Auberton-Herve.


Smart-cut: a new silicon on insulator material technology based on
hydrogen implantation and wafer bonding. Japanese journal of applied
physics, 36(part 1):1636–1641, 1997.

[3] X. Chen, C. Li, C.K.Y. Fung, S.M.G. Lo, and H.K. Tsang. Apodized
waveguide grating couplers for efficient coupling to optical fibers. Pho-
tonics Technology Letters, IEEE, 22(15):1156–1158, 2010.

[4] X. Chen, C. Li, and HK Tsang. Characterization of silicon-on-insulator


waveguide chirped grating for coupling to a vertical optical fiber. In
Optical MEMs and Nanophotonics, 2008 IEEE/LEOS Internationall
Conference on, pages 56–57. IEEE, 2008.

[5] X. Chen, C. Li, and H.K. Tsang. Fabrication-tolerant waveguide


chirped grating coupler for coupling to a perfectly vertical optical fiber.
Photonics Technology Letters, IEEE, 20(23):1914–1916, 2008.

[6] Xia Chen and Hon K Tsang. Polarization-independent grating cou-


plers for silicon-on-insulator nanophotonic waveguides. Optics Letters,
36(6):796–798, 2011.

[7] K.S. Chiang. Analysis of optical fibers by the effective-index method.


Applied optics, 25(3):348–354, 1986.

81
Bibliography

[8] K.S. Chiang. Effective-index method for the analysis of optical waveg-
uide couplers and arrays: An asymptotic theory. Lightwave Technology,
Journal of, 9(1):62–72, 1991.

[9] Lukas Chrostowski and Michael Hochberg. Silicon Photonics Design.


Lulu, 2013.

[10] Fuad E Doany, Benjamin G Lee, Alexander V Rylyakov, Daniel M


Kuchta, Christopher Jahnes, Christian Baks, Frank Libsch, and Clint L
Schow. Terabit/sec 48-channel fiber-coupled optical module based on
holey cmos transceiver ic. In Electronic Components and Technology
Conference (ECTC), 2012 IEEE 62nd, pages 1499–1504. IEEE, 2012.

[11] Robert M Emmons and Dennis G Hall. Buried-oxide silicon-on-


insulator structures. ii. waveguide grating couplers. Quantum Elec-
tronics, IEEE Journal of, 28(1):164–175, 1992.

[12] Terry TH Eng, Stephen SY Sin, Sidney C Kan, and George KL Wong.
Surface-micromachined movable soi optical waveguides. In Solid-State
Sensors and Actuators, 1995 and Eurosensors IX.. Transducers’ 95.
The 8th International Conference on, volume 1, pages 348–350. IEEE,
1995.

[13] R Halir, P Cheben, JH Schmid, R Ma, D Bedard, S Janz, D-X


Xu, A Densmore, J Lapointe, and I Molina-Fernández. Continuously
apodized fiber-to-chip surface grating coupler with refractive index en-
gineered subwavelength structure. Optics letters, 35(19):3243–3245,
2010.

[14] Robert Halir, Pavel Cheben, Siegfried Janz, Dan-Xia Xu, Íñigo Molina-
Fernández, and Juan G Wangüemert-Pérez. Waveguide grating coupler
with subwavelength microstructures. Optics letters, 34(9):1408–1410,
2009.

[15] AMOS Hardy, David F Welch, and William Streifer. Analysis of second-
order gratings. Quantum Electronics, IEEE Journal of, 25(10):2096–
2105, 1989.

82
Bibliography

[16] Li He, Yanling He, Andrew Pomerene, Craig Hill, Stewart Ochel-
tree, Tom Baehr-Jones, and Michael Hochberg. Ultra-thin silicon-on-
insulator grating couplers. 2012.

[17] GB Hocker and W.K. Burns. Mode dispersion in diffused channel


waveguides by the effective index method. Applied optics, 16(1):113–
118, 1977.

[18] LumericalSolutions Inc. Fdtd solutions knowledge base, 2013.

[19] Nicolas A. F. Jaeger. Notes for eece482. 2011.

[20] B Jalali, S Yegnanarayanan, T Yoon, T Yoshimoto, I Rendina, and


F Coppinger. Advances in silicon-on-insulator optoelectronics. Selected
Topics in Quantum Electronics, IEEE Journal of, 4(6):938–947, 1998.

[21] Bahram Jalali and Sasan Fathpour. Silicon photonics. Lightwave Tech-
nology, Journal of, 24(12):4600–4615, 2006.

[22] Bahram Jalali, Varun Raghunathan, Ramesh Shori, Sasan Fathpour,


Dimitrios Dimitropoulos, and Oscar Stafsudd. Prospects for silicon
mid-ir raman lasers. Selected Topics in Quantum Electronics, IEEE
Journal of, 12(6):1618–1627, 2006.

[23] Nan M Jokerst, Martin A Brooke, Sang-Yeon Cho, Mikkel Thomas, Jef-
frey Lillie, Daeik Kim, Stephen Ralph, Karla Dennis, Benita Comeau,
and Cliff Henderson. Integrated planar lightwave bio/chem oeic sensors
on si cmos circuits. In Proc. of SPIE Vol, volume 5730, page 227, 2005.

[24] RM Knox and PP Toulios. Integrated circuits for the millimeter through
optical frequency range. In Proc. Symp. Submillimeter Waves, vol-
ume 20, pages 497–515. Polytechnic Press of Polytechnic Institute of
Brooklyn, 1970.

[25] Brian R Koch, Andrew Alduino, Ling Liao, Richard Jones, Michael
Morse, Brian Kim, Wei-Zen Lo, Juthika Basak, Hai-Feng Liu, Haisheng

83
Bibliography

Rong, et al. A 4x12. 5 gbps cwdm si photonics link using integrated hy-
brid silicon lasers. In CLEO: Science and Innovations. Optical Society
of America, 2011.

[26] Charlie Lin. Photonic device design flow: from mask layout to device
measurement. 2012.

[27] Liu Liu, Minhao Pu, Kresten Yvind, and Jørn M Hvam. High-efficiency,
large-bandwidth silicon-on-insulator grating coupler based on a fully-
etched photonic crystal structure. Applied physics letters, 96(5):051126–
051126, 2010.

[28] Luxtera. Luxtera samples world’s first 40 gigabit optical active cable,
2007.

[29] E.A.J. Marcatili. Dielectric rectangular waveguide and directional cou-


pler for integrated optics. Bell Syst. Tech. J, 48(7):2071–2102, 1969.

[30] Sharee McNab, Nikolaj Moll, and Yurii Vlasov. Ultra-low loss pho-
tonic integrated circuit with membrane-type photonic crystal waveg-
uides. Optics Express, 11(22):2927–2939, 2003.

[31] Attila Mekis, Sherif Abdalla, Peter M De Dobbelaere, Dennis Foltz,


Steffen Gloeckner, Steven Hovey, Steven Jackson, Yi Liang, Michael
Mack, Gianlorenzo Masini, et al. Scaling cmos photonics transceivers
beyond 100 gb/s. In SPIE OPTO, pages 82650A–82650A. International
Society for Optics and Photonics, 2012.

[32] Attila Mekis, Steffen Gloeckner, Gianlorenzo Masini, Adithyaram


Narasimha, Thierry Pinguet, Subal Sahni, and Peter De Dobbelaere.
A grating-coupler-enabled cmos photonics platform. Selected Topics in
Quantum Electronics, IEEE Journal of, 17(3):597–608, 2011.

[33] David AB Miller. Physical reasons for optical interconnection. Inter-


national Journal of Optoelectronics, 11(3):155–168, 1997.

[34] David AB Miller. Optical interconnects to silicon. Selected Topics in


Quantum Electronics, IEEE Journal of, 6(6):1312–1317, 2000.

84
Bibliography

[35] N. Na, H. Frish, I.W. Hsieh, O. Harel, R. George, A. Barkai, and


H. Rong. Efficient broadband silicon-on-insulator grating coupler with
low backreflection. Optics letters, 36(11):2101–2103, 2011.

[36] Régis Orobtchouk, Abdelhalim Layadi, Hamid Gualous, Daniel Pas-


cal, Alain Koster, and Suzanne Laval. High-efficiency light coupling
in a submicrometric silicon-on-insulator waveguide. Applied optics,
39(31):5773–5777, 2000.

[37] M Paniccia and R Won. Integrating silicon photonics. Nat. Photonics4


(8), pages 498–499, 2010.

[38] Daniel H Raguin and G Michael Morris. Analysis of antireflection-


structured surfaces with continuous one-dimensional surface profiles.
Applied optics, 32(14):2582–2598, 1993.

[39] Jacob Robinson and Yahya Rahmat-Samii. Particle swarm optimization


in electromagnetics. Antennas and Propagation, IEEE Transactions on,
52(2):397–407, 2004.

[40] G. Roelkens, D.V. Thourhout, and R. Baets. High efficiency grating


coupler between silicon-on-insulator waveguides and perfectly vertical
optical fibers. Optics letters, 32(11):1495–1497, 2007.

[41] G. Roelkens, D. Vermeulen, S. Selvaraja, R. Halir, W. Bogaerts, and


D. Van Thourhout. Grating-based optical fiber interfaces for silicon-
on-insulator photonic integrated circuits. Selected Topics in Quantum
Electronics, IEEE Journal of, (99):1–10, 2011.

[42] Atsushi Sakai, Tatsuhiko Fukazawa, and BABA Toshihiko. Low loss
ultra-small branches in a silicon photonic wire waveguide. IEICE trans-
actions on electronics, 85(4):1033–1038, 2002.

[43] B Schuppert, J Schmidtchen, and K Petermann. Optical channel waveg-


uides in silicon diffused from gesi alloy. Electronics Letters, 25(22):1500–
1502, 1989.

85
Bibliography

[44] Wei Shi, Han Yun, Charlie Lin, Mark Greenburg, Xu Wang, Yun
Wang, Sahba Talebi Fard, Jonas Flueckiger, Nicolas A. F. Jaeger, and
Lukas Chrostowski. Ultra-compact, flat-top demultiplexer using anti-
reflection contra-directional couplers for cwdm networks on silicon. Op-
tics Express, 2013.

[45] Wei Shi, Han Yun, Wen Zhang, Charlie Lin, Ting Kai Chang, Yun
Wang, Nicolas AF Jaeger, and Lukas Chrostowski. Ultra-compact,
high-q silicon microdisk reflectors. Optics Express, 20(20):21840–21846,
2012.

[46] Richard Soref and J Larenzo. All-silicon active and passive guided-
wave components for λ= 1.3 and 1.6 µm. Quantum Electronics, IEEE
Journal of, 22(6):873–879, 1986.

[47] Toshiaki Suhara and Hiroshi Nishihara. Integrated optics components


and devices using periodic structures. Quantum Electronics, IEEE
Journal of, 22(6):845–867, 1986.

[48] D. Taillaert, F. Van Laere, M. Ayre, W. Bogaerts, D. Van Thourhout,


P. Bienstman, and R. Baets. Grating couplers for coupling between
optical fibers and nanophotonic waveguides. Jpn. J. Appl. Phys,
45(8A):6071–6077, 2006.

[49] Dirk Taillaert. Grating couplers as interface between optical fibres and
nanophotonic waveguides, 2005.

[50] Dirk Taillaert, Peter Bienstman, and Roel Baets. Compact efficient
broadband grating coupler for silicon-on-insulator waveguides. Optics
letters, 29(23):2749–2751, 2004.

[51] PD Trinh, S Yegnanarayanan, F Coppinger, and B Jalali. Silicon-


on-insulator (soi) phased-array wavelength multi/demultiplexer with
extremely low-polarization sensitivity. Photonics Technology Letters,
IEEE, 9(7):940–942, 1997.

86
Bibliography

[52] PD Trinh, S Yegnanarayanan, and B Jalali. Integrated optical direc-


tional couplers in silicon-on-insulator. Electronics Letters, 31(24):2097–
2098, 1995.

[53] PD Trinh, S Yegnanarayanan, and B Jalali. 5 x 9 integrated optical


star coupler in silicon-on-insulator technology. Photonics Technology
Letters, IEEE, 8(6):794–796, 1996.

[54] Frederik Van Laere, Günther Roelkens, Melanie Ayre, Jonathan


Schrauwen, Dirk Taillaert, Dries Van Thourhout, Thomas F Krauss,
and Roel Baets. Compact and highly efficient grating couplers be-
tween optical fiber and nanophotonic waveguides. Lightwave Technol-
ogy, Journal of, 25(1):151–156, 2007.

[55] Diedrik Vermeulen, Yannick De Koninck, Yanlu Li, Emmanuel Lam-


bert, Wim Bogaerts, Roel Baets, and Günther Roelkens. Reflectionless
grating coupling for silicon-on-insulator integrated circuits. In Group IV
Photonics (GFP), 2011 8th IEEE International Conference on, pages
74–76. IEEE, 2011.

[56] Diedrik Vermeulen, S Selvaraja, Pl Verheyen, G Lepage, W Bogaerts,


P Absil, D Van Thourhout, and G Roelkens. High-efficiency fiber-
to-chip grating couplers realized using an advanced cmos-compatible
silicon-on-insulator platform. Optics express, 18(17):18278–18283, 2010.

[57] B. Wang, J. Jiang, and G.P. Nordin. Embedded slanted grating for
vertical coupling between fibers and silicon-on-insulator planar waveg-
uides. Photonics Technology Letters, IEEE, 17(9):1884–1886, 2005.

[58] Xiaochuan Xu, Harish Subbaraman, John Covey, David Kwong, Amir
Hosseini, and Ray T.Chen. Cmos compatible subwavelength grating
couplers for silicon integrated photonics. Photonics Conference, 2012.

[59] Kane Yee. Numerical solution of initial boundary value problems involv-
ing maxwell’s equations in isotropic media. Antennas and Propagation,
IEEE Transactions on, 14(3):302–307, 1966.

87
[60] Yi Zhang, Tom Baehr-Jones, Ran Ding, Thierry Pinguet, Zhe Xuan,
and Michael Hochberg. Silicon multi-project wafer platforms for op-
toelectronic system integration. In Group IV Photonics (GFP), 2012
IEEE 9th International Conference on, pages 63–65. IEEE, 2012.

[61] Yi Zhang, Shuyu Yang, Andy Eu-Jin Lim, Guo-Qiang Lo, Christophe
Galland, Tom Baehr-Jones, and Michael Hochberg. A compact and
low loss y-junction for submicron silicon waveguide. Optics Express,
21(1):1310–1316, 2013.

[62] CZ Zhao, GZ Li, EK Liu, Y Gao, and XD Liu. Silicon on insulator


mach–zehnder waveguide interferometers operating at 1.3 µm. Applied
physics letters, 67(17):2448–2449, 1995.

88
Appendix A

FDTD code to generate


universal grating coupler
model
## Universal Grating Coupler Based on EIM and Bragg Condition
## definition of variables:
## period: grating pitch;
## duty_cycle: the unetched part of a grating in the longitudinal direction;
## fill factor: the ratio of duty_cycle and period;
## etch depth: the length of the etched part of the grating in the vertical
direction;
## neff: effective index of the grating region;
## ne1: effective index of the unetched region;
## ne2: effective index of the etched region;
## Si_thickness: the thickenss of the unetched silicon;

##Initialization;
Si_thickness=d1=0.22e-6;
etch_depth=0.075e-6;
theta=20;
n_c=1.44;
lambda=1.58e-6;
switchtolayout;
redrawoff;
selectall;

89
Appendix A. FDTD code to generate universal grating coupler model

delete;

# draw silicon substrate;


addrect;
set(’name’,’Si_sub’);
set(’material’,’Si (Silicon) - Palik’);
set(’x’,0);
set(’x span’, 40e-6);
set(’y’,-3e-6);
set(’y span’,2e-6);

#draw burried oxide;


addrect;
set(’name’,’BOX’);
set(’material’,’SiO2 (Glass) - Palik’);
set(’x’,0);
set(’x span’, 40e-6);
set(’y’,-1e-6);
set(’y span’,2e-6);
set(’override mesh order from material database’,true);
set(’mesh order’,3);
set(’alpha’,0.3);

#draw waveguide;
addrect;
set(’name’,’WG’);
set(’material’,’Si (Silicon) - Palik’);
set(’x min’,0);
set(’x max’, 20e-6);
set(’y’,0.11e-6);
set(’y span’,0.22e-6);

90
Appendix A. FDTD code to generate universal grating coupler model

# add simulation region;


addfdtd;
set(’x max’,5e-6);
set(’x min’,-14e-6);
set(’y min’,-2.3e-6);
set(’y max’,1e-6);
if(n_c>1)
{
select(’BOX’);
set(’y max’,1.93e-6);

select(’FDTD’);
set(’y max’,3e-6);
}

## calculating neff for fundamental TE mode in fully etched WG;


addmode;
set(’name’,’mode’);
set(’x’,2e-6);
set(’y’,0.5*d1);
set(’y span’,1e-6);
set(’direction’,’Backward’);
set(’center wavelength’,lambda);
set(’wavelength span’,0.3e-6);
set(’mode selection’,’fundamental mode’);
select(’WG’);
set(’y’,0.5*d1);
set(’y span’,d1);
select("mode");
updatesourcemode;
neff1=getresult(’mode’,’neff’);
ne1=neff1.neff;

91
Appendix A. FDTD code to generate universal grating coupler model

# calculating neff for fundamental TE mode in shallow etched WG;


select(’WG’);
set(’y’,0.5*(d1-etch_depth));
set(’y span’,(d1-etch_depth));
select("mode");
set(’y’,0.5*(d1-etch_depth));
clearsourcedata;
updatesourcemode;
neff2=getresult(’mode’,’neff’);
ne2=neff2.neff;

# calculating neff assuming ff is 50%;


neff=0.5*(ne1+ne2);
?neff;

# change WG strcture to original thickness;


select(’WG’);
set(’y’,0.11e-6);
set(’y span’,0.22e-6);

select(’mode’);
set(’y’,0.11e-6);

## calculate the period of the grating based on neff;


period=lambda/(neff-sin((theta/180)*pi));
?period;
duty_cycle=0.5*period;

## draw uniform GC
addrect;
set(’name’,’GC_base’);
set(’x max’,0);
set(’material’,’Si (Silicon) - Palik’);

92
Appendix A. FDTD code to generate universal grating coupler model

set(’x min’, -20e-6);


set(’y min’,0);
set(’y max’,d1-etch_depth);
for (i=0:40)
{
addrect;
set(’name’,’GC_tooth’);
set(’x min’,-period-i*period);
set(’x max’,-duty_cycle-i*period);
set(’y’,0.5*d1);
set(’y span’,d1);
}
selectpartial(’tooth’);
set(’material’,’Si (Silicon) - Palik’);
selectpartial(’GC’);
addtogroup(’GC’);

## add Gaussian mode


select(’mode’);
set(’enabled’,’false’);
addgaussian;
set(’name’,’gaussian’);
set(’x’,-4.5e-6);
set(’x span’, 16e-6);
set(’direction’,’Backward’);
set(’polarization angle’,90);
set(’angle theta’,theta);
set(’center wavelength’,lambda);
set(’wavelength span’,0.1e-6);
set(’waist radius w0’,4.5e-6);
set(’distance from waist’,10e-6);
if (n_c>1)
{

93
Appendix A. FDTD code to generate universal grating coupler model

set(’y’,2.5e-6);
}
else
{
set(’y’,0.5e-6);
}

## add monitor;
addpower;
set(’name’,’r’);
set(’monitor type’,’Linear Y’);
set(’x’,3e-6);
set(’y’,0.5*d1);
set(’y span’,1e-6);

addpower;
set(’name’,’u’);
set(’monitor type’,’Linear X’);
set(’x’,-4.5e-6);
set(’x span’,20e-6);
if(n_c>1)
{
set(’y’,2.8e-6);
}
else
{
set(’y’,0.8e-6);
}

addpower;
set(’name’,’d’);
set(’monitor type’,’Linear X’);
set(’x’,-4.5e-6);

94
Appendix A. FDTD code to generate universal grating coupler model

set(’x span’,20e-6);
set(’y’,-2.1e-6);
run;
switchtolayout;
redrawoff;
selectall;
delete;

# draw silicon substrate;


addrect;
set(’name’,’Si_sub’);
set(’material’,’Si (Silicon) - Palik’);
set(’x’,0);
set(’x span’, 40e-6);
set(’y’,-3e-6);
set(’y span’,2e-6);

#draw burried oxide;


addrect;
set(’name’,’BOX’);
set(’material’,’SiO2 (Glass) - Palik’);
set(’x’,0);
set(’x span’, 40e-6);
set(’y’,-1e-6);
set(’y span’,2e-6);
set(’override mesh order from material database’,true);
set(’mesh order’,3);
set(’alpha’,0.3);

#draw waveguide;
addrect;
set(’name’,’WG’);
set(’material’,’Si (Silicon) - Palik’);

95
Appendix A. FDTD code to generate universal grating coupler model

set(’x min’,0);
set(’x max’, 20e-6);
set(’y’,0.11e-6);
set(’y span’,0.22e-6);

# add simulation region;


addfdtd;
set(’x max’,5e-6);
set(’x min’,-14e-6);
set(’y min’,-2.3e-6);
set(’y max’,1e-6);
if(n_c>1)
{
select(’BOX’);
set(’y max’,1.93e-6);
select(’FDTD’);
set(’y max’,3e-6);
}

## calculating neff for fundamental TE mode in fully etched WG;


addmode;
set(’name’,’mode’);
set(’x’,2e-6);
set(’y’,0.5*d1);
set(’y span’,1e-6);
set(’direction’,’Backward’);
set(’center wavelength’,lambda);
set(’wavelength span’,0.3e-6);
set(’mode selection’,’fundamental TE mode’);
select(’WG’);
set(’y’,0.5*d1);
set(’y span’,d1);
select("mode");

96
Appendix A. FDTD code to generate universal grating coupler model

updatesourcemode;
neff1=getresult(’mode’,’neff’);
ne1=neff1.neff;

# calculating neff for fundamental TE mode in shallow etched WG;


select(’WG’);
set(’y’,0.5*(d1-etch_depth));
set(’y span’,(d1-etch_depth));
select("mode");
set(’y’,0.5*(d1-etch_depth));
clearsourcedata;
updatesourcemode;
neff2=getresult(’mode’,’neff’);
ne2=neff2.neff;

# calculating neff assuming ff is 50%;


neff=0.5*(ne1+ne2);
?neff;

# change WG strcture to original thickness;


select(’WG’);
set(’y’,0.11e-6);
set(’y span’,0.22e-6);
select(’mode’);
set(’y’,0.11e-6);

## calculate the period of the grating based on neff;


period=lambda/(neff-sin((theta/180)*pi));
?period;
duty_cycle=0.4*period;
## draw uniform GC
addrect;
set(’name’,’GC_base’);

97
Appendix A. FDTD code to generate universal grating coupler model

set(’x max’,0);
set(’material’,’Si (Silicon) - Palik’);
set(’x min’, -20e-6);
set(’y min’,0);
set(’y max’,d1-etch_depth);
for (i=0:40)
{
addrect;
set(’name’,’GC_tooth’);
set(’x min’,-period-i*period);
set(’x max’,-duty_cycle-i*period);
set(’y’,0.5*d1);
set(’y span’,d1);
}
selectpartial(’tooth’);
set(’material’,’Si (Silicon) - Palik’);
selectpartial(’GC’);
addtogroup(’GC’);

## add Gaussian mode


select(’mode’);
set(’enabled’,’false’);
addgaussian;
set(’name’,’gaussian’);
set(’x’,-4.5e-6);
set(’x span’, 16e-6);
set(’direction’,’Backward’);
set(’polarization angle’,0);
set(’angle theta’,theta);
set(’center wavelength’,lambda);
set(’wavelength span’,0.3e-6);
set(’waist radius w0’,4.5e-6);
set(’distance from waist’,10e-6);

98
Appendix A. FDTD code to generate universal grating coupler model

if (n_c>1)
{
set(’y’,2.5e-6);
}
else
{
set(’y’,0.5e-6);
}

## add monitor;
addpower;
set(’name’,’r’);
set(’monitor type’,’Linear Y’);
set(’x’,3e-6);
set(’y’,0.5*d1);
set(’y span’,1e-6);
addpower;
set(’name’,’u’);
set(’monitor type’,’Linear X’);
set(’x’,-4.5e-6);
set(’x span’,20e-6);
if(n_c>1)
{
set(’y’,2.8e-6);
}
else
{
set(’y’,0.8e-6);
}
addpower;
set(’name’,’d’);
set(’monitor type’,’Linear X’);
set(’x’,-4.5e-6);

99
Appendix A. FDTD code to generate universal grating coupler model

set(’x span’,20e-6);
set(’y’,-2.1e-6);
run;

100
Appendix B

Pyxis code for universal


grating coupler design
function EBeam_UGC_double()
{
local device = $get_device_iobj();
local wl = $get_property_value(device,"wl");
local etch_depth = $get_property_value(device,"etch_depth");
local Si_thickness = $get_property_value(device,"Si_thickness");
local incident_angle = $get_property_value(device,"incident_angle");
local wg_width = $get_property_value(device,"wg_width");
local n_cladding = $get_property_value(device,"n_cladding");
local pl = $get_property_value(device,"pl");
build_EBeam_UGC_double(wl,etch_depth,Si_thickness,incident_angle,
wg_width,n_cladding,pl);
}
function build_EBeam_UGC_double( wl:number {default=1.55},
etch_depth:number {default=0.07}, Si_thickness:number {default=0.22},
incident_angle:number {default=20},wg_width:number {default=0.5},
n_cladding:number{default=1.44},pl:number {default=1})
{

//Save Original user settings


local selectable_types_orig = $get_selectable_types();
local selectable_layers_orig = $get_selectable_layers();
local autoselect_orig = $get_autoselect();

101
Appendix B. Pyxis code for universal grating coupler design

//Set up selection settings


$set_selectable_types(@replace, [@shape, @path, @pin, @overflow,@row,
@property_text, @instance, @array, @device, @via_object, @text, @region,
@bisector, @channel, @slice], @both);
$set_selectable_layers(@replace, ["0-4096"]);
$set_autoselect(@true);

// calculate the neff of the grating region


// local for neff calculation

local point=1001;
local ii=0;
local jj=0;
local kk=0;
local mm=0;
local nn=0;
local n0=n_cladding;
local n1 = 0;
local n2 = 3.473;
local n3 = 1.444;
local delta=n0-n3;
local t = Si_thickness;
local t_slot=t-etch_depth;
local k0 = 2*3.14159/wl;
local b0 = $create_vector(point-1);
local te0 = $create_vector(point-1);
local te1 = $create_vector(point-1);
local tm0 = $create_vector(point-1);
local tm1 = $create_vector(point-1);
local h0 = $create_vector(point-1);
local q0 = $create_vector(point-1);
local p0 = $create_vector(point-1);

102
Appendix B. Pyxis code for universal grating coupler design

local qbar0 = $create_vector(point-1);


local pbar0 = $create_vector(point-1);
local mini_TE=0;
local index_TE=0;
local mini_TE1=0;
local index_TE1=0;
local mini_TM=0;
local index_TM=0;
local mini_TM1=0;
local index_TM1=0;
local nTE=0;
local nTE1=0;
local nTM=0;
local nTM1=0;
local ne=0;

//...// calculating neff for 0.22 silicon layer

if( delta<0)
{
n1=n3;
}
else
{
n1=n0;
}

for(ii=0;ii<point-1;ii=ii+1)
{
b0[ii]= n1*k0+(n2-n1)*k0/(point-10)*ii;
}

for(jj=0;jj<point-1;jj=jj+1)

103
Appendix B. Pyxis code for universal grating coupler design

{
h0[jj] = sqrt( abs(pow(n2*k0,2) - pow(b0[jj],2)));
q0[jj] = sqrt( abs(pow(b0[jj],2) - pow(n0*k0,2)));
p0[jj] = sqrt( abs(pow(b0[jj],2) - pow(n3*k0,2)));
}

for(kk=0;kk<point-1;kk=kk+1)
{
pbar0[kk] = pow(n2/n3,2)*p0[kk];
qbar0[kk] = pow(n2/n0,2)*q0[kk];
}

//calculating neff for TE mode

if (pl==1)
{
for (nn=0;nn<point-1;nn=nn+1)
{
te0[nn] = tan( h0[nn]*t )-(p0[nn]+q0[nn])/h0[nn]/
(1-p0[nn]*q0[nn]/pow(h0[nn],2));
te1[nn] = tan( h0[nn]*t_slot )-(p0[nn]+q0[nn])/h0[nn]/
(1-p0[nn]*q0[nn]/pow(h0[nn],2));
}

local abs_te0=abs(te0);
local abs_te1=abs(te1);
mini_TE=$vector_min(abs_te0);
mini_TE1=$vector_min(abs_te1);
index_TE=$vector_search(mini_TE,abs(te0),0);
index_TE1=$vector_search(mini_TE1,abs(te1),0);
nTE=b0[index_TE]/k0;
nTE1=b0[index_TE1]/k0;

104
Appendix B. Pyxis code for universal grating coupler design

do
{
abs_te0[index_TE]=100;
mini_TE=$vector_min(abs_te0);
index_TE=$vector_search(mini_TE,abs(te0),0);
nTE=b0[index_TE]/k0;
}
while ( nTE<2 || nTE>3);

// $message($format("%4.5f",nTE));

do
{
abs_te1[index_TE1]=100;
mini_TE1=$vector_min(abs_te1);
index_TE1=$vector_search(mini_TE1,abs(te1),0);
nTE1=b0[index_TE1]/k0;
}
while ( nTE1<2 || nTE1>3);

// $message($format("%4.5f",nTE1));

ne=0.55*nTE+0.45*nTE1;
$message($format("%4.5f",ne));
}

//calculating neff for TM mode


else
{
for (mm=0;mm<point-1;mm=mm+1)
{
tm0[mm] = tan(h0[mm]*t)-h0[mm]*(pbar0[mm]+qbar0[mm])/
(pow(h0[mm],2)- pbar0[mm]*qbar0[mm]);

105
Appendix B. Pyxis code for universal grating coupler design

tm1[mm] = tan(h0[mm]*t_slot)-h0[mm]*(pbar0[mm]+qbar0[mm])/
(pow(h0[mm],2)-pbar0[mm]*qbar0[mm]);

}
local abs_tm0=abs(tm0);
local abs_tm1=abs(tm1);
mini_TM=$vector_min(abs(tm0));
mini_TM1=$vector_min(abs(tm1));
index_TM=$vector_search(mini_TM,abs(tm0),0);
index_TM1=$vector_search(mini_TM1,abs(tm1),0);
nTM=b0[index_TM]/k0;
nTM1=b0[index_TM1]/k0;

do
{
abs_tm0[index_TM]=100;
mini_TM=$vector_min(abs_tm0);
index_TM=$vector_search(mini_TM,abs(tm0),0);
nTM=b0[index_TM]/k0;
}
while ( nTM<1.5 || nTM>3);

// $message($format("\%4.5f",nTM));

do
{
abs_tm1[index_TM1]=100;
mini_TM1=$vector_min(abs_tm1);
index_TM1=$vector_search(mini_TM1,abs(tm1),0);
nTM1=b0[index_TM1]/k0;
}
while ( nTM1<1.5 || nTM1>3);

106
Appendix B. Pyxis code for universal grating coupler design

// $message($format("%4.5f",nTM1));

ne=0.45*nTM+0.55*nTM1;
$message($format("%4.5f",ne));
}

//...// end of calculating neff

// Start of grating drawing


local period=-1*wl/(sin(rad(incident_angle))*1-ne);
local duty_cycle=0;

$message($format("%4.5f",period));
if (pl==1)
{
duty_cycle=period*0.5;
$message($format("%4.5f",duty_cycle));
}
else
{
duty_cycle=period*0.45;
$message($format("%4.5f",duty_cycle));
}

local segnum=75; //segment number of one grating curve


local seg_points = segnum+1;
local arc_vec = $create_vector(2*seg_points+2);
local i = 0;
local j = 0;
local x_r=0;
local y_r=0;
local x_l=0;
local y_l=0;

107
Appendix B. Pyxis code for universal grating coupler design

local m1_x=0;
local m1_y=0;
local m2_x=0;
local m2_y=0;
local nf=1.44;
local e=nf*sin(rad(incident_angle))/ne;
local gc_number=$round(21/period);
local angle_e=62;
local N=$round(18*(1+e)*ne/wl)+1;
local gap=period-duty_cycle;

for(j=0;j<gc_number;j=j+1)
{
for(i=0;i<seg_points;i=i+1)
{
x_r=(N*wl/(ne*(1-e*cos(rad(180-angle_e/2+angle_e/segnum*i))))+
j*period)*cos(rad(180-angle_e/2+angle_e/segnum*i));
y_r=(N*wl/(ne*(1-e*cos(rad(180-angle_e/2+angle_e/segnum*i))))+
j*period)*sin(rad(180-angle_e/2+angle_e/segnum*i));
arc_vec[i] = [x_r,y_r];

m1_x=(N*wl/(ne*(1-e*cos(rad(180+angle_e/2))))+
duty_cycle/2+j*period)*cos(rad(180+angle_e/2));
m1_y=(N*wl/(ne*(1-e*cos(rad(180+angle_e/2))))+
duty_cycle/2+j*period)*sin(rad(180+angle_e/2))-0.1;
arc_vec[seg_points] = [m1_x,m1_y];

x_l=(N*wl/(ne*(1-e*cos(rad(180+angle_e/2-angle_e/segnum*i))))+
gap+j*period)*cos(rad(180+angle_e/2-angle_e/segnum*i));
y_l=(N*wl/(ne*(1-e*cos(rad(180+angle_e/2-angle_e/segnum*i))))+
gap+j*period)*sin(rad(180+angle_e/2-angle_e/segnum*i));
arc_vec[seg_points+i+1] = [x_l,y_l];

108
Appendix B. Pyxis code for universal grating coupler design

m2_x=(N*wl/(ne*(1-e*cos(rad(180+angle_e/2-angle_e/segnum*i))))+
gap/2+j*period)*cos(rad(180+angle_e/2-angle_e/segnum*i));
m2_y=(N*wl/(ne*(1-e*cos(rad(180+angle_e/2-angle_e/segnum*i))))+
gap/2+j*period)*sin(rad(180+angle_e/2-angle_e/segnum*i))+0.1;
arc_vec[2*seg_points+1] = [m2_x,m2_y];
}

angle_e = angle_e-0.7;
$add_shape(arc_vec,’SiEtch1’);

$add_shape([[-40,13.5],[0,1/2*wg_width],[0,-1/2*wg_width],[-40,-13.5]],’Si’);
//$unselect_all(@nofilter);
$add_shape([[-1, -wg_width/2], [0, wg_width/2]], "Si", @internal);

//Restore original user settings


$set_selectable_types(@replace, (selectable_types_orig[0]==void)?
[]:selectable_types_orig[0],selectable_types_orig[1]);
$set_selectable_layers(@replace, selectable_layers_orig);
$set_autoselect(autoselect_orig);

function EBeam_UGC_double_parameters( layer:optional number {default=1},


wl:optional number {default=1.55}, etch_depth:optional number {default=0.07},
Si_thickness:optional number {default=0.22},incident_angle:optional number
{default=20},wg_width:optional number {default=0.5},n_cladding:optional number
{default=1.44},pl:optional number {default=1})
{ return [ ["wl",$g(wl)],["etch_depth",$g(etch_depth)],["Si_thickness",
$g(Si_thickness)],["incident_angle",$g(incident_angle)],
["wg_width",$g(wg_width)],["n_cladding",$g(n_cladding)],["pl",$g(pl)] ]; }

109

You might also like