You are on page 1of 35

Volume 3, 2021 3DInCites.

com

YE ARBOOK

Page 22 The People Page 50 COVID-19 Stories Page 56 The Year


Who Make DBI Possible from the Community in Pictures
CONTENTS
4 ...............Contributing Authors

7 ...............Editorial - Looking Back on 2020, and Moving Forward into 2021

DIE-TO-WAFER (D2W) 22



ON THE COVER
...............The People Who Make DBI Possible
Françoise von Trapp has a conversation with the Xperi Hybrid Bonding Team

BONDING SOLUTIONS 8
VIEWPOINTS:
...............Solutions for Glass-based Packaging are Here

14
By Aric Shorey, Mosaic Microsystems

...............The Future is Heterogeneous Integration

18
By William Chen, Ph. D, ASE Group

...............3D: The El Dorado of Heterogeneous Integration

21
By Severine Cheramy, CEA-Leti
Fusion and hybrid bonding for next-generation
heterogeneous integration ...............The Big Squeeze – Why OSATs Need to Work Smarter

28
By Danielle Baptiste, Onto Innovation

Collective D2W bonding enabled by extensive ...............Rising from the Ashes: StratEdge Corporation’s Recent Rocky History

30
By Casey Krawiec, StratEdge Corporation
knowledge in carrier preparation and die handling
...............The Importance of Sustainability in Semiconductor Manufacturing

32
By Dean Freeman, FTMA
Direct placement D2W activation and cleaning
complete solution with EVG®320 D2W ...............Fan Out Panel Level Packaging Takes Off

36
By Ralph Zoberbier, Roland Rettenmeier, Allan Jaunzens, Evatec

Production-ready equipment solutions for ...............Die-to-Wafer Bonding Steps into the Spotlight on a
successful integration of chiplets Heterogeneous Integration Stage

44
By Dr. Thomas Uhrmann, EV Group

Heterogeneous Integration Competence Center™ ...............Hybrid Bonding Bridges the Technology Gap

48
By Swati Ramanathan and Stephen Hiebert, KLA Corporation
serving as leading-edge incubation center
for customers and partners ...............SEMI's Response to COVID-19: Supporting
Continuity of Members’ Business Operations
By Michael Ciesinski, SEMI

SPECIAL SECTION

50
COVID-19 Stories: How the 3D InCites Community is Navigating the Pandemic

...............Meeting the Needs of a Demanding Semiconductor Market


By Ludo Vandenberk, Trymax Semiconductor

51...............What the Pandemic Brought to Me


By Steffen Krohnert, ESPAT Consulting

53 ..............The Art of Doing Business Virtually


By Keith Felton and Kevin Rinebold, Siemens Digital Industries Software
GET IN TOUCH to discuss your manufacturing needs
www.EVGroup.com EVG® 320 D2W 3D InCites Yearbook 1
CONTENTS CONTINUED
53...............A Start-up Grows Stronger
By Aric Shorey, Mosaic Microsystems

54...............Setting Systems in Place


By Sarah Trompetter, FRT, A FormFactor Company


10 TECHNOLOGY FEATURES:
...............Fine-Pitch 3D Stacking Technologies for High-performance
Heterogeneous Integration and Chiplet-based Architectures
By Peter Ramm, Fraunhofer EMFT; Mustafa Badaroglu, Qualcomm;

16
Paul Franzon, NSCU; Phil Garrou, MCNC; and Pascal Vivet, CEA

...............Novel Approaches to Wafer Handling

40
By Chip Maschal, Eshylon Scientific

...............Artifact-free Decapsulation of 2.5D and 3D Semiconductor Packages with


Atmospheric Pressure Microwave Induced Plasma for True Root Cause Analysis

56
By Lea Heusinger-Jonda and Jiaqi Tang, Ph.D., JIACO Instruments

..............2020 In Pictures

STAFF
Françoise von Trapp Creative/Production/Online Mark Scannell
Editor-in-Chief CEA-Leti, France
Taylor Lineberger
Francoise@3DInCites.com Lead Designer Dr. Maaike M. Visser Taklo
Ph: 978.340.0773 Sonitor Technologies, Norway
Ale Moreno
Martijn Pierik Web Developer E. Jan Vardaman
Publisher TechSearch International, Inc.
Martijn@3DInCites.com Technical Advisory Board
Ph: 602.366.5599 Paul Werbaneth
Sitaram R. Arkalgud, Ph.D.
Ichor Systems, Inc.
Trine Pierik Tokyo Electron, USA
Membership Director M. Juergen Wolf
Rozalia Beica
Trine@3DInCites.com Fraunhofer IZM-ASSID, Germany
AT&S, Austria
Ph: 602.366.5696 Visit us at www.3DInCites.com
Pascal Couderc
Steffen Kröhnert 3D PLUS, France Subscribe to our e-newsletter, 3D InCites
European Sales In Review: https://www.3dincites.com/
steffen.kroehnert@espat-consulting.com Yann Guillou subscribe-newsletter/

Ph: +49 172 7201 472 Applied Materials The 3D InCites Yearbook is published by:
USA 3D InCites, LLC
Danielle Friedman 45 West Jackson St. Suite 700
Director of Operations Dr. Phil Garrou Phoenix, AZ, 85003
Danielle@3DInCites.com Microelectronic Consultants Ph: 602.443.0030
Ph: 602.443.0030 of NC, USA Copyright ©2021 by 3D InCites, LLC.
Erik Jan Marinissen All rights reserved. Printed in the US.
Phil Garrou
Contributing Editor IMEC, Belgium
PhilGarrou@att.net Peter Ramm
Dean Freeman Fraunhofer EMFT, Germany
Contributing Editor Herb Reiter
freconsult@gmail.com eda2asic Consulting, USA

2 3DInCites.com 3D InCites Yearbook 3


CONTRIBUTING AUTHORS organizations. He has edited several
microelectronic texts and won multiple
industry awards.
industry as an R&D engineer 30 years
ago. Since that time, he has remained
in the field holding numerous positions
in international sales and service
Sophia
Oldeide,
co-author of
Doing Business in
management before joining Evatec China: a European
in 2006. Company's
Mustafa Séverine Paul D. Lea Heusinger- Perspective is PR
Badaroglu, Chéramy, Franzon, Ph.D., Jonda, co-author & MarCom Manager for ERS electronic
Ph.D., co-author author of 3D: co-author of Fine- of Artifact-free GmbH, a thermal solutions provider
of Fine-Pitch The El Dorado of Pitch 3D Stacking Decapsulation Casey Krawiec, based in Munich, Germany. She manages
3D Stacking Heterogeneous Technologies for of 2.5D and 3D author of Rising editorial and commercial content on
Technologies for Integration is High-performance Semiconductor from the Ashes: behalf of the company, as well as event
High-performance responsible for Heterogeneous Packages with StratEdge planning and public relations. She
Heterogeneous Integration and Chiplet- 3DIC integration strategy and business Integration and Chiplet-based Atmospheric Pressure Microwave Corporation’s joined ERS in 2019 after graduating from
based Architectures, is Principal development at CEA-Leti. She is also Architectures, is currently the Cirrus Logic Induced Plasma for True Root Cause Recent Rocky Lancaster University.
Engineer at Qualcomm responsible for director of the Institute of Technological Distinguished Professor and the Director Analysis, is a sales engineer at Jiaco History, is
technology and architecture development Research 3D program, working closely of Graduate programs in the Department Instruments. Lea received her B.S. in Vice President of Global Sales for
for products employing compute-In- with 3D design, model, and simulation of Electrical and Computer Engineering Chemical Engineering from Howard StratEdge Corporation. He has worked
memory technology. He previously teams to develop technology and at North Carolina State University. University. She then worked in the for companies involved with wafer Swati
worked at Huawei, Qualcomm, IMEC, ON integration for 3DICs. She spent eight Paul has also worked at AT&T Bell physical failure analysis laboratory for preparation, microelectronic assembly, Ramanathan,
Semiconductor, and Tubitak. Badaroglu years at smart-card manufacturer, Laboratories, DSTO Australia, Australia Bosch’s automotive electronics sector and packaging for over 25 years. After Ph.D., co-
is the global chair of the IRDS More GEMALTO, before joining CEA-Leti as Telecom, Rambus, and four companies and focused her master’s thesis on the earning a BS in Mechanical Engineering author of Hybrid
Moore Team focusing on the high volme 3D project leader, and then became 3D he co-founded — Communica, LightSpin use of plasma etching for the physical from the University of Kentucky and an Bonding Bridges
manufacturing roadmap of logic devices Integration Laboratory manager. Technologies, Polymer Braille Inc., and failure analysis of semiconductor devices. MBA from the University of Louisville, the Technology
and memory. He is a senior member of Indago Technologies. His current interests Casey was a design engineer for the Gap, is a product
IEEE. include applying machine learning to EDA, Department of Defense for several years. marketing manager in KLA Corporation’s
building AI accelerators, neuromorphic He began his career in microelectronics LS-SWIFT Division, Global Products
Michael Steve Hiebert, at Kyocera, worked for 6 1/2 years Group, where she shapes products to
computing, RFID, advanced packaging,
Ciesinski, author co-author of at StratEdge, and most recently, was meet the diverse inspection needs of
2.5D and 3DICs, and secure chip design.
Danielle of SEMI Response Hybrid Bonding director of sales and marketing at the advanced wafer-level packaging
Paul has led several major efforts and
Baptiste, to the COVID-19 Bridges the Quik-Pak before returning to StratEdge. market. Ramanathan’s nine-year industry
published over 300 papers in these areas.
author of The Big Pandemic: Technology Gap, experience spans both marketing and
Squeeze — Why Supporting is senior director technical aspects of semiconductor
OSATs Need to Continuity of of marketing wafer inspection. Her areas of specialty
Work Smarter, is Members’ Business Operations is VP Dean W. for the LS-SWIFT Division of KLA Steffen include spectroscopy, semiconductor
the VP and General of Technology Communities for SEMI. Freeman, author Corporation’s Global Products Group. Kroehnert, nanostructures, and condensed matter
Manager of the Enterprise Software In this role he directs activity for more of The Importance He is responsible for driving business author of What the physics.
Business Unit at Onto Innovation. Danielle than 20 industry groups, oversees the of Sustainability initiatives in the advanced packaging and Pandemic Brought
has over 20 years of experience leading association’s R&D funding program, and in Semiconductor optical devices market segments. With to Me, is President
cross-functional teams around the world develops new technology initiatives to Manufacturing over 20 years at KLA, Steve’s experience & Founder of
building software product portfolios and serve its 2,400 members. Prior to this, is Chief Analyst spans product and strategic marketing for ESPAT-Consulting Peter Ramm,
driving customer success. Prior to joining Michael held leadership roles at FlexTech at FTMA. With more than 36 years of wafer inspection and metrology as well as based in Dresden, Germany. He Ph.D., co-author
Onto Innovation in 2020, she spent 15 Alliance, an industry consortium focused semiconductor manufacturing and corporate marketing roles. provides consulting services focused on of Fine-Pitch
years at IBM, and has held a variety of on new methods of creating electronics, materials experience, Dean is known semiconductor packaging, assembly, and 3D Stacking
software leadership and consulting roles the U.S. Display Consortium (USDC), for his role as market research VP for test. Steffen spent more than 20 years Technologies for
at other top Fortune 500 companies. a private/public partnership chartered Gartner tracking the semiconductor in R&D, engineering and management High-performance
Chengxu positions at Siemens Semiconductors, Heterogeneous
with building the infrastructure for manufacturing, process technology, and
(Flora) Huang, Infineon Technologies, Qimonda, Integration and Chiplet-based
electronic display, and flexible electronics the Internet of Things. He has also worked
co-author of NANIUM, and Amkor Technology. He has Architectures is the Department Head,
manufacturing. at FSI, Watkins Johnson, Lam Research,
William Doing Business in authored or co-authored 23 patent filings Heterogeneous Systems Integration,
and Texas Instruments. He holds nine
(Bill) Chen China: a European and many technical papers, and co- Fraunhofer EMFT, Germany, where he has
process and equipment patents and has
Ph.D., author Company’s edited the book Advances in Embedded focused on 3D integration technologies
published multiple articles in various trade
of The Future is Keith Felton, Perspective, and Fan-Out Wafer Level Packaging for more than 25 years. Previously, he
and technical journals.
Heterogeneous co-author of is Junior Marketing Manager at ERS Technologies. worked for Siemens in the DRAM facility
Integration, is Adapting to Virtual electronic GmbH, focusing on the Greater where he was responsible for process
chief architect Communications, China region. With a good sense of integration. He is author or co-author of
for technology strategy, lead mentor, is the Marketing Philip Garrou, design and cross-cultural experiences, over 100 publications and 24 patents, and
and hands-on engineer for strategy Manager for Ph.D., co-author she specializes in creating visual Chip Maschal, recipient of numerous industry awards.
implementation at ASE Group, blazing the Xpedition of Fine-Pitch marketing materials and written content author of Novel
the trail for packaging innovators and IC Packaging solutions at Siemens, 3D Stacking in both English and Chinese. Approaches to
Continued on page 62
innovation across the electronic industry driving the strategy and direction for Technologies for Wafer Handling
ecosystem. His strategy portfolio includes multi-substrate prototyping, design, High-performance is a partner and
SiP, copper wire-bond, 2.5D packaging, & and verification solution for high density Heterogeneous VP of Business
Allan Development for
fan-out wafer-level packaging. Previously, advanced packages. He has worked Integration and Chiplet-based
Jaunzens, Eshylon Scientific. Chip has played a
Bill had a distinguished thirty-five year extensively in the area of IC package Architectures is a subject matter expert
co-author of Fan key role in the development of Eshylon’s
at IBM. He chairs the Heterogeneous design since the late 1980s. In the early for DARPA and runs his own consulting
Out Panel Level proprietary electrostatic temporary bond
Integration Roadmap and has received 2000s, Keith drove the launch of the company, Microelectronic Consultants
Packaging Takes handling technology and successful
numerous awards and commendations industry’s first dedicated system-In- of NC. He is well known for his weekly
Off, is the Head implementation across Eshylon’s diverse
for his work. package design solution. In 2017 he led advanced packaging blog, Insights
of Marketing and customer base. He has over 25 years’
a team that launched Mentor's OSAT from the Leading Edge. Since retiring
Communications at Evatec. Allan trained experience in the semiconductor capital
Alliance program. Prior to Siemens, Keith from Dow Chemical, Phil has served as
as a materials scientist gaining his first equipment and substrate handling space.
was Group Director of Product Marketing Technical VP and President of both IEEE
experience in the thin film technology
at Cadence Design Systems. EPS and IMAPS and is a Fellow of both

4 3DInCites.com 3D InCites Yearbook 5


Looking Back on 2020
and Moving Forward into 2021
COVID-19 hit the world in early Electronic GmbH, and SEMI
2020 with very little warning. celebrate milestone anniversaries.
The semiconductor industry was And in this issue’s cover story (Page
poised for recovery, and all market 22), we tell the story of the people
indicators pointed to solid growth. behind DBI.
For many of us, reality hit with the
announcement that SEMICON By the end of the year, 45
Korea was cancelled the day before companies joined the community
it was set to start. Soon after, and were active participants. For
SEMICON China was postponed, example, Kiterocket and ERS
and an industry dependent on Electronic GmbH had local teams
global travel to conduct business attending SEMICON China, the only
was left hanging. What ensued was physical event that took place, and
a very different kind of year than the each provided interesting blog posts
one we anticipated. and the photos that appear on page
60. Beginning on page 50, check
If there is one thing we learned from out how our members navigated
2020, it is how important it is to the pandemic, and what their
have a contingency plan. For event predictions are for 2021.
organizers, that meant pivoting
quickly to shift from physical events For me personally, the silver lining
to virtual ones. For equipment and team — including Phil Garrou, Herb of COVID-19 was growing the
materials suppliers, it meant learning Reiter, Dean Freeman, and me SemiSister network. What started
how to conduct business without — divided and conquered. Sadly, out as local happy hours with
spending 80% of the time visiting however, after 7 years of serving as SemiSisters in the Phoenix metro
customers around the world. For our 3D InCites EDA evangelist for 3D area has grown into two monthly
semiconductor manufacturers, it integration, Herb Reiter retired at the social events: Happy Hour for the
meant bolstering the supply chain end of October. Those shoes will be Pacific time zone, and a global
to keep up with increasing demand difficult to fill, but we’re going to try. social hour attended by SemiSisters
because the world was working, worldwide, whether it’s for
learning, and socializing from home. While we all missed the hallway breakfast, lunch, afternoon coffee,
chatter and the in-person or a nightcap.
In January, Herb Reiter represented networking of physical events, we
3D InCites at the SEMI Industry discovered new ways to connect. And we’ve got big plans for 2021.
Strategy Symposium in Half Moon Relationships grew deeper and
Bay, CA, and Steffen Kröhnert As the semiconductor industry
more personal, as we Zoomed
wore our colors at the 3D System strives to improve sustainability
into each other’s homes, and met
Summit in Dresden. IMAPS decided practices, we’re dedicating a
pets, kids, and partners. Business
to still hold the Device Packaging new blog topic to it, authored by
casual was replaced by hoodies
Conference in Fountain Hills, AZ, Dean Freeman and other guest
and t-shirts as we literally let our hair
from March 2-5, 2020 by adhering experts. Additionally, to expand
down.
to a strict no-handshake policy while our commitment to diversity, equity
doling out bottles of hand sanitizer. At 3D InCites, we were lucky. and inclusion, we’re establishing
This meant we could host the 2020 Ironically, 2020 was the year we an endowment fund to support
3D InCites Awards Ceremony in hit our stride. We launched our technology businesses that are
person. Check out the photos new community-centric platform, owned by women or under-
beginning on page 56. essentially providing our growing represented minorities. The fund will
membership with a virtual venue be seeded by proceeds from the 3D
Once events turned virtual, we that is always available. Trine Pierik, InCites Awards program, as well as
covered more news and events who joined the team in 2019, took other efforts. I can’t wait to see what
than we ever had, simply because on the daunting role of Community else this year brings. All of us at 3D
the information was merely a Director, keeping our virtual booths InCites wish you continued success!
laptop click away. We had our own and member activities humming
virtual booths, we Zoomed our along, and supporting me behind
interviews and I sat through many the scenes with day-to-day tasks.
presentations. Our entire blogging Together, we helped FRT, ERS

6 3DInCites.com 3D InCites Yearbook 7


Figure 1. Overview of Mosaic thin glass handling solution
Figure 2. Copper plated glass-on-silicon wafer. a) whole wafer as plated. b) top view of dense area of vias. c) individual via, showing footer and
void-free fill. d) Lower magnification SEM view of a dense array of vias. (a-d courtesy of Micross) e) X-ray tomography of a section of plated wafer

Solutions for Glass-based Packaging are Here


(Courtesy of AIM TAP, Anthony Lisi)

attractive for incorporating glass in section and X-ray respectively.


many potential products.
By Aric Shorey, Mosaic The question, is, with all of this only a mechanical de-bond that To achieve a via with good
Microsystems interest and activity, why has glass can be accomplished using existing A key aspect of this process is that planarity, overburden often needs
not become more of a mainstream solutions. when the thin glass with TGV is to be removed by CMP. Several
A number of research articles product? In fact, we heard from temporarily bonded to the handle bonded wafers were sent to Axus
have been published over the end-users at conferences in A key aspect of this handling wafer, the vias look like blind vias Technologies in Phoenix, AZ,
past several years showing the 2020 that through glass via (TGV) solution is that it addresses the similar to through silicon vias (TSV). for CMP. The wafer stacks were
advantages glass-based solutions technology is still not ready. The gaps in the supply chain that have This allows you to plate the vias handled with the same conditions
can bring to packaging and biggest challenge, of course, has hindered the adoption of glass- much like you would a TSV, which as for standard silicon wafers,
systems integration including been maturing the supply chain based solutions. It is important that at this point is a mature process, and all polish runs completed
radio frequency (RF) front-end to enable smooth transition from this solution integrate seamlessly while avoiding the need for back- successfully with no damage
devices, interposers, MEMs small-scale demonstration to high into typical back-end processes, grinding and polish steps. This to the glass. Figure 3 shows an Figure 3 Thin (100µm) glass on Si handle
devices, and integrated photonics. volume manufacturing (HVM). which includes via fill, CMP, RDL, makes glass via fill readily available image of a metallized wafer post- after via fill and CMP
In particular, RF applications for de-bond and dicing/singulation to the supply chain and is a step CMP. All of these demonstrations
glass are gaining interest for use Mosaic Microsystems is changing without significant changes or change in the manufacturability of were completed in the first lot of
as a substrate for filters, switches, this narrative with their handling upgrades required of existing glass substrates. A good example wafers, underscoring how well
and mm-Wave antenna arrays. solution that utilizes the Viaffirm™ process equipment. of this is summarized in Figure this approach ties into existing
The advantages include low bond and Si handle wafers. The 2. Wafer stacks with Corning processes. Similar demonstrations
insertion loss, as the frequency approach uses a thin inorganic To achieve integration, the Incorporated’s SG3 glass at 100µm have been done for plating/
increases above a few GHz, adhesion layer to temporarily strength of the bond is optimized thickness and TGV with 30µm patterning (Figure 4), de-bond and
relative to Si-based solutions; and bond a thin glass wafer (with or to sufficiently enable downstream top diameter were provided to multi-wafer stacking demonstrating
higher integration density and without TGV) to a silicon or glass processing, but then be able to Micross AIT for via metallization. suitability to leverage existing
much lower roughness compared handle wafer (Si handle wafer is be de-bonded mechanically with The adhesion layer consisted of solutions to fabricate devices on
to laminates and ceramics. the primary approach) (Figure 1). low force. Depending on customer 100nm of Ti, followed by 300nm glass <200 um thick.
Furthermore, we have seen The thin glass substrate is then flow, this could take the form of of Cu. Using its advanced plating
numerous articles from universities, processed through downstream transferring directly to dicing tape chemistry, Micross was able to fully Summary
glass companies, and important steps such as via fill, chemical for die size dicing/singulation, fill the vias in 100µm-thick glass, Figure 4 Thin (100µm) glass after Ni/Au
transferring to another handle with only about 4µm of overburden The material properties of plating and patterning
members of the semiconductor mechanical planarization (CMP),
wafer for backside metallization, or on the surface. glass make it an attractive
supply chain (including TSMC, redistribution layers (RDL) passive
permanently bonding to another material for next-generation has slowed adoption. This new
ASE, GlobalFoundries, and others) deposition, lithography and
wafer for further wafer-level Figure 2a is a photograph of the applications, particularly in RF temporary bonding technology
showing successful demonstration bumping. The key is that the bond
packaging. Since the bond strength wafer after plating, while Figure 2b and heterogeneous integration provides a path for high volume
of glass-based devices with is stable (remains temporary and
can be adjusted, this approach can shows the top view of the plated applications. For this reason, there implementation of thin glass
impressive quality metrics, such as does not outgas) to more than
also be used to create multi-wafer vias. The SEM cross section image has been a great deal of interest solutions and represents a
very low insertion loss compared 400 °C. Utilizing a Si handle wafer
structures while also integrating of a single via in Figure 2c shows over the past decade to leverage significant increase in the readiness
with Si, demonstrated reliable allows the thin glass products to
passive devices, antenna and/or the complete fill of the via. Figures glass solutions, but challenges for fabrication of glass-based
performance and high Q-factors. be fabricated leveraging existing
switches as appropriate, making it 2d and 2e show the consistency to implement these solutions devices.
equipment and processes, with
of the fill, and no voids by cross- in a high-volume environment

8 3DInCites.com 3D InCites Yearbook 9


Fine-Pitch 3D Stacking Technologies for Dis-Integration is Underway
CHIPS enables rapid integration of functional
blocks at the chiplet level
High-performance Heterogeneous Integration
We have known for some time
that with lateral scaling slowing
down, the industry would need Custom chiplets Commercial chiplets

and Chiplet-based Architectures to find another way to continue


moving forward. One of the options
being implemented is to actually
By Peter Ramm, Fraunhofer This represented the pioneering Why 3D Integration? “disintegrate” SoCs into their
EMFT; Mustafa Badaroglu; contributions of today´s two functional parts and then connect
Qualcomm; Paul Franzon, key applications in high volume Essential driving forces for 3D these “chiplets” back together on
NSCU; Phil Garrou, MCNC; production. integration are performance (speed), high density interposers.
and Pascal Vivet, CEA power consumption, costs, and
Simultaneously, Fraunhofer in form factor. While TSV technologies Building complete circuits from pre-
3DIC Integration in its true definition Munich was focused on the key using advanced intermetallic verified chiplets is gaining traction as
has a long history.1 Richard P. application of heterogeneous compound (IMC) bonding or a way of cutting costs and reducing
Feynman expressed this vision systems, consisting of components hybrid bonding processes provide time to market for heterogeneous
in 1985: “Another direction of with different materials/technologies very high vertical interconnect designs. Chiplets allow us to use
improvement of computing power and die sizes. Robust die-to- densities, the major issue is the high the latest node only where needed, COMM RADAR EW SIGINT
is to make physical machines three wafer stacking technologies were manufacturing cost. Nevertheless, which in turn results in reduced
dimensional.”2 Successively, several developed to achieve what is TSV technology shows up as silicon cost. These silicon savings,
research and development (R&D) now called 3D heterogeneous packaging mainstream for high in turn, can be allocated for more
initiatives started world-wide. in the integration.3,5 performance 3DICs. However, expensive packaging solutions.
late 1980s, in a German consortium alternative concepts “between 2D
comprising Siemens, Philips, and But despite these early and 3D” were very successful for AMD, Intel, and TSMC have all
Fraunhofer Munich, 3D CMOS test demonstrations, it wasn’t until 2015 products with no need of such introduced or announced chiplet-
devices as 3D SRAMs were realized that Samsung produced a high high interconnect performances, based products and/or technologies.
based on recrystallization of thin volume 3DIC product; stacked i.e. Si interposer technology. And It is also widely accepted that Figure 2: DARPA´s CHIP concept
Si.3 Such monolithic concepts are DDR4 and later second-generation moreover, alternative interposer mixing and matching chiplets
reconsidered today as “the ultimate high bandwidth memory (HBM2) concepts avoiding costly TSV produced at different foundries will The US Department of Defense chiplet-to-chiplet interface. There
3D” for stacking at transistor level memory. CMOS image sensors also technology are gaining importance, require standard interfaces and (DOD) Defense Advanced Research are several layers to such an
— in the International Roadmap for went into high-volume production. as e.g. Intel´s omni-directional communication protocols. This is Projects Agency is in year four of its interface including protocol and
Devices and Systems (IRDS) for the interconnect. Figure 1 shows all currently the most important thing Common Heterogeneous Integration physical layers. The ideal physical
2030s. In 2017, Sony ramped production of these stacking technologies we can do to stabilize and broaden and IP Reuse Strategies (CHIPS) layer interface would achieve the
of stacked CMOS image sensor available today. the chiplet infrastructure. The hope program, which has been looking power and area footprint of a long
Early 3D IC Developments (CIS) for smart phone cameras. is that these functional chiplets will at chiplet based solutions for the range on-chip SOC driver/receiver
Even so, there were setbacks. Most The Heterogeneous Integration create a library and in the future, we military (Figure 2). pair while enabling a high aggregate
Towards the end of the 1990s significantly, 3D memory‐on‐logic Roadmap has defined can combine these tested chiplets Chiplet Physical Interfaces bandwidth, being able to drive a
Mitsumasa Koyanagi´s team at applications, widely forecasted corresponding architectures from multiple foundries to devise wide range of wire lengths (with the
Tohoku University succeeded in by many sources, have been between 2D and 3D. As examples, future circuits. A key enabling technology is the attendant range of line losses) and
fabricating 3D ICs using through postponed several times. TSMC´s CoWoS and Intel´s support standardized design-for-
silicon vias (TSVs) to create 3D EMIB6 are categorized as 2DS test (DFT). Key decisions include
stacked image sensor and 3D architectures, Inactive Si, with TSV PHY voltage swing, serialization, clock
stacked memory test chips.4 and without TSV, respectively. Bandwidth Throughput / management, bus-widths, etc.
Standard Source Delay energy/
Density/edge lane
bit
A number of chiplet interfaces have
Advanced
been proposed. These proposals
Interface Bus Intel 7
504 Gbps/mm Up to 2 Gbps <5ns 0.85 pJ/bit
(AIB) and some salient features are
summarized in Table 1.
Multi-Die IO
Intel 1600 Gbps/mm Up to 5.4 Gbps 0.5 pJ
(MDIO)
Chiplet Integration onto
High Active Interposers
Bandwidth
JEDEC 8 4.8 Gbps 0.37 pJ
Memory Large-scale interposers for chiplet
(HBM3)
integration have been fabricated
XSR/USR Rambus/OIF 112 Gbps using various technologies, such as
2.5D passive interposers, organic
Lipincon TSMC9 536 Gbps/mm 2.8 Gbps <14 ns 0.486 pJ
substrates, and silicon bridges.
Bunch of
OCP/ODSA10 1280 Gbps/mm Up to 16 Gbps <5ns 0.7 pJ
These technologies are mature
Wires (BOW) with economic benefits, but still
Bandwidth raise limitations. Due to wire-
Mosys11 Up to 10.3 Gbps <2.4 ns
Engine only interconnects, inter-chiplet
communication is still limited to side-
Infinity Fabric AMD12 10.6 Gbps <9 ns 2 pJ
by-side communication reducing the
Figure 1: Fine-pitch 3D stacking technologies today Table 1: Summary of chiplet interfaces number of connected chiplets; the

10 3DInCites.com 3D InCites Yearbook 11


for energy efficient interconnects
for increased coupling between
memory and computing. 3D pitch
reduction will continue thanks to
hybrid bonding technology applied
to chiplet integration, with reduced
pitches (10µm and targeting
below).16

Architectures between 2D
and 3D — best-tailored for the
different specific applications of
Figure 3: IntAct active interposer and 3D cross-section heterogeneous integration.

passive interposers cannot carry any bumps on an active interposer Architectures between 2D and 3D
functions; and finally, co-integration (65nm CMOS) using TSV middle are best tailored for the different
of chiplets with incompatible (Figure 3). The active interposer specific heterogeneous applications.
interfaces is impossible. integrates distributed interconnects The different applications, e.g.
for low latency long distance memory, CMOS image sensor, GPU,
To tackle these issues, the concept communication, 3D-plug achieving 3 radio frequency ICs (RFICs), and
of active interposer is introduced Tbit/s/mm2 bandwidth density, and chiplet-based products, need best-
by integrating some active CMOS fully integrated switched capacitor tailored technology solutions for
circuitry on a large-scale interposer. voltage regulators. The circuit their specific performance, power
The active interposer can be implements a total of 96 cores consumption, costs, and form factor
seen as a generic bottom die with a scalable cache coherent requirements.
infrastructure which integrates i) architecture, delivering a peak 220
flexible and distributed interconnect GOPS. Thanks to this partitioning Besides 3DIC integration in its
fabrics for scalable chiplet traffic, and 3D fine pitch, users get more strong definition, a variety of
ii) energy efficient 3D-plugs using GOPS at the same power budget architectures between 2D and
fine pitch interconnects, iii) power and benefit from an increased 3D are potentially well-suited for
management feature for power memory-computing ratio along the cost-effective production. This
supply closer to the cores, and iv) memory hierarchy. is especially true for the growing
memory-IO controller and PHY for market of heterogeneous 3D
off-chip communication. Finally, the As mentioned in the above section, sensor/IC systems with the need
active interposer integrates 3D DFT 3D communication standards for robust die-to-wafer stacking of
to enable known-good-die (KGD) are strongly required to enable components of significant different
strategy. Being of low computing true chiplet compatibility, active device technologies, as CMOS,
complexity and reduced power interposer is however a solution sensors, actuators, and MEMS17,18
budget, the active interposer does enabling to bridge incompatible rather than extremely small TSV/pad
not exacerbate the thermal issues of chiplets by integrating ad-hoc bridge pitches.
the 3D device. logic.
A corresponding example of
As an active interposer prototype, 13
For energy efficient computing, a heterogeneous 3D sensor
the IntAct circuit is composed of six chiplet-based partitioning and 3D integration is shown in Figure 4. The
identical chiplets (28nm FDSOI) each technology is driven by two main photon detector and appropriate
integrating 16 cores, 3D stacked trends:14 heterogeneity (as presented read-out IC are 3D integrated
with fine-pitch (20μm) micro- in reference 15) and pitch reduction
Continued on page 47

Figure 4: Heterogeneous integration of sensor and readout circuit


(Fraunhofer EMFT in co-operation with Max-Planck MPP)

12 3DInCites.com 3D InCites Yearbook 13


manufactured components into a The Heterogeneous Integration
higher-level assembly system-in- Roadmap (HIR) is a roadmap
package (SiP) that in the aggregate to the future of electronics that
provides enhanced functionality identifies technology requirements
and improved operational and potential solutions. The
characteristics. It is now the key primary objective is to stimulate
technology direction going forward, pre-competitive collaboration
driving the pace of advancement for among industry, academia, and
greater intelligence and connectivity, government to accelerate progress.
higher bandwidth and performance, HIR is designed to provide long
and lower latency and power per term continuity and a broad
function, all at a more manageable technology base. It is organized with intelligence (AI) and machine
cost. sponsorship by three IEEE Technical learning (ML) requires large amounts
Societies (Electronics Packaging of data to be processed and is
The semiconductor industry Society, Electron Devices Society, driving an entirely new computing
comprises highly specialized yet and Photonics Society ) together paradigm from edge computing to
closely interdependent companies with SEMI and ASME Electronics cloud to data centers.
that must stay on top of technology and Photonics Packaging Division
and market application trends to (EPPD). Technology takes a long Traditional IC design trends call
keep developments on track. It has time to develop and mature, and for packing more transistors on
been that way since the industry increasingly involves the collective a monolithic die or system-on-
began in the 1950s, but as it grew, knowledge from overlapping fields. chip (SoC) at each process node,
it became imperative by the 1990s It is therefore essential to set goals resulting in difficult chip scaling
that measures had to be taken to for the long-run and cover as many for the integration of analog,
ensure that global semiconductor subjects and fields as possible, logic, and memory circuits. The
companies were progressing in the related to heterogeneous integration. heterogeneous integration approach
same direction. Industry leaders is die-partitioning or chiplets, which
bandied together and reached a Towards that end, the HIR is offers a compelling value proposition
consensus to work side by side to organized into 22 technical working for yield improvement, IP reuse,
establish a technology roadmap. groups (TWGs) covering diverse performance, and cost optimization,
fields in the electronics industry as well as time-to-market reduction.
In 1991, semiconductor companies comprising numerous experts and

The Future is Heterogeneous Integration


in the United States, under the scientists from different disciplines Chiplet integration has the
auspices of the Semiconductor across the globe. Recognizing that potential to allow the integration
Industry Association (SIA), first technology advances will be driven of disparate technologies from
established the National Technology by advances in system integration multiple suppliers to provide more
By William Chen, Ph.D., The last year also demonstrated growth across society, from health Roadmap for Semiconductors in response to market applications, flexible mix-and-match systems
ASE Group the extent to which technology is to entertainment, from home to or NTRS. By 1998, roadmapping the HIR identifies six market to accelerate performance and
being used to solve some of the business. efforts had expanded when application areas that will shape the improve power efficiency without
2020 proved to us that our world is world’s greatest challenges. With companies from Japan, Europe, electronics industry. These include requiring the deployment of these
wholly unpredictable. As the year digital transformation already on 2021 has seen the role of the Korea, and Taiwan joined the NTRS high-performance computing and technologies across an entire SoC
began with optimism for a new the horizon, the global pandemic semiconductor industry further effort, and together with SIA, they data centers, mobile applications, simultaneously. Chiplet solutions
decade and excitement for what the meant that our world leapfrogged thrown into the spotlight. Since formed the International Technology Internet of Things, automotive start with internal designs within a
new decade might bring, the last years ahead and the timeline for the industry began, applications Roadmap for Semiconductors electronics, aerospace and defense, system integrator. However, as IP
thing expected was the arrival of work from home, distance learning, from mainframe to minicomputer or ITRS. SIA brought ITRS to a medical healthcare, and wearables. interface standards are developed,
COVID-19. Within a short time, the and telemedicine significantly to PCs to the mobile space have close in 2015, and that is when TWGs are grouped into these six the commercialization of chiplets
pandemic created unprecedented sped up. The future became our inspired innovation and growth. the Heterogeneous Integration market applications, as well as in the market will proliferate.
disruption while shattering lives current reality, as technology was It is well known that Moore's Roadmap, or HIR, was initiated. five building blocks technologies, Heterogeneous Integration through
across the globe. Everything used across the globe to maintain Law has driven the industry for eight cross-cutting technologies, chiplets will play a critical role for
changed, and nations, industries, continuity and mitigate disruption. decades, but in recent years, there Heterogeneous Integration Will and three integration technologies. future HPC and AI/ML applications.
businesses, and people sought has been a deceleration in terms Take Us Forward Overall, the HIR contains 23
to quickly mobilize and establish According to the Consumer of performance and economic chapters including the executive As a leading semiconductor
best practices to navigate through Technology Association, there are benefit. The exponential cost of Just as Moore’s law led the
summary. packaging, test, and system
the challenging days and months 14.2B connected things in use and silicon scaling has created an advancement of the global
service provider, ASE has heavily
that have ensued. A huge debt of that number is expected to grow to inflection point for the industry, and semiconductor industry over the As the industry enters the digital invested in both chip-level (SoC)
gratitude is owed to healthcare and 55B by 2025. This is resulting in an that is driving the development of past 55 years, heterogeneous transformation and exascale package integration and system-
essential workers that have faced explosion of data. Our connected More-Than-Moore technologies integration is and will be the key computing era, massive compute level integration. The development
risk head-on and sacrificed so lives generate 2.5 quintillion bytes to augment increased device and technology direction going forward. with frequent access to data is of cutting-edge heterogeneous
much, and who continue to do so of data daily, driving unprecedented system performance. It is positioned to initiate a new era of required for high-performance integration technologies addresses
while the COVID-19 threat continues demand for bleeding-edge digital technological and scientific advances computing (HPC) applications. functional areas from silicon
to surge. networks, connectivity, storage, A Brief History of to continue and complement The increasing amount of data integration, power integration,
memory, edge to cloud computing, Semiconductor Roadmaps scaling into the future. Packaging from all sectors is raising the issue optical integration to system
Accelerating the Digital and so much more. Data has – from device packaging to system of operational and storing cost of integration that form the backbone
Transformation become the oil fueling innovation Heterogeneous Integration refers packaging – will form the crucial the data. The advent of artificial
to the integration of separately vanguard to this enormous advance. Continued on page 39

14 3DInCites.com 3D InCites Yearbook 15


Novel Approaches to Wafer Handling
By Chip Maschal,
Eshylon Scientific

Economics are forcing


semiconductor manufacturers
away from traditional 3D through
silicon via (TSV) packaging
integration. The future of advanced
packaging continues to evolve
towards chiplets and innovative
new ways to combine specialized
microelectronics components. This
allows manufacturers to streamline
the production for individual
“subassemblies” that can then
be configured later into functional
products.
Figure 1: Wafer-level packaging requires a temporary carrier system to support the delicate wafer
Emerging system-in-package (SiP) during the die placement process.
designs include not only memory
and logic modules, but also MEMS
sensors, radio frequency (RF)
transceivers, and optoelectronic
components. The race to improve
chip density is pushing the envelope
of flip-chip packaging, requiring
double-side processing of the device
assembly. Individual chips might
come from 300mm silicon wafers,
from 100mm gallium arsenide (GaAs)
slices, or anything in between.

Due to the varying sizes and


interface diversity of the substrates,
device handling becomes an issue.
Assembling such disparate elements
into a single package typically
requires temporarily bonding devices Figure 2: A mobile electrostatic carrier (MESC) system eliminates the need for adhesives.
to a carrier wafer and performing a
number of bonding and debonding
steps to process them further
or both with an appropriate handle. Bonding and debonding
down the production line. An intact
adhesive requires specialized equipment
wafer might be attached to a carrier
and unique process chemistries.
for backside processing, or for • Align the wafer to the carrier,
Also, mismatch in the coefficient of
bonding face-to-face with another form the bond, and cure the
thermal expansion (CTE) between
wafer. Singulated dice might use a adhesive
the carrier wafer and the device
temporary carrier for gang-bonding
Then, once the temporary bond die can induce wafer warpage or
to an interposer.
has served its purpose: even device damage during some
Temporary Bonding With high-temperature cure processes.
• Use heat, a laser, or mechanical Often, the bonding adhesive is
Adhesives force to separate the two less thermally stable than the
surfaces wafer, limiting the available process
Typical bonding approaches
include adhesives, waxes, • Clean the wafer surface to window.
and tapes. Regardless of the remove any adhesive residue
application, though, each Electrostatic Carriers: An
temporary bond generally requires Each step poses a damage risk. In Adhesive-free Approach
at least five processing steps: all cases, residue and contaminants
must be cleaned off of the Eshylon Scientific’s mobile
• Clean the wafer surface packaged parts, risking yield loss. electrostatic carrier (MESC) system
Thinned dice and GaAs wafers, uses no adhesives or tapes,
• Coat the wafer, the carrier,
in particular, are quite difficult to Continued on page 46

16 3DInCites.com 3D InCites Yearbook 17


…to greater flexibility

Beyond power and interconnections,


3D technology also allows for the
manufacture of more efficient,
thinner, and lighter microprocessors.
In addition, by implementing multiple
heterogeneous solutions in a single
package, chip companies benefit
from considerable flexibility, allowing
them to mix and match technology
blocks with IP and integrate memory
and input/output (IO) technologies
within the same component.
A good example of this is the
Figure 1: Die-to-wafer: make connection with 3D technology
collaboration between CEA-Leti
and Intel. Their research focuses Figure 2: Intermediate silicon prototype of multichip module with flip chipped AsGa Devices

3D: The El Dorado of Heterogeneous Integration


on the assembly of smaller chips,
the optimization of interconnection However, in the context of HPC, the CoolCube™: About CEA-Leti’s
technologies between the different integration of greater computing monolithic 3D technology
elements of microprocessors, capacities with generic cores
By Severine Cheramy, CEA-Leti help achieve denser interconnects, major advances in die-bonding- and on new bonding technologies or dedicated accelerators for AI Since 2016, CEA-Leti has extended
which translates into greater power alignment capability, through its and stacking for 3D integrated applications comes with significant its 3D integration roadmap with
From the cloud to edge computing, optimization and an improved collaboration with SET, paves the circuits (3D ICs), in particular for the challenges. Manufacturing yield its CoolCube® technology in
the quest for ever greater power signal propagation within the way to reach 5µm interconnection realization of HPC applications, by and costs remains the main hurdle. which stacked FDSOI transistors
efficiency remains researchers’ component. The die-to-wafer (D2W) pitch. Exploratory work on the leveraging advanced 3D packaging 3D technology appears as a clear are interconnected with pattern
top priority. From high-end niche direct hybrid-bonding process process of reducing the alignment technologies (Figure 1). alternative to More than Moore, alignment accurate to the
to mass-market applications, the using copper/oxide mix interfaces to <1µm has already been offering a matrix, and modular and nanometer, which is what makes
best cost-to-performance tradeoff has been identified by major demonstrated in the development Enabling high-performance robust architecture. all the difference compared to
is key to providing a competitive microelectronic industrial companies of a self-assembly process. In processors to power exascale 2D technology. This also allows
advantage. While Moore’s Law as essential for the success of future November 2020, the institute computing 3D integration and quantum an increase in the density of the
has helped meet the performance logic and memory stacks, thanks demonstrated proof-of-concept of
computing components without reducing
required in terms of data transfer Computing performance has their dimensions. As an extension
to its promising low interconnection the KGD approach, compatible with In 2019, CEA-Leti developed
and power efficiency so far, grown exponentially in recent of high-density 3D Cu-Cu/hybrid
pitch and known good die (KGD) direct hybrid bonding, that involves INTACT, a proof-of-concept
including for high-end applications decades. This has led to HPC bonding chip-to-wafer/wafer-to-
selection capabilities. selecting high-topography tested integrating 96-core architecture
such as high performance opening the door to new horizons wafer technologies, CoolCube™
chips (>2µm) and transferring them comprising six chiplets (FDSOI
computing (HPC), it is no longer Last year, CEA-Leti demonstrated and allowing for the resolution of enables stacking active layers of
to direct bonding that tolerates a 28nm node) 3D-stacked on an
relevant when it comes to cost- D2W hybrid bonding with 10µm extremely complex problems that transistors in the third dimension,
maximum topography of 10nm. active silicon interposer (CMOS
sensitive applications such as edge interconnection pitch and a simple require processing vast amounts while coping with thermal
This was made possible thanks 65nm node) (Figure 2). Following this
artificial intelligence and internet of process adapted from its wafer-to- of data. Supercomputers will soon budgets that do not degrade the
to researchers’ deep expertise in achievement in the HPC domain,
things (IoT) devices. wafer (W2W) expertise. The latest achieve exascale-level computing performance of transistors or
planarization. similar architectures are envisioned
performance: 1018 flops, or metal interconnects. CEA-Leti
This presents researchers with one-billion-billion floating-point for quantum computation to vastly works on various 3D very large
difficult choices: can they afford operations per second. improve performance and speed. scale integration (VLSI) advanced
to pursue advanced node Learn more: A team of scientists from CEA-Leti, concepts, which have broad
performance? Must they find a CEA-List, and the Néel Institute at applications in low-power mobile
trade-off, with a risk of inhibiting the National Center for Scientific devices and other IC platforms.
product performance? And can Die to Wafer Direct Hybrid Bonding Demonstration with High Alignment Accuracy and
Learn more: Research (CNRS) designed the first
heterogeneous integration be the Electrical Yields, Jouve A. et al., 3DIC 2019 prototype interposer in 2020.
solution that will enable decades of Towards a Complete Direct Hybrid Bonding D2W Integration Flow: Known-Good-Dies How 3D Integration Technologies The interposers’ primary
future innovation? and Die Planarization Modules Development, E. Bourjot et al., 3DIC 2019 Enable Advanced Compute Node for
Exascale-Level High Performance purpose is to accommodate
CEA-Leti researchers may not have Self-Assembly Process for 3D Die-to-Wafer using Direct Bonding: A Step Forward Computing? D. Dutoit et al. IEDM 2020 and connect quantum chips
a final answer yet, but their recent Toward Process Automatisation, Jouve A. et al, 2019 IEEE 69th Electronic containing qubits and control
Components and Technology Conference (ECTC), Las Vegas, NV, USA, 2019, pp. Die-to-Wafer 3D Interconnections
results in this technique, including 225-234, doi: 10.1109/ECTC.2019.00041.
chips used to address and read
Operating at Sub-Kelvin Temperatures
die stacking and monolithic 3D for Quantum Computation; C. Thomas the qubits (two-level storage
integration, suggest a potential Known Good Dies (KGD) strategies compatible with Die-To-Wafer Direct Hybrid and al. ESTC 2020. units for quantum computing
way through the cost/performance
bonding CEA-Leti: E. Bourjot, P. Stewart, N. Bresson, L. Sanchez, C. Castan, G. using the same approach as for
Mauguen, Y. Exbrayat, V. Balan, F. Fournel, S.Cheramy, P. Vivet SET Corporation: French Team on Route Towards an
dilemma. N.Raynaud, P.Metzger Interposer Prototype for Quantum and
HPC requirements). Known as
Control Chips Integration at Very Low QuIC3, which stands for quantum
Heterogeneous strategies: From CEA-Leti Announces Collaboration with Intel to Advance Chip Design Through Temperature (CEA-Leti Press Release, integrated circuits with CryoCMOS,
Cutting-Edge 3D Packaging Technologies. Nov. 2020) this prototype demonstrator is
greater density…
Part of this work was been developed in the frame of IRT Nanoelec. Part of this work was developed in the
an important step towards the
Vertical 3D integration technology frame of IRT Nanoelec. realization of a complete
enables stacking chips in a device to quantum computing system. Figure 3: My Cube concept: highly parallel in-memory computing.

18 3DInCites.com 3D InCites Yearbook 19


The Big Squeeze – Why OSATs Need to Work Smarter
In June 2020, in an FDSOI CMOS
processing breakthrough, CEA- Learn more:
Leti pushed fabrication thermal-
process boundaries down to
500°C for CMOS integration, while CEA-Leti Scientists Demonstrate CMOS Device Fabrication at 500°C, Paving the Way By Danielle Baptiste, VP and cost structures and process described as the migration of front-
to High-Performance 3D Monolithic CMOS Integration
showing strong performance GM, Software, Onto Innovation complexity. The relative simplicity end like processes to traditionally
gains especially in p-type metal- 3D RRAMs with Gate-All-Around Stacked Nanosheet Transistors for In-Memory- of the back-end process led OSATs back-end applications. With this
oxide-semiconductor (PMOS) logic Computing, S. Barraud et al, IEDM 2020 Analysts are projecting strong to compete primarily on price, evolution, the advantage device
devices. The 500°C threshold growth in advanced packaging, seeking always to minimize costs manufacturers once had by
High-Density Multi-Level-Cell 3D Sequentially Integrated 1T1R RRAM Array for Neural
is important because in 3D with a compound annual growth and maximize volume. Simple outsourcing assembly and test to
Networks, E. Esmanhotto et al, IEDM 2020
monolithic technologies (also rate (CAGR) through 2026 processes were simple to control. avoid diluting their expertise with
called 3D sequential), fabricating 3D Sequential Integration: Opportunities, Breakthroughs and Challenges IEDM 2020 approaching 7% across the The acquisition, storage, and low-value processes has greatly
the upper-level transistors at Short Course Session 1 by Claire Beranger-Fenouillet segment; much higher for certain analysis of process data were costs diminished. More importantly, these
higher temperatures than that, can high-end technologies, including to be avoided wherever possible. customers-turned-competitors
This work was partly supported by the European Union’s Horizon 2020 research and
damage the metal interconnects innovation program under Grant Agreement No. 820048 (ERC My Cube); No. 295970 3D stacking, embedded die, and Advanced packaging processes are already comfortable with
and the silicide of the bottom- (ANDANTE), No. 826655(TEMPO), and No. 871371 (MeMScales). fan-out. Outsourced assembly and have introduced a host of new managing complex processes —
level transistors. Using CEA-Leti's test (OSAT) firms, which package variables that must be controlled to they wrote the book. In addition
CoolCube® low-temperature finished die manufactured by ensure process yield and product to IDMs and foundries, substrate
process for top-level devices independent device manufacturers reliability. Process data is no longer and printed circuit board (PCB)
prevents deterioration of bottom- programming to further enhance conventional transistor. This new (IDM) and foundries, will be a cost to be avoided but should be suppliers, electronic manufacturing
level transistors. memory density. type of stacking, developed for challenged by the complexity of the considered an essential asset to be services (EMS), original design
advanced CMOS, has excellent 3D advanced packaging processes leveraged to maximize profitability. manufacturers (ODM), and others
CEA-Leti has recently exploited Improving 3D technology also integration scalability (Figure 3). and will face stiff competition, see the opportunity presented by
the CoolCube® technology to means imagining and designing in many cases from their own Meanwhile, as they accommodate the significant growth forecasted for
increase the density of resistive new 3D architectures. Developed CEA-Leti and its partners have customers. If they are to thrive, or increasingly complex processes, advanced packaging.
memory arrays by fabricating by S. Barraud et al. at CEA-Leti in demonstrated along the year’s perhaps just survive, they will need OSATs confront encroachment
monolithically integrated multiple collaboration with the University of relevance of 3D architecture for to embrace smarter manufacturing in their markets by sophisticated Smart manufacturing needs
one-transistor/one-resistor (1T1R) Aix-Marseille, a new 3D memory high performance applications approaches. competitors who may also be broad, deep data
structures, reducing the cell size by cube architecture composed of by leveraging a wide range of their customers — IDMs and
1.5x with respect to planar 1T1R. nanosheet gate-all-around (GAA) technologies, from direct hybrid Gathering process data is key foundries who have outsourced Data is the life blood of smarter
The proposed 3D monolithically transistors stacked vertically makes bonding, sequential 3D integration, a significant portion of their manufacturing — acquiring it,
integrated multiple 1T1R cells have it possible to overcome the limitation to silicon interposer. The future is The historical division between production to OSATs but have storing it, organizing it, analyzing it,
been combined with multilevel cell in the size of cells imposed by a vertical. front-end device manufacturing also maintained their own internal sharing it. Without leveraging it you
and back-end packaging/testing back-end capabilities. Advanced are not just blind in the competitive
is the result of their vastly different packaging processes have been
Continued on page 63

20 3DInCites.com 3D InCites Yearbook 21


we asked the core DBI team to tell "Later we discovered we could do it possible to make connections
their stories about being part of the it with copper." And so, by happy between the two wafers. Sony
DBI journey, the roles they play, the coincidence, DBI was born. licensed the technology, which was
challenges they've overcome, and another big break for DBI.
what the future holds. "Bonding was what we first built the
company on," says Gill, "The holy The Acquisition
The Early Days grail was to have the connection
automatically come with the Craig Mitchell
Paul Enquist and Gill Fountain bonding by taking a dielectric and
Craig Mitchell, (pictured below)
metal surface, polish it flat and
Before Ziptronix existed, Paul President of Invensas, a wholly
make a connection. It was amazing!
Enquist and Gill Fountain (pictured owned subsidiary of Xperi, says he
That was really something for a
below) were already at work started watching DBI back in 2003.
group of guys from a research
developing this hybrid bonding
background. It was quite a
solution at RTI. It began with the
development."
ZiBond® direct bonding process
and then led to the discovery of Paul says it was a matter of being
forming the interconnect, which at the right place at the right time,
became DBI. and it was enough to spark the
interest of investors. So, after years
of building the technology behind
both ZiBond and DBI at RTI, it was
time to spin out a start-up.

"We got the first round of venture


capital funding, took a handful of
engineers, and turned them into
entrepreneurs," said Paul. While
it took 10-15 years to come to
fruition, which was longer than
anyone wanted, Paul says it aligns

The People Who


with how long things take in the "I was keeping an eye on the
semiconductor industry. "Founders technology to see if it could scale
like Gill have put decades into this. and be applied to a high-volume
It's been quite a ride," he added. market," he explained. "The
Ziptronix team proved that out
Gill says the first big break for DBI by working with some pretty big
came when Raytheon showed semiconductor manufacturing

Make DBI Possible


interest. "They saw that DBI would companies. We could see that
give them not just bonding, but this was where the industry was
connectivity at the pitch they headed and that there would be a
needed, and they were sold," he need for this type of bonding and
As Gill tells it, the RTI team was said, "It was a huge break that led interconnect technology that would
working on a multichip module to the first technology transfer. We allow the industry to continue to
(MCM) for a customer that involved demonstrated we had something scale."
integrating memory, a processor, real and not just a science fair
and FPGA on top of DRAM. The project. It was a real technology Bringing Ziptronix and Invensas
complexity of the project had that could have a powerful impact together at the right time meant
they could continue building on
A Conversation of applications, from image
sensors and MEMS devices, and
technology. The people behind
this revolutionary and disruptive
them "tearing their hair out" for
over a year. Through the ZiBond
on the industry."
the foundation that Ziptronix had
with the Xperi Hyrid most recently memory — with RF process are the real story — from process of bonding chips on the "It's one thing when you use your established. "It's been continuously
exciting," he said.
devices and logic poised for take- those who first developed it, to face of the DRAM and then using technology, but when other people
Bonding Team off. Since it was first conceived those who joined the technology a redistribution layer (RDL) to form use it, that's a great proof point,"
in the mid-80s by Paul Enquist, team along the way, and the the interconnect, Gill and Tong said Paul. "That was the first stage "We were a start-up for 15 years,
Q.Y. Tong, and Gill Fountain in business and marketing minds who discovered a better approach to of adoption." and I didn't think we'd get out of
the labs at Research Triangle brought it to commercialization. RDL. By using plating technology, it," said Gill. "When Invensas came
By Françoise von Trapp Figuring out how to perform DBI along, it was a game-changer for
Institute (RTI), DBI has proven While the technology ownership specifically — chemical mechanical
to be a game-changer for its has changed hands — its original polishing (CMP), they could achieve using Cu, so that it could be aligned all of us. We thought it would never
In the world of heterogeneous
elegance and simplicity. It creates company, Ziptronix, was acquired a flatness that allowed the Ni and with standard semiconductor happen. It seems like you just have
integration, hybrid bonding —
a dielectric-to-dielectric bond, and by Xperi in 2015, and is now part oxide to not only bond but form an processes was another important to hang in there until the time is
and in particular, Direct Bond
the interconnection is formed by of Xperi’s Invensas semiconductor interconnect. milestone, noted Paul. Then the right." Becoming part of Invensas
Interconnect (DBI®) is quickly
metal-to-metal contact through a technology portfolio — the people CMOS image sensor market took opened up the opportunity to
becoming the preferred permanent
low temperature process. who first developed and patented "Everyone thought it was a stunt. off, driven by smartphones, and expand on many more levels, such
bonding path for forming high-
the technology are still very much Nobody believed the process was with it a need to scale pixels using as incorporating TSVs into the
density interconnects in a multitude
But DBI is so much more than its involved. In this exclusive interview, reproducible. But it was!" he said. bonding technologies. DBI made technology.

22 3DInCites.com 3D InCites Yearbook 23


The Transfer an ankle injury. "I was in my home lab cleanroom, the level of clean the semiconductor space. It takes Building the Business What Rick enjoys most is working
talking to Guilian on the phone and needed for DBI processes. They time to get the infrastructure in with Paul, Tom, and Laura on
to Invensas using WebEx, so it was interesting," added back-end-of line equipment place and then take off." Rick McClellan customer engagement. When
Guilian Gao and Cyprian Uzoh he recalls. including CMP capabilities and Tom presented recent findings at
Tom Workman is a principal On the business side, Rick
various metrology tools. Every IMAPS DPC in March 2020, Rick
Guilian Gao, distinguished engineer "We had lots of daily conference engineer, who joined the McClellan, VP of Business
piece of equipment was new and watched from the background
and Cyprian Uzoh, principal calls because my starting point was development team in 2017, was Development, says it's the people
some of it was custom built by "Gill (pictured below left with Tom). "He
engineer, were also involved early in low," said Guilian. "I came from a also drawn by the opportunity to at both Ziptronix and Xperi who
Co." (the code name for home- was bombarded by questions.
the acquisition process, performing board assembly background, so work on DBI. "At Intel, I worked made it the success it is today. For
built by Gill Fountain, because the It was exciting to see everyone's
due diligence to evaluate the it was a steep learning curve for on the C4 bumping process. DBI him, one of the most instrumental
necessary tools had yet to be built enthusiasm for this technology,"
fundamentals of ZiBond and DBI. me, but Gill was a very patient and interested me enough to get me to people for helping him early on was
by suppliers.) he said. "We've got an entire
excellent teacher." join Xperi," he said. "It seemed to Kathy Cook, who was his business
generation of engineers that are
Before joining the DBI team, Guilian "We brought it up and qualified it. be something that takes C4 to the development colleague first at
thinking and designing in 3D and
(pictured right with Laura Mirkarimi) Ziptronix, and then again at Xperi.
The Next Era of That is how I learned to walk with ultimate conclusion — bonding two
Kathy also joined Invensas as part
that's what has them excited."
worked on the 3D team at Invensas, DBI,” said Guillian. “ I have been chips together without intermediary
working on through-silicon via DBI Development in the lab for the past 5 years, bumping or metallization. It's of the Ziptronix acquisition. She Another story he likes to tell is when
(TSV) integration with solder cap Laura Mirkarimi and Tom Workman doing something new each day. It exciting to take a genius idea, dig has now moved on to another Xperi he joined Laura and Tom on a visit
and microbumps, examining the is never boring. I am a bit like the in, and optimize it for HVM." spin-in — Perceive, where she is from a tier-one memory company
technology fundamentals. Because glue in the 3D group. I know a little VP of Business Development. to the Xperi R&D facility in San
of this work, she knew it would bit of everything to be dangerous Tom works closely with the tool Jose. These potential customers
be hard to scale processes below vendors. "Three years ago, it "When I first joined Ziptronix in
in the lab. I am a co-inventor on were still doubtful about a room
40µm. DBI provided a scalable was a tough sell to bonding 2013, I was effectively joined at the
many DBI related patents. I am also temperature bond. "Laura and Tom
interconnect alternative, and she was tool companies to meet the hip with Paul and Kathy. And I can't
a messenger of the technology arranged an entire demo in the
very excited about its possibilities. specifications for DBI. But now, tell you how much they helped
through publications." cleanroom. Tom went through 60
it's the exact opposite," he said. me learn and understand the
die-to-wafer placements in real-
Cyprian, a research scientist at Through the evaluation "These companies are coming to basics, so I could go out and bring
time and handed them the wafer,"
IBM, was invited by Bel Haba to process, Cyprian learned what us with test specifications from the messaging in front of these
he recalled. "Everyone in the group
join Xperi to work on TSVs in 2010. improvements are needed device companies asking for companies," says Rick.
tried to push the die off the wafer
Cyprian (pictured below) drew on for manufacturing processes cleanliness requirements. We've that Tom had just bonded at room
his expertise in Cu interconnect After the acquisition, he left to join
and lower costs. He continues become a resource for equipment temperature. The die wouldn't
technology to review the full patent SK hynix for a spell and then was
conducting experiments and manufacturers. We have some budge. and you should have seen
portfolio, mapping and studying hired by Craig to work at Xperi in
develop new methods, chemical great partnerships with equipment the look on their faces. Needless to
the core patent claims for evidence 2017. He was excited about joining
formulas, new equipment suppliers where there is motivation say, they are a licensee today."
of use. Cyprian's inventions, such an even bigger team of talented
designs, and more. For example, on both sides."
as bottom-up Cu fill for TSVs, are people.
he developed a custom tool Marketing the DBI and
the core foundation of modern to meet the stringent cleaning
chip interconnection technology. A veteran of Invensas who had requirements for die-to-wafer DBI® DBI Ultra Brand
If anyone could tell if this process left in 2015 for a position at Zeiss Ultra processes. Abul Nuruzzman
was going places, it was him. Group, Laura Mirkarimi rejoined
Invensas as VP of 3D Portfolio "I was excited about the patents. Abul Nuruzzman who is currently
and Technology in February of Cleaning tools needed to be VP of Product Marketing, joined
2016 specifically to lead the R&D redesigned, so we brought it in- the DBI team in 2015 shortly after
development for DBI. house to make the technology work the acquisition of Ziptronix and has
and reduce the cost," said Cyprian. lived through the merger with DTS
"That was my first introduction His work and pilot operations have and rebrand as Xperi.
to DBI and I saw the incredible led to extensive patent filings.
potential of this clever platform “Helping to drive the market
technology," she said. "It's not a Laura explained that it was success of DBI, as well as oversee
single point solution, it's a platform important to understand customer the introduction of DBI Ultra was
toolkit from which to design pain points that could be solved exciting,” said Abul. “We launched
features into products. Once you by integrating DBI into the process die-to-wafer hybrid bonding to
have it in your toolkit, it becomes flow. Using solder interconnect the market earlier than anyone,
pervasive." and everything to do with it was an including major semiconductor
ongoing issue that could be solved companies like TSMC, Intel, who
Her team works together to with DBI. followed with similar die to wafer
address fundamental challenges market announcements.”
in DBI, and how to proliferate "We've had excellent input from top
Guilian was the first person to touch the technology across multiple tier manufacturers that reinforce Abul is fascinated by the technology,
DBI on its journey from Ziptronix in applications. This includes internal where the technology can go. It especially how these micro and
Raleigh, North Carolina to Invensas, development and partnerships to took a significant engineering effort nanoscale processes can have
in San Jose. She worked with Gill to ensure the silicon supply chain is to get to an HVM-worthy process such a big impact at the device and
put together the technology transfer ready for the technology. with a keen understanding on the system level. He enjoys worked with
package for both ZiBond and DBI. fundamental physics," she said. the technology team to craft the
The situation was a little unusual, Together, the team brought up "We started early on with die-to- DBI story and creating process flow
as Gill couldn't travel because of the new Class 1000 assembly wafer, but the tools weren't ready in diagrams and models. "It's one of

24 3DInCites.com 3D InCites Yearbook 25


the best jobs I've ever had," he says. "I'm excited to see where things advantage of bonding at room
go next," says Craig. "As DBI finds temperature, you can really start
Abul says It was a bit of marketing its way into bigger markets and to think about true heterogeneous
challenge in the beginning, proliferates into other applications, integration,” she said.
because the Ziptronix brand was it opens up the door for the
so well known. But because the entire ecosystem to embrace the What the Future Holds
foundational technology brand has technology. The more people
remained consistent despite the involved, the more we'll see it "We have so much flexibility with
change in the corporate brand, applied in other applications. There ZiBond, DBI, and DBI Ultra,"
Abul says the DBI brand story are exciting milestones still to said Laura. "We're going to see
remained stable. "New things come." so much innovation and new
happen, things change, but the architectures in the memory/logic
essence of hybrid bonding and the "Moore's Law scaling is so space. By developing DBI Ultra,
education process to communicate expensive. Only a few foundries we have the ability to do high
its core values and performance are continuing to do it. One way to volume manufacturing for true 3D
doesn't change," said Abul. compensate for that is 3D stacking integration."
and scaling," noted Abul. "That's
why DBI is getting attention in the "Because of this technology, I
memory and logic space for high- believe in about 10- or 15-years
performance computing." demand for things like underfill and
solder will go down," says Cyprian.
"There have been many plot twists "I believe in the future we'll be doing
over the years," said Paul. "While ZiBond at 100°C, which means we
the fundamental technology can have polymer materials that are
remains stable, lots of things high performance, but because of
have changed. While investors, temperature issues haven't been
customers, business models have able to use them."
changed, the core technology has
not. For example, during the Y2K "I see ZiBond and DBI as the tools
The key, Craig says, is to maintain euphoria, investors were throwing in the 3D integration toolbox that
consistency with the technology money at it and we were lining the industry will rely on for decades
brands. "We invest in those brands. up customers. Then the bubble to come," said Craig. "We're just at
Sometimes the corporate brand burst and we had to adjust the the early stages of DBI adoption.
evolves, but it's important to business plan and the story. But We see it in image sensors
maintain the product brands,” he the technology got us through.” already — we'll see it in RF and
explained. memory. In logic, the combination
"I don't think the industry fully of logic and memory, and the
Abul noted that the product line appreciate the significance of DBI disaggregation of devices, DBI can
branding posed its own subset of as a room-temperature process," be that core enabling technology
challenges, as the technology itself says Cyprian. He says when he that is pervasive throughout the
evolved. For example, a completely was at IBM working on Watson, semiconductor space and allows us
different set of challenges had to be they were bonding silicon on to scale performance, functionality,
solved to develop the die-to-wafer insulator (SOI) wafers at 1200°C. cost, power, leveraging the existing
version of DBI. As such, the team "We couldn't imagine doing that infrastructure."
believed it deserved its own brand at 200°C. It never occurred to
and came up with the DBI Ultra us that we could bond at a low Conclusion
name. temperature."
So, there you have it: the DBI story
Big Breaks Laura says it's easy to forget how through the eyes of the people who
they felt the first time they looked are making it happen. What I loved
and Plot Twists at DBI. "What amazed me was most about this conversation is that
the simplicity around it and the every single person is passionate
"From the commercial perspective,
problems it solved," she recalls. "It about the technology, from those
licensing a company like Sony
looked so clever. The fact that the who conceived it to those who
was a massive milestone," said
bond happens at room temperature joined Xperi just for the opportunity
Craig. "It set the stage for broader
and then is heated to enhance to work on DBI. It's because of
industry to evaluate its potential
the interconnect is beautiful. The all of them that it is succeeding.
and apply it to their technology
physics works." She added that she Technologies don't just happen.
and product roadmaps." A more
could see why companies would It takes people to discover them,
recent milestone was licensing
want this technology, especially realize their potential, and push
the technology to SK hynix for
after all the money spent on them to succeed. Paul, Gill, Craig,
its memory products, as well as
advanced packaging technologies Guilian, Cyprian, Laura, Tom, Rick,
seeing it adopted into other high-
to come up with an MCM. and Abul, - I can't wait to see where
volume markets beyond image
sensors. you take this technology next.
"If you can control things to take

26 3DInCites.com 3D InCites Yearbook 27


Rising from the Ashes:
StratEdge Corporation’s Recent Rocky History

Figure 3. Belt furnaces for package manufacturing Figure 4. New StratEdge headquarters in Santee, California.

acquired at a handsome price, like Even though StratEdge is mostly support of 5G infrastructure has
so many dotcoms, had become an known for its high-performance, increased. The packages, most
impossible dream, and the company high-power packages made from often used to protect high-power lat-
had to be revamped. post-fired ceramic and molded erally-diffused metal-oxide semicon-
ceramic materials, the company has ductors (LDMOS), gallium arsenide
Back and Better than Ever provided microelectronic assem- (GaAs), silicon carbide (SiC), and
bly services since the late 1990s. GaN devices, match standard out-
Fast forward to today. The 2018 The influx of new, state-of-the-art lines developed to support cellular
factory fire had a couple of silver assembly equipment increased base stations. Run rates in excess
linings. The storeroom was on throughput for the assembly of of 100,000 packages are being
The company has weathered storms nisced. “It was like a ghost town. the opposite side of the building high-frequency and high-speed accommodated.
Figure 1. The fire and smoke damage
in the past. When it was founded The aisles were empty because no from the fire and the inventory was monolithic microwave integrated
By Casey Krawiec, StratEdge and became StratEdge, it was one attended. The saddest thing protected in vacuum-sealed bags, circuit (MMIC) devices, along with "As a result of the fire, StratEdge
Corporation owned by a Venture Capitalist (VC). was many of the booth sites were so no finished goods were lost. The passive components including some moved into a new facility in 2019
With its patented designs, StratEdge vacant because the companies company also had good insurance, with 50-ohm lines. This new auto- where we continue to design, man-
Since its founding in 1992, Strat- rode the telecom boom in the 1990s either went bankrupt or decided to which allowed for replacement mated equipment and proprietary ufacture, and provide assembly ser-
Edge has moved its factory a couple with highly successful products skip the show to save money on equipment to be purchased. And die-attach method performs precise vices for a complete line of post-fired
of times. Its recent move from used in very small aperture terminals travel expenses.” finally, the employees remained loyal eutectic, epoxy die attachment, ceramic, low-cost molded ceramic,
Sorrento Mesa, in San Diego, to (VSATs), internet infrastructure, and while the rebuilding effort took place. and wire bonding to ensure short, and ceramic QFN packages, some
nearby Santee, California, was not automated test equipment (ATE). After attending the IEEE MTT-S Most of StratEdge’s employees have consistent loop lengths and heights of which operate from DC to 63+
by choice. The president of Strat- But like so many other companies, International Microwave Sympo- been with the company for over for the most optimal electrical per- GHz,” said Tim Going, president and
Edge, Tim Going, received a most it was brought to its knees by the sium just a few weeks later, the fifteen years. formance. The company assembles CEO of StratEdge. “Although the
unpleasant call while on a business telecom bust in the early 2000s. VC decided to pull the plug. The packages and lids manufactured by process of rebuilding has certainly
trip in November 2018. The fire chief portfolio of companies in the fund Although a daunting task, re- had its challenges, it’s positioned us
StratEdge, as well as customer-fur-
told him that StratEdge was on fire. “I remember going to the Optical were bleeding cash, and despite a building the company practically to meet the increasing demands for
nished packages and boards. The
It is not the call you want to receive. Fiber Conference (OFC) in Atlanta in relatively healthy backlog of orders, from scratch had some benefits. 5G equipment and specialized de-
flexibility of the equipment and skill
It’s like driving your car and realizing 2003,” Jerry Carter, senior technolo- without VC funding, StratEdge StratEdge had been working with fense and commercial applications,
of its operators enables StratEdge to
you have a flat tire and need to pull gist and 28-year employee remi- became insolvent. The plan of being Palomar Technologies for several including radar, communications,
accommodate the numerous needs
over to fix it. But this sinking feeling years on perfecting gold-tin (AuSn) avionics, and customer-premises
of its customers.
in the gut is a hundred times worse. die attachment of chips, with a con- equipment (CPE)." (Figure 4.)
The fire originated somewhere in the centrated focus on gallium nitride As mentioned, StratEdge has de-
plating area, which included nickel (GaN) devices. Die attachment is the signed and manufactured packages Conclusion
and gold plating lines. The burning process of attaching a device to a for compound semiconductors
plastics and chemicals created tab or heat spreader, package, or since its beginning. Some of the belt The old saying about when life
plumes of caustic smoke that another die. StratEdge developed a furnaces used to produce molded hands you lemons, make lemonade,
essentially gutted the building and proprietary AuSn eutectic die attach ceramic packages were more than is true. The fire facilitated an up-
destroyed almost all of the electron- technique that, in conjunction with 40 years old. Amazingly, some of grade of the entire company. Strat-
ics within the equipment (Figure 1). its leaded laminate (LL) packages the ancient furnaces survived the Edge is better positioned to support
with CMC bases, was found to fire. The replacement furnaces its customers, including those with
A Stormy Past achieve superior thermal dissipation. are state-of-the-art, with multiple aerospace and military applications,
The Palomar 3880, a fully automat- sensors that allow more precise than ever before. The advanced
StratEdge has always been a nimble ed die bonder, was purchased and packaging lines and microelectronic
control of the various heating zones
company, making adjustments in installed along with other sophis- assembly services, combined with
within the furnace (Figure 3). Since
strategy or product offerings to stay ticated precision equipment in a electrical and environmental test
their installation, yields have steadily
competitive in the semiconductor new Class 1000 cleanroom, which capabilities and design services,
improved. With the new larger facility
packaging and assembly business. includes Class 100 work areas with have well-positioned the company to
and better equipment, StratEdge’s
It’s an industry where the only con- ESD control for performing sensitive support its customers in the various
capacity for building ceramic and
stant seems to be change. operations (Figure 2). industries in which they participate.
molded ceramic packages in
Figure 2. StratEdge’s Class 1000 cleanroom and class 100 work areas

28 3DInCites.com 3D InCites Yearbook 29


The Importance of Sustainability in
the environment in other ways than To get an apples-to-apples as-
just eliminating greenhouse gasses sessment of how companies are
(Figure 1).2 performing against one another

Semiconductor Manufacturing Most semiconductor equipment


from a sustainability perspective,
it would be beneficial for them to
companies appear to be taking participate with an organization that
By Dean Freeman, FTMA Gary Dickerson, of Applied Mate- sustainability seriously and have has a consistent methodology and
rials (AMAT) who laid out the com- programs to improve renewable ranking such as DJSI.
Since the Kyoto Protocol was ad- pany’s sustainability program for power utilization, as well as to
opted in 1997 and ratified in 2005, the future. According to Dickerson, determine how to reduce power ASE is a DJSI World Leader
sustainability has been a much-dis- AMAT will have 100% renewable consumption and water usage
cussed topic. In 2015-2016 with the energy sourcing in the U.S. by 2022 in the equipment that they sell to ASE has been on the DJSI as a
adoption of the Paris Agreement, and worldwide by 2030, and a 50% semiconductor manufacturers. The world leader for the past five years
which is an update to the Kyoto reduction in Scope 1 and 2 carbon companies that have been surveyed — a considerable accomplishment,
Protocol, sustainability discus- emissions by 2030. AMAT has sev- to date are also exploring how to considering the other companies on
sions and actions started to move eral power purchase agreements reduce greenhouse emissions from the world-wide list are from Taiwan.6
forward with much more vigor. Even where they expect to achieve 100% gases other than CO2. Two compa- ASE has increased renewable elec-
Figure 2: Intel’s 2020 sustainability goal results summary. (Source: Intel CSR report 2019-20
though the US administration in of- renewable sourcing in the United nies that have had concerted efforts executive summary.4)
tricity use in 2019 by 29% over 2018
fice from 2016-2020 withdrew from States and 73% worldwide by 2022. without as much press as Applied and seven of its manufacturing sites
the agreement due to the amount Materials are ASML, which is a power. By 2025 Intel expects to company also officially passed the have achieved over 100% renew-
Dickerson also announced plans for
the United States was financing it, recent addition to the 2020 Dow restore 100% of its water use.4 qualification to become the world’s able electricity usage. Water usage
a more sustainable supply chain,
as well as concerns about jobs for Jones Sustainability Indices (DJSI), first semiconductor company to join is down by 9% over 2018. ASE
both from an energy and water While not solely one of the key
coal and oil companies, many US and TEL, which has already made the RE100. also participates in a reforestation
perspective, as well as a materials drivers in the semiconductor equip-
companies embraced the Paris responsibility perspective. the DJSI list. The Dow Jones Sus- project to assist in the mitigation of
tainability World Index tracks the ment company’s efforts to jump As a whole, semiconductor com- greenhouse gasses. Since 2017, 27
Agreement and set-in place sus-
performance of the top 10% of the on board the sustainability train, panies are making a concerted hectares of land and 40,000 seed-
tainability targets that are published While the Applied Materials press
2,500 largest companies in the S&P Intel has had a significant impact effort in the sustainability area. In lings have been planted.
either in annual reports or in a cor- engine made a big announcement
Global Broad Market IndexSM that in moving sustainability forward. the October 12, 2020, Wall Street
porate social responsibility report at SEMICON West, other compa-
lead the field in terms of sustainabil- When most companies initiate Journal’s top 100 most sustainable From the semiconductor industries’
(CSR). Based on the number of nies in the semiconductor industry
ity. 79 semiconductor companies a sustainability effort, they also companies, three semiconductor participation in the DJSI, as well as
corporate announcements regard- have been focused on sustainabil-
were invited to participate in the incorporate their supply chain into companies, and one equipment the focus on corporate social re-
ing the increased use of renewable ity for many years as well, some
survey.3 the effort. Intel would not have been company are listed: sponsibility in companies’ corporate
energy to replace coal, oil, and with a great deal of success. Lam
able to successfully reduce water reporting, it is apparent that semi-
natural gas as energy sources, this Research has been publishing sus-
Semiconductor Companies are consumption at Rio Rancho and • 31 Texas Instruments conductor companies have taken
renewed effort is very visible. More- tainability reports since 2013. The
over, with continued improvements company set five-year goals in 2015 Leading the Way worldwide without the participation • 48 Intel their role in improving sustainability
in battery storage, the US continues and achieved those targets in 2019.1
of its key equipment vendors. In • 66 Ebara seriously. Even to the point where
From a semiconductor company 2012, Intel introduced its Program companies such as Intel are looking
to increase its use of renewables as • 71 ST Microelectronics
Many semiconductor equipment perspective, Intel has been focused to Accelerate Supplier Sustainability at how they can reduce the power
a viable energy source.
companies such as Lam, ASML, on sustainability efforts since before (PASS). The company completes This is a fairly significant achieve- consumption in processors, and
Semiconductor Tokyo Electron (TEL), and AMAT are 2000 with efforts to conserve water on-site audits for its top 75 sup- ment considering the WSJ looked equipment companies consider
Sustainability Efforts using the United Nations Sustain- at its New Mexico facility. In Intel’s pliers with assessments on 300 at over 5500 companies in their how to reduce water and power
able Development Goals to help 2020 Corporate Responsibility at factors. In 2019 Intel aimed to have study.5 usage in process equipment. As
Years ago, SEMI spearheaded en- them set targets for future sustain- Intel executive summary, the com- 90% of its suppliers in PASS meet- semiconductor companies contin-
vironmental safety and health (ESH) ability, as well as other social needs pany reports it has saved 44 billion ing advanced expectations (Figure As mentioned above, the Dow ue to set goals and plan it will be
safety standards for the industry, like diversity, hunger, and caring for gallons of water in the past decade, 2). These programs are likely part Jones Sustainability Index (DJSI) interesting to see how they continue
as well as restriction of hazardous along with 37 billion KWH of green of a catalyst for many companies in monitors many companies world- to drive the needle in improving
substances (RoHS) efforts, and the semiconductor manufacturing wide using a rigorous methodology. sustainability.
organized a green manufacturing industry to have initiated their CSR Fifty-eight semiconductor-related
committee. SEMI also helped coor- programs, as most appear to have companies were assessed in 2020. References
dinate efforts to reduced ozone-de- started the programs in the 2013- Of those 58 companies, seven 1. Lam Research Corporate Social Respon-
pleting gasses, back when the 2014 time. made the world-wide list, others sibility Report 2019
semiconductor industry used and made regional listing. ASML Hold-
released ozone-depleting gasses Intel is not the only semiconductor ing NV was added in 2020 to the 2. Sustainable Development Goals, United
Nations Foundation
into the atmosphere. Techniques company to have a strong focus on worldwide list. Other companies on
were developed to either find sustainability. TSMC, the industry’s the world-wide list are TSMC, UMC, 3. SPG Global, Inviting Companies
alternative gasses for use in the largest foundry, also has embarked Win Semiconductor, ASE Technol-
production facilities or methods to on a significant sustainable effort. ogy Holding Co., Infineon Technol-
4. Corporate Social Responsibility at Intel

reduce the level of gasses released TSMC’s sustainable goal for 2030 ogies AG, and ST Microelectronics 5. The 100 Most Sustainably Managed
into the atmosphere. is to supply 25% of the power NV. Companies in the World, Wall Street
consumed by its fabrication plants Journal
At virtual SEMICON West 2020, from renewable energy, and 100% Companies that received a region- 6. ASE Technology Holding Named 2020
SEMI focused several keynotes on Figure 1: In September 2015, 193 member states of the United Nations adopted 17 new Sus- for other facilities’ power consump- al listing are Texas Instruments, Industry Leader in the Dow Jones Sus-
sustainability, featuring first former tainable Development Goals (SDGs) to make our world more prosperous, inclusive, sustainable, tion. In July 2020, TSMC’s power SK Hynix, TEL, Micron, Nvidia, tainability Indices, ASE
and resilient. Lam recognizes the importance of these goals and the roles businesses play in
Vice President Al Gore, followed by achieving them. Lam is committed to aligning its programs and initiatives and do its part toward
purchasing agreements for renew- On-Semiconductor, and Intel.
achieving these global goals. (Image source: Lam Research 2019 CSR Report) able energy totaled 1.2 GW, and the

30 3DInCites.com 3D InCites Yearbook 31


Fan Out Panel Level Packaging Takes Off
By Ralph Zoberbier, Roland opening up a whole new range of The first developments for panel
Rettenmeier, Allan Jaunzens, possibilities. OEMs are aggressively level equipment and process
Evatec driving their contract manufacturers solutions were undertaken by the
to utilize these new substrate supply chain five years ago, and
Fanout (FO) packaging is one of the sizes and packaging processes to since that time the first R&D and
key growing segments in advanced leverage cost savings. Qualifying pilot lines were established. These
packaging, with high adoption power management ICs (PMICs) lines evolved into pilot production
rates and strong technology and developing the next generation in 2020, and in 2021 we will see
advantages offering a strong of chiplet packages are interesting early adopters finally move into high
pathway moving forward to support challenges that lay ahead now that volume manufacturing with a wider
industry roadmaps. The nature of high performance, fab-compatible range of products. As reported
reconstituted substrates is now (full SECS/GEM and OHT by Yole Développement, leading
enabling FO packaging on panels integration, etc.) panel solutions are companies like Samsung, Nepes,
too. Fan out packaging is no longer commercially available. and Powertech International (PTI)
limited to the silicon wafer format, have publicly announced their

What Does PLP


Well Degassed Not Degassed

Mean for Seed


Figure 3: Fully automated cluster tool for panel sizes of 600x600mm integrating degas, etch and deposition process modules

Layers? readiness. Others like ASE Group,


Amkor Technology, and newcomers
Next generation developments
in systems in package (SiP)
limit the overall substrate utilization
and be detrimental to overall cost
like ESWIN are coming to the end of or solutions for AI and high- of ownership. Of course, technical
Seed layer deposition is one of their final technology qualifications performance computing (HPC) process performance, handling
the most critical process steps as 2020 closes and are ready to applications will also then push the capabilities, and semiconductor
in manufacturing vertical and make the next big step by including industry towards very large package like quality must be proven. Missing
horizontal interconnects. At the panel packaging into their product bodies. This second wave will industry norms and standardization
panel level, seed layer deposi- Figure 1: Large difference in film quality and adhesive strength for films deposited on offerings. All this can be considered also require panel level packaging were an issue in bringing the
Ajinomoto Build-up Film (ABF) GY50 substrates without or with prior substrate degas.
tion must deliver high perfor- (Images courtesy of NTB, Buchs: Substrate material: ABF GY 50, 20nm SiO2 equiva-
as the first wave of panel level (PLP) technologies as current wafer technology to market over the last
mance degas, etch, and sputter lent etc. 100nm Ti, 300nm Cu, electroplating, structuring and milling of coupons prior packaging. dimensions and shape will severely few years but finally, we at least now
deposition processes as well to peel tests according to IPC standards).
managed substrate temperature
throughout the whole process Desorption is driven by the and precise temperature con-
to ensure low contact resis- concentration gradient, which trol of substrates (conductive
Step 2:
must be avoided by controlling
tance (Rc) and excellent adhe- is the same whether desorption heating), emissivity indepen- plasma densities and ion
sion of the seed layers prior to takes place under vacuum or dent temperature control (no bombardment, while etch
downstream processing. (litho, in atmosphere. However, the overheating and no local hot powers/rates also need to
electroplating, etc.). advantage in atmosphere is that spots), and transport of volatile Etching processes — perfect-
be managed to remain within
the concentration gradient can compounds away from the sub- ly tuned for large area panel
thermal budget.
Step 1:
always be kept high if a laminar strates (no re-contamination) processing
flow of inert gas is applied while Etch uniformity results on a
SEM images in Figure 1 illus- Removing native oxides and
under vacuum, the degassing panel size of 600m x 600m
trates film quality on ABF GY50 preparing the substrates’
Repeatable and reliable of the volatile components has using a capacitively coupled
substrates with and without interface are the major reasons
outgassing of organic to take place by less efficient plasma (CCP) source are
prior degassing. Without proper for etching in advanced
substrates molecular diffusion. So far, it shown in Figure 2. The
degassing the films can end up packaging. Low Rc and
looks like atmospheric technol- achievable uniformities of <10%
with defects such as voids or superior adhesion of the Figure 2: Etch uniformity over
Degassing is an important first ogy where a batch of substrates fall within the specifications
holes. In peel testing accord- sputtered seed layer are the 600x600mm panels is better than 10%
step in ensuring good bond are simultaneously degassed demanded by process with an edge exclusion of 20mm
ing to IPC standards, adhesive results.
strength for the seed layer. in multiple heated slots with developers and processing
Industry solutions so far have nitrogen laminar flow will be the bond strength was measured with a static panel addresses
Key requirements for high forming vertical interconnects
included both atmospheric and winner for organic substrates at 800N/m for well degassed the key concerns of reducing
process repeatability and will also require combinations
vacuum approaches. Diffusion (e.g. AMC, ABF, PID, PI, etc.). substrates but only 50N/m for risk of particle generation.
yield are high etch uniformity of physical and chemical etch
of volatile components from the equivalent processes omitting
over large areas with low (CHF3, C3F8, CF4, O2, N2+H2,
bulk material to the surface is Features favoring atmospheric the degas step. Some process technologies
edge exclusion and particle Ar+H2, etc.)
driven by temperature and time. degas technology include fast such as laser via descum or
avoidance. Substrate damage

32 3DInCites.com 3D InCites Yearbook 33


Step 3: Sputter deposition - Superior seed layer adhesion and uniformity 100%

Just like for the etch process, Deposition uniformity results for 4) also enables processing 80%

Panel or wafer utilization %


key performance specifications the required Ti and Cu layers static panels to reduce risk of
for overall process yield include are shown for panel sizes of particle contamination while 60%
high deposition uniformity 600mm x 600mm in Figure still achieving the required Wafer utilization Panel utilization
with low edge exclusion 3. In this case, rotating target deposition uniformities. (300mm) (510 x 515mm)
40%
and avoidance of particles. sputter technology (Figure

20%

0
0 2,000 4,000 6,000 8,000 10,000
1,000 3,000 5,000 7,000 9,000

Figure 2: Advantages of advanced packaging on panel: The economic cost advantages of manufacturing on panel level get bigger with die size (As
presented by Intel at IMAPS 2020)

compatible cluster tool designed for “In terms of advanced packaging the latter can offer more-cost-
Figure 4. Rotating cathode sputter panel sizes up to 650x650mm. technology, TSMC now offers the effective production as panels
Figure 3: Deposition uniformities over 600x600mm panels better than 5% on titanium technology delivers excellent uniformity largest InFO capacity in the world can be cut into subpanels that
and copper layers with an edge exclusion of 20mm over large areas We believe It’s going to be an and Samsung Electronics also will involve simplified process and
exciting time for the advanced has its own advanced packaging competitive cost structure to help
packaging industry and panel solutions, but PTI now focuses clients save production cost. And
processing technology as capacities on FOPLP technology. We are we are targeting leading vendors of
ramp in the years to come, but if continuing negotiations with networking chips as potential clients
see consolidated panel sizes for dielectrics and plated Cu, followed and electrolytic plating play a key you don’t believe us why not listen potential clients over the FOPLP for our FOPLP services, and it will
600x600mm and 510x515mm in the by Capex costs for lithography and role in enabling cost effective, to what the industry experts say. backend services. not be impossible for us to serve
industry (Figure 1). metallization equipment. In moving reliable high-volume manufacturing The situation was well summarized even vendors of GPUs and handset
from wafer to panel, the contribution on large substrates. After a long by Boris Hsieh, CEO of PTI inc. in a If InFO and FOPLP are both suitable
Market Outlook APs in the future.”
of material costs is expected to stay period of investment in hardware recent interview with Digitimes: for packaging some types of ICs,
at a similar level, so cost reductions and process developments, the
Establishing PLP manufacturing will be sought in equipment that equipment industry is now able
lines does require investment in simply processes larger substrates to provide tailored equipment
dedicated panel equipment for with more packages at the same solutions.
temporary bonding, pick-and- time. Multiplying the output by a
place, molding, grinding/polishing, factor of three or more, but still Sophisticated technologies might
sputtering, resist lamination, achieving the same level of process continue to drive device technology
lithography, electro plating, and performance on 500mm panels as forward at the wafer level, but
etching. Utilizing 300mm equipment on 300mm wafers, is the biggest the baseline expectation is that
might then be reasonable for back- argument for PLP. Rectangular performance at panel level will keep
end-of-line (BEOL) processes such substrates are also preferred over up to match whatever is achieved on
as testing and dicing. Nevertheless, round when it comes to material wafer scale.
PLP certainly offers the potential utilization — especially with bigger
for lower cost of ownership due packages as illustrated in Figure 2. Panel Solutions Are Ready Now
to the larger substrate area and
better economical manufacturing of Process technologies like Figure 3 shows an example of
bigger packages because of better lithography, seed layer deposition commercially available SEMI
material utilization. Many analysts
have looked at the merits in moving
from wafer to PLP packaging in Thousands of Panels Millions of Packages
more detail. It is certainly clear that 350 2,500
with a bigger size of substrate the
Millions of Packages
Thousands of Panels

300
89.7% CAGR 2,000
substrate cost portion per package 250
2019-2024
should see a significant reduction 1,500
200
due to economies of scale. 108.9% CAGR
2019-2024
150 1,000
Within a typical FO manufacturing 100
process, the redistribution layer 50
500

(RDL) cost is considered one of the


0 0
key contributors at around 40%. 2019 2020 2021 2022 2023 2024 2019 2020 2021 2022 2023 2024
The largest costs for the RDL itself
come from material costs like photo Figure 1: Market forecast for low density FO Panel Demand (Courtesy of TechSearch
International)

34 3DInCites.com 3D InCites Yearbook 35


Die-to-Wafer Bonding Steps into the Spotlight on a
Heterogeneous Integration Stage
By Dr. Thomas Uhrmann,
EV Group Co-D2W DP-D2W
Transfer Collective Die Transfer by Direct Placement of Activated
The semiconductor industry is cur- Method Reconstituted Carrier Dies using Flip Chip Bonder
rently undergoing the most radical
change in its history. Many new
applications such as artificial intelli-
• Proven technology Bonding Interface
• Die activation and cleaning
gence (AI), augmented/virtual reality
equivalent to W2W hybrid • Versatile method Figure 2: TEM cross section images of die to wafer bonded interfaces using hybrid bonding
and autonomous driving require Pros bonding
enormous computing power with • Die thickness invariant
• Oxide management
processors optimized specifically for
sensors and various memory and dicing tape. The protection layer automated cleaning system using
each application. At the same time, • Rework on carrier feasible
logic technologies. However, since material can be a commercially solvent-based and/or water-based
development cycles are becoming
many chiplets are not necessar- available positive resist and/or any cleaning chemistries.
shorter, costs for new chip designs Error propagation of D2W +
• Bonding interface needs to

be touched ily the same size, a die-to-wafer other protection layer that fulfills
are rising exponentially, and in many W2W alignment In preparation for the hybrid bond-
(D2W) hybrid bonding approach the specification of the dicing
cases, yields are declining. All of • Die handling, especially for ing process itself, plasma activa-
• Cost of carrier prep, utilization may be a more practical option. method used and can be subse-
these aspects can only be tackled if Cons multi-die stacks such as tion in combination with deionized
and clean
SRAM, DRAM
There are several different D2W quently completely removed using
the principles of the entire semicon- water-based wafer cleaning is used
Die thickness needs to be in bonding approaches being consid- a solvent- or water-based cleaning
ductor manufacturing process are •
Particle management during for substrate preparation on both
narrow range

ered for heterogeneous integration, process. The spin coating of the
changed. die placement the collective die carrier and target
each with different advantages and protection layer onto wafers with
disadvantages as shown in Table low topography can be performed wafers. The carrier wafer is then
While 2D transistor scaling is still Table 1: Hybrid die-to-wafer bonding approaches for heterogeneous integration 1. Determining which approach is using an EVG150 automated coat- flipped and aligned with the target
important, the rising costs and com-
best suited to a given application ing tool. For wafers with a topogra- wafer using an EVG SmartView NT
plexity associated with scaling have processor, memory, and AI/neural be produced with that technology, depends on several factors such phy that is greater than 5µm, spray optical aligner. Next, the dies on
driven the semiconductor industry to components using advanced pack- whereas the remaining chiplets as die size, die thickness, and total coating can be performed using the carrier wafer are bonded with
turn to 3D integration and hetero- aging. This transformation will only can be manufactured with old- stack height as well as interface the same equipment. the target wafer using a GEMINI FB
geneous integration — the manu- accelerate in the coming years with er-generation (and less costly) considerations such as contact automated fusion wafer bonding
facturing, assembly and packaging the further miniaturization of these lithography processes. Thus, the design and density. To temporarily place the die on the system. Separating the collective
of multiple different components or components from dies to chiplets, complexities and innovations of collective die carrier using a pick- die carrier from the transferred
dies with different feature sizes and thus enabling a much more precise semiconductor manufacturing are Collective Die-to-Wafer and-place D2W bonder and lock in dies can then be accomplished
materials onto a single device or and individual mapping of customer increasingly shifting into advanced Bonding their position during the cleaning, using laser or thermal slide/lift-off
package — to increase performance and application requirements. packaging, which in turn makes die preparation, and transfer pro- debonding.
on new device generations support- flexible hybrid bonding technolo- One hybrid D2W bonding method cess, the carrier wafer is coated
ing these new applications. Chiplets and Hybrid Bonding gies increasingly important. that has already been implemented A recent publication highlighting
with a commercially available
in volume production for the past temporary bonding material. The this method demonstrated <2µm
This migration to advanced pack- The ability to split large chips Wafer-to-wafer (W2W) hybrid few years for applications such type of temporary bonding adhe- placement accuracy and high die
aging as a leading driver of innova- that are several hundred square bonding, which involves stacking as silicon photonics is collective sive that is used depends on the transfer rate using currently avail-
tion began with the transition from millimeters into smaller parts can and electrically connecting wafers die-to-wafer (Co-D2W) bonding. In post-processing steps and can be able wafer bonding and debond-
monolithic to die-level systems, such result in better yield, thus saving from different production lines, is a Co-D2W bonding, singulated dies deposited on carrier substrates ing, die bonding, metrology and
as newly released smartphone ap- costs. Furthermore, only those central process in heterogeneous are transferred via a carrier wafer using the EVG850TB tempo- cleaning process equipment.1
plication processors, which combine chiplets requiring the latest-gen- integration and has a proven track to the final wafer and bonded col- rary bonder. Different metrology
individual components such as the eration-node lithography have to record of success for CMOS image Figure 2 shows the transmission
lectively in a single process step. systems can be used during the
The manufacturing flow for the Co- carrier preparation process, such electron microscope cross-sec-
D2W bonding process is shown in as the EVG40NT to measure tion images of the transferred dies
Transfer Carrier Preparation Carrier Population Wafer-to-Wafer Bonding Carrier Separation Figure 1 and consists of four major die placement accuracy and the after hybrid bonding. A closed
segments: carrier preparation, EVG50 to evaluate the incoming bond line with Cu grains grown
carrier population, wafer bonding die height variation die-to-die over the bonded interface could
(temporary and permanent), and surface planarity and the adhesive be demonstrated after subsequent
carrier separation. thickness. thermal annealing. The Cu grain
growth over the bonded interface
Prior to the initial wafer dicing The diced dies coated with the indicates a high bonding quality.
process, the wafer is coated with protection layer are placed with the Further alignment improvements
a protection layer to preserve the bonding surface facing up on the are expected with the next gen-
bonding interface quality during collective die carrier to allow re- eration of die bonders, enabling
the dicing and pick-and-place moval of the protection layer from the process flow to achieve well
processes as the bonding inter- the die surface. This removal can below 1µm overall die alignment
Figure 1: Collective die-to-wafer bonding method
face is orientated face-up on the be accomplished using an EVG320 accuracy.

36 3DInCites.com 3D InCites Yearbook 37


Direct Placement interface to enable seamless enhanced products and applica- The Future is Heterogeneous Integration continued from 15
Die-to-Wafer Bonding integration with third-party pick- tions driven by advances in system
and-place die bonding systems. It integration and packaging. The
Another hybrid D2W bonding also can operate as a stand-alone basic idea for the foundation of the of many electronic components, and AI/ML applications. Looking further into 2021 and
approach that is beginning to be system depending on integration HICC is to make the barriers for subsystems, and electronics beyond, key developments in
implemented for heterogeneous products. A Smarter, Healthier, More packaging that create higher
and line balancing requirements. development as low as possible to
integration applications is direct Efficient Future performance systems and utilize
The system incorporates EVG’s customers and to offer EVG as an
placement die-to-wafer (DP-D2W) ASE has developed and offers a less power will be deployed. System
advanced cleaning and plasma incubator for new ideas. Through Semiconductor applications do
bonding whereby the dies are wide portfolio of Si-level integration performance will continue the pace
activation technology, which is the HICC, EVG can assist in accel- exist everywhere in our daily lives
transferred to the final wafer one technology solutions, from low- to of the Moore’s Law era, albeit in a
available across its W2W fusion erating technology development, today. Engineers constantly face
at a time using a pick-and-place high-density chiplet integration different way than with the previous
and hybrid bonding platforms and minimizing risk, and developing significant challenges, albeit very
flip-chip bonder. Figure 3 shows including flip-chip multi-chip-module total reliance on semiconductor chip
has been proven in hundreds of differentiating technologies and different from the challenges faced
the manufacturing flow for the (FC-MCM), fanout chip-on-substrate lithography and SoC integration.
installed modules worldwide. It products through heterogeneous by engineers in the 1960s. One
DP-D2W bonding process, which (FOCoS), and 3D IC. The advanced There is tremendous optimism that
also features an integrated metrol- integration and advanced pack- cannot but be deeply motivated by
consists of three major segments: FOCoS technology can provide innovations in the IC packaging
ogy module that provides direct aging all while guaranteeing the a speech delivered by the former
carrier population, die clean and short die-to-die connection and industry will continue on a
feedback to the die bonder on highest IP protection standards President of United States, John F.
activation, and direct placement high interconnections (10,000s), heterogeneous integration journey.
critical process parameters, such that are required for working on Kennedy on September 12, 1962,
flip chip. redistribution layers (RDL) with 2µm Discovery, creativity, innovation, and
as die placement accuracy and pre-release products. "We choose to go to the moon
line/space, and up to four layers for very importantly, collaboration - will
die-height information as well as in this decade and do the other
The DP-D2W bonding process flow Summary chip-first and chip-last packaging enable applications that make our
post-bond metrology, for improved things, not because they are easy,
begins much in the same way as processes. It produces a lower-cost world smarter, healthier, and more
process control. but because they are hard because
the Co-D2W bonding process flow, D2W hybrid bonding is an en- solution with improved electrical efficient. It is our hope to leave it in
with singulated dies added face abling process to accelerate the performance compared to a 2.5D that goal will serve to organize and an infinitely far better place for future
An Incubator for New
up to a carrier wafer. Even though deployment of 3D/heterogeneous Si interposer solution due to the measure the best of our energies generations to live, work, play, and
Heterogeneous Integration
DP-D2W offers higher flexibility integration and bring about new elimination of Si through silicon and skills, because that challenge communicate.
Concepts
compared to Co-D2W, especial- generations of devices with high via (TSV) processes and reduced is one that we are willing to accept,
ly in terms of multi-die stacking To determine the best bond- bandwidth, high performance, and insertion loss. ASE is evolving this one we are unwilling to postpone,
for high bandwidth memory, the ing method for their respective low power consumption. While advanced packaging platform to and one which we intend to win, and
challenges in cleanliness and devices, manufacturers must put the infrastructure for D2W hybrid meet application demands for HPC the others, too."
activation are the same as with together extensive development bonding is still evolving, new pro-
any fusion bonding technique. In projects that not only take into cess solutions and collaborations
order to transport the wafers from account the wafer bonding equip- across the supply chain are on the
the back-end grinding and dicing ment itself, but also the materials rise and will play an essential role
steps to a front-end clean hybrid involved (such as photoresists in creating best known methods of
bonding step, the dies often re- and adhesives for temporary and D2W hybrid bonding.
quire repopulation on a dedicated permanent bonding), as well as
cleaning carrier wafer. The carrier
wafer then undergoes plasma
related equipment and processes
(such as wafer cleaning, carrier
Acknowledgement
When plasma matters
activation and cleaning. Howev- handling, die bonding, etc.). Exten- The author wishes to thank Jürgen
er, instead of bonding the carrier sive process expertise is a must, Burggraf and Mariana Pires of EV
wafer to the target wafer, the dies while having access to the latest- Group for their assistance with
are bonded to the target wafer one generation technologies is also the development of this article,
by one using a pick-and-place flip- key. However, since these systems as well as thank IRT Nanoelec
chip bonder.

The die cleaning step is a crucial


are often already in production use
at the customer’s site, they may
not be easily accessible for R&D or
and CEA-Leti for providing the
substrates used in the CoD2W
bonding demonstration cited in
Discover our NEO series
part of the overall process flow experiments. this article.
that demands a dedicated tool
for cleaning and activation. The To address these challenges, EVG References
Innovative plasma-based solutions for photo resist
recently introduced EVG320D2W established the Heterogeneous 1. J. Burggraf, M. Pires, T. Uhrmann, “Collective
removal, surface cleaning and isotropic etching.
has been designed as a high- Integration Competence Center™ Die Bonding - An Enabling Toolkit for Heteroge-
neous Integration,” PRiME 2020 (ECS, ECSJ &
ly flexible die preparation and (HICC), which assists customers in KECS Joint Meeting), 2020.
Fully automatic multi chamber equipment for high
activation system that features leveraging EVG process solutions volume semiconductor fabs.
a universal hardware/software and expertise to enable new and
The best-in-class solutions for Front-end automotive,
Cleaning Carrier Population Die Clean and Activation Direct Placement Flip Chip
RF-filter, Compound and MEMS applications:
• High and low temperature ashing / descum
• Isotropic etching of Si3N4 , SiO2 , BCB
• Post DRIE Polymer removal
• SU-8 ashing NEO series
to 4
• Sacrificial and release layer removal • From 1 up
ss ch am bers
proce
to
Figure 3: DP-D2W bonding method • From 3" up
12" w afer s
www.trymax-semiconductor.com
38 3DInCites.com 3D InCites Yearbook 39
Distributed and supported in North America by
Gas Connection Tube

Beenakker Cavity
Gas Discharge
Tube

Ar O2 H2

Coaxial Cable

Microwave
D
Generator CC
Plasma Effluent

IC Package Sample
Computer
Figure 2. Schematic
Figure 1. A 26mmx29mm SiP module after acid decapsulation (left) and after MIP decapsulation (right)
representation of the
Programmable XYZ-stage
MIP system

Artifact-free Decapsulation of 2.5D and 3D


Semiconductor Packages with Atmospheric Pressure
novel, low-power (P<100W) have been decapsulated using the bath. This etch-clean-dry cycle is
atmospheric pressure microwave MIP tool. repeated, enabling layer-by-layer
induced plasma (MIP). The highly removal of epoxy mold compound

Microwave Induced Plasma for True Root Cause Analysis


confined plasma beam of the MIP The MIP tool consists of a low- while the functional components
tool results in a high flux of neutral power microwave generator (2450 and the original failure sites in the
oxygen radicals in the plasma MHz), a custom-built Beenakker- package are preserved.3
afterglow, which contributes to type microwave resonant cavity,
By Lea Heusinger-Jonda and relatively small, package-to-die Using conventional CF4-based the high molding compound etch a gas discharge tube, mass Figure 3 illustrates the described
Jiaqi Tang, Ph.D. size ratio, the decapsulation of RIE decapsulation makes it even rate and high etch selectivity of flow controllers, a camera, a MIP decapsulation process
complex 3D stacked-die packages more difficult to reach the middle common wire bond materials, programmable XYZ-stage, cleaning flow. Sample preparation is not
As semiconductor devices are appears to be very challenging and bottom layer structures due unit and drying unit (Figure 2). necessarily required prior to MIP
such as gold, silver and copper,
subject to increasingly higher when using conventional to the thick molding compound processing. However, to optimize
and Si die. As has been previously
quality and reliability standards, techniques involving acids or layer and stepwise structure. Also, The MIP process is carried out in the process and reduce overall
reported, the atmospheric
extensive measures must be carbon tetrafluoride (CF4) based CF4 often causes over-etching two steps. During the first step, the decapsulation time, it is helpful
pressure oxygen-only MIP etching
taken to ensure these standards reactive ion etch (RIE) systems. damage to the passivation layer epoxy in the molding compound to remove bulk mold compound
can achieve high selectivity of
are met. At the same time, The latter two techniques have and the silicon die, as these is selectively removed by high above the top wire loops using
mold compound to wire/pad/
new highly integrated, complex intrinsic limitations, which are materials are readily etched in density oxygen radicals in the MIP methods such as laser ablation
passivation/die.2 The absence of
packaging solutions – including especially apparent in advanced fluorine plasma. The high energy effluent beam that are scanned or milling. Figure 3a shows a
ion bombardment and microwave
a variety of new materials and packages that include 3D ion bombardment in the commonly across the sample surface. During package after laser ablation, which
stray fields is crucial to prevent
structures – are being adopted structures. used RIE plasma systems can the second step, the remaining is then etched by MIP in Figure 3b,
damaging the device inside the
to achieve the economic and damage the electrical functionality agglomerate layer of silicon and cleaned in deionized water
package. It has been shown that
performance advantages that Conventional acid decapsulation of the device, preventing further dioxide filler particles is removed in Figure 3c to remove the filler
semiconductor devices remain
were previously met with silicon can easily damage the top analysis steps. To summarize: The in an ultrasonic deionized water particles.4
fully functional after their packages
scaling. These factors call for the layer die and bond wires due to use of acid or CF4-based plasma
use of highly advanced tools to overexposure in acid, while the decapsulation methods can induce
analyze potential failures, which middle and bottom layer structures damage or alteration of original
often require physical access to remain unexposed. It is also structures, and possibly introduce a. c.
b. d.
the die. Obtaining unambiguous quite challenging to preserve the corrosion, over-etching and foreign
results in failure analysis strongly package perimeter in order to contamination reducing analysis
depends on the quality of sample perform further electrical tests in accuracy and confidence levels
preparation, such as selective specific test sockets when using during root cause failure analysis.1
removal of the encapsulant acid decapsulation. The image
material while preserving the bond labeled ‘Acid’ in Figure 1 gives To overcome the limitations
wires, re-distribution metal, bond an indication of the over-etching of conventional decapsulation
pads, passivation, die, and the and corrosion damage induced by techniques and enable artifact-
original failure sites. acid decapsulation of a system-in- free sample preparation, a fully
package (SiP) module. automatic decapsulation machine Figure 3. Process flow of the halogen-free MIP decapsulation, showing a) the package surface after laser ablation, b) followed by MIP etching, and c)
Due to the stacking structure and has been developed based on followed by ultrasonic cleaning in deionized water to remove the SiO2 filler particles. d) fully decapsulated sample

40 3DInCites.com 3D InCites Yearbook 41


a. b.

Figure 4. Optical microscope image on the 1st layer (a) and the 2nd layer of flash memory stacked die (b) in the SiP module after MIP decapsulation

a. b. The etching chemistry and


subsequent high selectivity of Figure 6. (a) Optical image of High-Bandwidth Memory (HBM) overmold and surrounding underfill. (b) Optical image of HBM after MIP processing
Interposer
the MIP decapsulation process has removed the overmold and underfill over the interposer and between the top chips
removes the risk of overetching
and facilitates the removal of the
packaging material while ensuring etchers will attack the passivation, enables complex advanced 2. Ibid.

Top that the bond wires, bond pads, metal layers and chip silicon. packaging decapsulation, ensuring 3. C. Odegard, A. Burnett, J. Tang, J. Wang,
Interposer Chip
dies, original structures, and failure Contrary to that, the atmospheric a high success rate of the related ‘Preserving Evidence for Root Cause Investi-
gations with Halogen-Free Microwave Induced
sites are preserved in an excellent pressure MIP is a highly selective failure analyses and reliability Plasma Decapsulation’, in Proceedings 44th
Figure 5. a) MIP processing exposed the first row of µpillars in a cross section and b) MIP state. The image labeled MIP in and isotropic process and can investigations. International Symposium for Testing and Failure
Analysis, 2018.
process was able to expose the second row into the sample where the first µpillar row was
Figure 1 illustrates artifact-free expose interposer interconnects
mechanically polished into while preserving all materials and References 4. Ibid
exposure of all active and passive
devices in a SiP module using original failures sites, allowing for 1. J. Tang, M. R. Curiel, S. L. Furcone, E. G. 5. K. Distelhurst, J. Myers, D. Bader, P. Pichu-
MIP. Figure 4 shows more detailed subsequent, careful analysis. J. Reinders, C. T. . Revenberg, and C. I. M. mani, J. Tang, M. McKinnon, “Analysis of 2.5D
Beenakker, ‘Failure analysis of complex 3D Module after Underfill Removal with a CF4-Free
images of a decapsulated 3D

MOSAIC
stacked-die IC packages using Microwave Microwave Induced Plasma (MIP) Spot Etch
Using the atmospheric pressure Induced Plasma afterglow decapsulation’, in
structure on the SiP module. Process”, to be published at International Sym-
MIP process to remove underfill 2015 IEEE 65th Electronic Components and
Technology Conference (ECTC), 2015.
posium for Testing and Failure Analysis, 2020.

As part of the MIP tool’s over or around 2.5D structures


continuous application does not alter all other materials
development, focus has recently on the samples. µBumps can also

microsystems
been placed on 2.5D packages, be cleanly exposed either from
proving that the MIP process the top down or in a cross-section
selectively removes organic (Figure 5), enabling analysis of
materials, such as epoxy mold defects further into the cross-
compound, underfill or polyimide, section, while guaranteeing that no
The Future is Clear on a die or in-between two dies on artifacts are induced by the sample

ERS
Mosaic’s proprietary VIAFFIRM™ bond enables thin glass the 2.5D package to enable further preparation.5
solutions for demanding next generation microelectronics analysis steps. Cu micro-bump,
Removing the packaging material
and photonics packaging needs. solder joint, die pad exposure (top
between closely spaced chips
down or cross-section exposure)
on a silicon interposer is quite
on 2.5D interposer die or 3D NAND
www.mosaicmicro.com challenging. MIP's ability to

AD
dies are areas where MIP shows
remove the packaging material
its unique capabilities compared
from these very high aspect ratio
to conventional decapsulation
spaces allows inspection of the
techniques.
conductive traces in the silicon
Interposer interconnects, for interposer in this area of high risk
example, are difficult to expose of interconnect failure (Figure 6).
using conventional decapsulation
The atmospheric pressure MIP
methods, because the laminate,
system is a unique tool for artifact-
chip bumps and µbumps would
free decapsulation to enable
be attacked by wet chemicals.
undisputable identification of
Fluorocarbon-based plasma
failures. The system’s high etching
selectivity, speed, and repeatability

42 3DInCites.com 3D InCites Yearbook 43


Figure 1: Technology gap between traditional back end and front-end manufacturing phases

Hybrid Bonding Bridges the Technology Gap The dense copper interconnects fuel an offshoot of Moore’s law; and opportunities for the industry.
associated with hybrid bonding closing the gap between what is To keep yields economically
By Swati Ramanathan in computing — fueling Moore’s Closing the Gap leads to improved electrical considered front end and back favorable, the increased
and Stephen Hiebert, KLA law. In recent years however, performance and bandwidth, while end. Additionally, foundries and complexity brings about a greater
Corporation thanks in part to the increased As advanced packaging adopts direct die-to-die pad connectivity IDMs already skilled in front- need for metrology, inspection,
importance of memory in Big complex processes from the front allows for a lower standoff height end technology may be quicker and testing.
A Technology Chasm Data and AI applications, the end, the clear separation that and thinner package size. Further, to adapt to the high process
semiconductor industry is at a once existed between front-end by using pre-inspected, diced complexity demanded by hybrid • Shrinking design rules and
Until recently, the world of IC point where processing speed and back-end wafer processing small copper pad dimensions
known good die (KGD) during the bonding; but OSATs will be
fabrication was neatly divided into is not the efficiency limiter. The is starting to blur. This fuzziness require precise overlay to ensure
bonding process for applications working hard to catch up.
the distinct stages of front-end efficiency of the entire finished is best embodied by packaging pad contact and electrical
like 3D stacks in both high
and back-end processing, with package is critical and is limited by technologies like hybrid bonding, Hybrid Bonding Challenges connectivity
bandwidth memory (HBM) and
a large chasm separating them its least efficient component. which due to its extremely
for both process complexity and demanding process complexity
logic, increased yield for the high
The use of front-end-like • Surface smoothness, and
value package can be realized. precise dishing are required,
economic value. The front end Innovation is not new to the and small features, uses technologies in hybrid bonding
has been focused on increased is expected to provide huge as roughness and imprecise
packaging industry, and with advanced, almost front-end-like This unrelenting march toward
processing or computing power, performance and cost benefits, dishing can compromise
packaging processes now processing (e.g., CMP) to result in increased efficiency continues to
and achieves this goal using highly but not without unique challenges electrical connectivity
directly impacting overall device improved electrical performance
complex process technologies to performance, the pace of invention and increased interconnect
create ever-shrinking technology has gained momentum. It is density. Figure 2: Simplified hybrid
nodes. Once the devices are evident that huge gains can be bonding flow
built, the wafer moves into the achieved by increasing bandwidth Hybrid bonding vertically connects
back-end phase, which is focused or the rate at which data is pushed die-to-wafers (D2W) or wafers-
on connectivity, protection, across devices. This shift has to-wafers (W2W) (Figure 2) via
and assembly. Here, wafers are accelerated the adoption of some closely spaced copper pads.
prepped for assembly into their front-end patterning processes While W2W hybrid bonding has
final packaging by establishing the [lithography, etch, chemical been in production for several
necessary wiring and connection mechanical planarization (CMP)] years in image sensing, there is a
across devices. Historically, to introduce smaller feature sizes strong industry push to expedite
processing in this stage was not as and more complex integration the development of D2W hybrid
advanced or economically valuable in packaging process flows to bonding. This development will
as the front end. generate increased connectivity. further enable heterogenous
integration, which provides a
As a result, front-end advances in As the value of advanced powerful and flexible means to
increasing transistor density and packaging in IC fabrication grows, directly connect die of different
processing speed were pivotal in the technology chasm between functions, sizes, and design rules.
driving nearly all the improvements front-end and back-end shrinks.

44 3DInCites.com 3D InCites Yearbook 45


• Clean dicing and handling are requirements. High sensitivity wafer, diced wafer on film frame, Fine-Pitch 3D Stacking Technologies for High-performance Heterogeneous
required to prevent particulation, inspection to find all defects early and reconstituted wafer on carrier
which can lead to the formation in the process is required to verify to support a broad range of Integration and Chiplet-based Architectures continued from 12
of costly yield killing voids after that the die surface remains clean process flows.
by intermetallic compound (IMC) Future - a European Perspective», Plenary Talk Serial I/Os," in IEEE Micro, vol. 33, no. 6, pp.
the bonding step for a successful void-free bonding at 2020 IEEE 70th Electronic Components and 56-65, Nov-Dec 2013, doi: 10.1109/MM.2013.7.
In addition to defect inspection, bonding with mechanically stable Technology Conference (2020).
process step. 13. P. Vivet et al., “INTACT: A 96-Core Processor
The successful implementation it may be beneficial to use non- Cu/Sn Solid-Liquid-InterDiffusion 4. Mitsumasa Koyanagi et al., IEEE IEDM Tech. with 6 Chiplets 3D-Stacked on an Active

of D2W hybrid bonding in high KLA’s Solution mechanical dicing methods like (SLID) interconnects.18 Digest, pp. 879-882 (1999). Interposer with Distributed Interconnects and
Integrated Power Management”, IEEE Journal
5. Peter Ramm et al., Japanese Journal of Applied
volume will require resolution for plasma dicing because of much Physics 43 (7A), L 829 (2004).
of Solid State Circuits, Volume: 56, Issue: 1,

The recently launched Kronos™ To pay more attention to the January 2021.
each of these challenges. To meet lower particle contamination levels.
1190 wafer level packaging described fine-pitch stacking 6. Ravi Mahajan et al. «Embedded Multi-Die 14. D. Dutoit, et al., “How 3D integration tech-
alignment requirements, pad-to- Interconnect Bridge (EMIB)», 2016 IEEE 66th nologies enable advanced compute node for
inspection system is targeted at KLA’s wide range of advanced concepts and new architectures Electronic Components and Technology Con-
pad overlay must be measured ference (2016).
Exascale-level High Performance Computing?”,

enabling process development process control and process- “between 2D and 3D”, the IEEE EPS IEDM, 2020.
through the top die accurately
for hybrid bonding, with its high enabling solutions and decades Technical Committee 3D decided 7. David Kehlet, "Accelerating Innovation Through 15. Pierre-Yves Martinez et al., “ExaNoDe:
and precisely. The wafer surface A Standard Chiplet Interface: The Advanced combined integration of chiplets on active inter-
sensitivity darkfield channel for of leadership in front-end process to broaden its objectives from 3DIC Interface Bus (AIB)," Intel White Paper retrieved
profile after damascene pad from https://www.intel.com/content/dam/www/
poser with bare dice in a multi-chip-module for

small particle detection and control uniquely position our and monolithic integration on one heterogeneous and scalable high-performance
formation must be measured public/us/en/documents/white-papers/acceler- compute nodes”, VLSI Conference, 2020.
brightfield capability that can equipment to address hybrid side, to non-TSV 3D technologies, ating-innovation-through-aib-whitepaper.pdf
with sub-nanometer precision to 16. Amandine Jouve et al., « Die to wafer direct
detect residue defects. The system bonding challenges at a superior chiplet assembly, Si interposer and 8. H. Ko et al., "A 370-fJ/b, 0.0056 mm2/DQ, 4.8-
ensure that copper pads meet Gb/s DQ Receiver for HBM3 with a Baud-Rate
hybrid bonding demonstration with high
can inspect a wide variety of cost of ownership. alternative interposer concepts alignment accuracy and electrical yields », IEEE
demanding recess or protrusion Self-Tracking Loop," 2019 Symposium on VLSI 3D System Integration Conference, Sendai
substrate types such as whole (including TSV less), on the other Circuits, Kyoto, Japan, 2019, pp. C94-C94, doi: (3DIC 2019).
10.23919/VLSIC.2019.8778082.
side of the fine-pitch interconnect 17. Josef Weber, Montserrat Fernandez-Bolanos,
9. Mu-Shan Lin et al., "A 16nm 256-bit wide
“spectrum”. 89.6GByte/s total bandwidth in-package
Adrian Ionescu and Peter Ramm, « 3D Integra-
tion Processes for advanced sensor systems
Novel Approaches to Wafer Handling continued from 16 Visit our website for information on
interconnect with 0.3V swing and 0.062pJ/bit
power in InFO package," 2016 IEEE Hot Chips
and high-performance RF components », ECS
transactions 86 (8), 2018.
28 Symposium (HCS), Cupertino, CA, 2016, pp.
TC 3D/TSV. 1-32, doi: 10.1109/HOTCHIPS.2016.7936211. 18. Peter Ramm, Armin Klumpp, Christof Landes-
requires no curing step, and The MESC system works best with trays and panel configurations. berger, Josef Weber, Andy Heinig, Peter
debonds without residue of any smooth, flat, conductive surfaces. Carriers can be powered by References
10. S. Ardalan et al., "Bunch of Wires: An Open
Die-to-Die Interface," 2020 IEEE Symposium on
Schneider, Guenter Elst, Manfred Engelhardt, «
Fraunhofer´s Initial and ongoing contributions in
kind. It’s not limited to such surfaces, onboard capacitance or even High-Performance Interconnects (HOTI), Pisca- 3D IC Integration», IEEE 3D System Integration
taway, NJ, USA, 2020, pp. 9-16, doi: 10.1109/
though, accommodating most batteries. With integrated charging 1. Handbook of 3D Integration “Technology and
HOTI51249.2020.00017.
Conference, Sendai (3DIC 2019).
Instead, MESC bonding depends wafers with consistent topography, stations, carriers can be recharged
Applications of 3D Integrated Circuits”, edited
by Philip Garrou, Christopher Bower, Peter 11. M. J. Miller, "Bandwidth engine® serial memory
on coulombic attraction between such as an array of copper studs or powered directly for more Ramm, Wiley & Sons (2008). chip breaks 2 billion accesses/sec," 2011 IEEE
a reusable carrier and the wafer or (the electrostatic bond is not demanding process exposure 2. Richard P. Feynman «The computing machines Hot Chips 23 Symposium (HCS), Stanford,
CA, 2011, pp. 1-23, doi: 10.1109/HOTCH-
wafer coupon. Charge stored in an suitable for aqueous processes, conditions.
in the future», Nishina Memorial Lecture at
Gakushuin University (Tokyo) (1985). IPS.2011.747749 3.
electrode embedded in the carrier as capillary action allows liquid to 3. Peter Ramm et al. «3DIC: Past, Present and 12. B. Kleveland et al., "An Intelligent RAM with
induces an opposite charge in infiltrate the space between the two Due to the volumes required for
the wafer. The attraction between surfaces). Reducing the amount large packaging facilities, Eshylon
the two maintains the bond until of area in contact with the carrier is working on a partnership with a
the electrode is discharged. The generally reduces the strength of large OEM that currently produces
required charge, a few thousand the bond, but varying the amount cutting-edge wafer-level and device
volts with only a few microamps of electrostatic charge can often automated handling equipment.
of current, is far smaller and much compensate for less-than-ideal Eshylon has developed a rack
more controlled than damaging surface characteristics. mounted charge control module
ESD spikes. Charge monitor that interfaces with carrier charging
(CHARM) wafer testing found no Packaging customer use cases units integrated directly into the
changes attributable to the MESC and applications are diversifying pick-and-place tooling. This system
system. at a rapid rate, including reflow, will communicate directly to the
plasma clean, flux clean, flip-chip, main tool control and will have the
The bond is robust enough for most and various high-temperature ability to control multiple carrier
mechanical and thermal conditions cure techniques. Heterogeneous charge units. This handling system
the wafer might encounter, and integration is forcing outsourced will be able to change device bond
is inherently compliant. There is semiconductor assembly and strength and release on-the-fly,
no adhesive between the carrier test (OSAT) facilities to adopt new adjusting for varying substrate
and the wafer, so differential production techniques and the physical form factors.
thermal expansion does not induce adoption of SEMI standards. A lack
stress. High-temperature carriers of standards for device handling Eshylon’s electrostatic carrier
currently function at temperatures and device interfaces has made it technology enables customers
exceeding 450°C and can bond challenging for manufacturers to to run a broader spectrum of
conductive, semi-conductive, and streamline production. There is a products through existing tool
insulating materials. As the wafer movement in the industry to move sets, reducing production tooling
expands and contracts, it simply toward panels that are compatible costs and improving overall yields.
slides on the carrier surface. with the ever-increasing interposer Taken together, these features
Bonding and debonding are non- and device-size configurations. make Eshylon Scientific’s handling
destructive to both the wafer and systems for thin wafers and
the carrier; carriers remain reliable Eshylon’s proprietary bonding singulated devices a scalable,
for thousands of cycles over many technology has been proven in process compatible alternative to
years. both wafer-level and custom-size adhesives and tapes.

46 3DInCites.com 3D InCites Yearbook 47


creating a coronavirus resources
webpage as a central hub. The SEMI
Global Advocacy team and regional
operations teams followed suit in
exploring pandemic developments,
addressing member needs and
contributing content to the COVID-19
communications team.

Supporting Members’
Business Operations

The SEMI COVID-19 communications


team met frequently between March Student attendees at SEMICON China check out the job board in the Workforce Pavilion.
and November 2020, coinciding
with the global reaction to the crisis the world: Americas, China, Europe, needed equipment, materials and
and up and through wide-scale Japan, Southeast Asia, South services.
trials of potential vaccines. These Korea, and Taiwan. This global
were often twice-weekly sessions footprint enabled rapid and insightful Looking Ahead to 2021
encompassing North American, communications on the outbreak of
Asian, and European time zones. the pandemic and steps being taken While the world is hopeful about
Output of the SEMI team was to ameliorate its effects. the end of the COVID-19 pandemic,
prodigious, including: realistically, we know that positive
Based on the best local information changes and a return to “normalcy”
• Four global webinars, three of and in the interests of health and will be gradual. SEMI will continue to
which featured pandemic and safety, SEMICON Korea, scheduled support members and the industry
economic updates and analyses for February 2020, was cancelled. at large with resources, updates, and
from McKinsey & Co. Subject SEMI postponed SEMICON China advocacy efforts around the world.
matter experts, from prestigious from March until June 2020, when
organizations such as Wells it was held successfully as the first In terms of helping the industry to
Fargo Securities and Harvard major electronics tradeshow and connect and collaborate at events,

SEMI's Response to COVID-19: Supporting Continuity University’s Kennedy School of conference in Shanghai, signaling SEMI will carefully monitor guidelines
Government, were also integral a return to some normalcy. Quickly in each of our regions for hosting
onsite gatherings. We anticipate
of Members’ Business Operations
elements of the webinars pivoting to virtual platforms,
SEMICON West and SEMICON hosting more hybrid events with a
• Three member surveys to identify domestic onsite exhibition and a
pain points, WFH and return- Southeast Asia were exclusively
online, as were key conferences. global, virtual version of the technical
to-work (RTW) policies and program to accommodate the
By Michael Ciesinski, SEMI connectivity and provide the same SEMI executives to study the latest strategies, along with key lessons
level of services and information developments in the crisis, consider In the summer and fall of 2020, differences in situations and travel
learned restrictions by region, along with
The outbreak of the COVID-19 expected by SEMI members? members’ needs and close on SEMI made decisions regarding
coronavirus caught most countries action-items from the previous day. • Dozens of industry meetings to our remaining roster of exhibitions. the full spectrum of stakeholder
and companies off guard and Launch of the SEMI COVID-19 address the supply of PPE, best SEMICON Taiwan would be our preferences. Likewise, our various
unprepared. Specifically, companies Communications Team Based on these meetings, known safety practices, BCP, first hybrid event — in-person and committees and technology
were caught short of personal SEMI tasked its technology state and national regulations, virtual. SEMICON Europa was communities will host virtual
protection equipment (PPE), along Ajit Manocha, SEMI’s President communities’ group and corporate communications infrastructure cancelled due to travel restrictions meetings but will seek opportunities
with essential tools, materials, and and CEO, has extensive C-level marketing to establish a COVID-19 resiliency, and other business throughout Europe. Also, SEMICON to safely host in-person meetings.
components to keep manufacturing experience at GlobalFoundries, communications team with the operations topics Japan would be virtual. Again, these
lines running. Many companies had a Spansion, and Philips mandate to support member decisions were made in cooperation The microelectronics industry is
Semiconductors. He quickly
• More than 75 blogs and news poised for continuous growth,
business continuity plan (BCP) ready, business operations in real time articles from China, France, with local authorities and in the best
but all were tested by unanticipated realized the likely and devastating during this unprecedented health and interests of the health and safety of thanks to strong fundamentals and
Germany, Japan, South Korea, technology growth drivers such as
work-from-home (WFH) requirements impact of COVID-19 on the global economic disruption. Specifically, our members and their customers.
Taiwan, and U.S. featuring artificial intelligence and 5G. The
and adjustments. And, definitions of microelectronics manufacturing and the team was to gather coronavirus member insights, semiconductor pandemic has sped up aspects
essential businesses, which could design supply chain, and he resolved information, organize it for business The SEMI Global Advocacy team
industry forecasts, and other of digital transformation, such as
remain open, often varied from region that SEMI should be an invaluable purposes, and share it with played a key role in assuring that
relevant information remote monitoring of manufacturing
to region and country to country. resource for its members. members. the microelectronics industry was
considered “essential business” equipment and telehealth. SEMI will
These meetings and communications
A large part of the value SEMI Ajit took a page from his Various SEMI communities, including by governments around the world. develop and evolve our initiatives
continue today and will support SEMI
delivers to members comes through manufacturing operations playbook, Environmental Health & Safety (EHS), Starting with letters to governors of around Smart Manufacturing and
members’ needs until such time as
connecting people within the which directed the leadership Information Technology Leadership more than half of the states in the Smart MedTech, and other programs
the COVID-19 pandemic no longer
microelectronics manufacturing team to start each workday with a (ITL), Fab Owners Alliance (FOA), U.S., this effort soon grew to direct and services, to help members
impacts business operations.
industry — through exhibitions, “morning prayers” meeting where and Electronic Materials Group communications from SEMI to the uncover new business opportunities
in-person conferences, global they would review operations and (EMG), worked with the COVID-19 The Value of SEMI’s European Union and to government emerging from digital transformation.
advocacy, standards setting, and consider how to improve efficiency. communications team to respond Global Footprint policymakers throughout Asia. It We encourage readers to follow
workforce development. How could He began holding regular early to this call for action by gathering expanded to facilitating essential SEMI online and look for ways to get
our business model adapt to virtual morning meetings with senior and sharing best practices and SEMI operates in seven regions of travel for our members who supply involved.

48 3DInCites.com 3D InCites Yearbook 49


COVID-19 Stories: How the 3D InCites Community is team in place, which was and still is
watching the situation closely.
fits best – it means shutdown:
That is the shutdown of public
it was recommended that we not
leave the house or gather in any

Navigating the Pandemic


life, limiting joint activities outside way. The rules are enforced by
As part of this, we began and inside, kindergartens closed, police controls, and authorities are
implementing measures at a no education in the classroom, imposing painful financial penalties
very early stage to secure safety. completely closing all kinds ... in any case, it’s better than
With the additional measures of entertainment, museums, getting this painful disease.
put in place under our business restaurants, bars, party spots,
contingency plans, we have hotels, vacation areas, theatres, All kinds of entertainment closed?
taken the right steps to balance operas, cinemas, concerts, fitness, Not really — we can take walks
and safeguard the health of our and swimming centers. and play sports outside. There
employees and the sustainable are a lot of things you can do at
production and supply of our Our beloved German Christmas home: Refurbish, repair, clean, play
products. We believe that we have Markets were cancelled, and New games, do puzzles, listen to music,
managed the situation well and Years’ fireworks were not sold, and watch Netflix, and who knows,
therefore do not foresee currently
any bigger interruption. The Trymax
team would like to extend our
gratitude and thank everybody for
their contribution in helping our
company navigating through this
COVID-19 period.

So now the question is what will


2021 bring. At this moment we
are all still facing challenges as a
result of COVID-19. Together we
can remain strong and resilient.
Our prospects for 2021 look very
promising and many new projects
are expected. We want to remain
the market share leader and gain
an even higher market share. 2021,
here we come. For now, stay safe!

What the Pandemic


Brought to Me
By Steffen Krohnert,
ESPAT Consulting

In the middle of December 2020,


We all had high hopes for 2020. Despite the ongoing Trade War between the US and China, the
Germany went into its second
semiconductor industry was set for growth thanks to high volume drivers like 5G and AI. Then COVID-19 lockdown. It seemed that people
happened, and life changed as the world knew it. We asked our community members to share their were more careless compared to
stories, both personal and business, of how they are navigating through the pandemic, and what is in March and April, when COVID-19
pandemic started, and we had to
store for us in 2021. go into the first lockdown. Numbers
are much worse now and reaching
sad records every day. Too many
Meeting the Needs forecast. Before we had time to concern for on-time deliveries. The
people did not believe it (COVID-19)
prepare ourselves for the upcoming majority of these orders came from
of a Demanding demand, there was an unexpected our RF-filter (5G), power electronic, was true. The virus exists and
Semiconductor Market pandemic (COVID-19) affecting and compound semiconductor is dangerous. They rejected the
our supply chain and equipment customers. use of masks and gathered for
By Ludo Vandenberk, manufacturing. demonstrations against measures
Trymax Semiconductor At Trymax, we are continuously taken by the government and
As many European countries monitoring the ever-changing have even been hosting so-called
As many of you will agree, 2019 went into lockdown randomly over climate of the COVID-19 pandemic. “Corona-Parties”.
was a very tough year for the 10 months from March through We want to assure everyone that
semiconductor industry, but December, we found that our our number one priority is the Lockdown … There seems to be no
with a strong recovery and dual supply chain strategy was health and safety of our employees, German word for it, as everyone is
outlook for 2020-2021 based mandatory to securing deliveries customers, and suppliers. In using the English word, but it does
on order bookings to date and of the many orders we received that respect, from the beginning, not change what is behind it. I think
the semiconductor equipment and to address the customer’s Trymax put a dedicated response the German word “Herunterfahren”

50 3DInCites.com 3D InCites Yearbook 51


maybe we will have a baby boom year again, delete 2020 from the dying from COVID-19, losing jobs with walls. This is true for climate, interaction when dealing with their While pure silicon scaling has not
mid of 2021! calendar. People want to forget and fighting for survival, parents economy, people’s right to live with EDA technology supplier. With our stopped, the era of huge monolithic
and are looking forward to 2021. I that must manage family and job dignity, and for viruses too. field teams no longer flying and/ CMOS devices being the sole
But wait, there is more: received Seasons Greetings like I at home all in parallel, kids that or driving to visit customers, they driver of More than Moore is very
have never seen before. One said can’t get the education they need I had time to read, study, walk, had more time for quality customer close to ending being superseded
We started talking more to our “In spite of all adversities, I wish you for their bright future, governments and hike targeting 10,000 steps a interactions using new multimedia by heterogeneous/homogeneous
partners, families, and friends; a Merry Christmas and a hopefully needing to take on debt in numbers day. I have never discovered my communications tools like TEAMs. 2.5/3D IC package.
sharing our doubts, concerns, better New Year for all of us.” that we can’t even imagine…. there surroundings more and I have never
ideas, wishes, and plans on what are positive effects too. been outside more than in 2020. Siemens does not expect that In summary the era of the dinosaur
we want to do differently once this But what can we learn from 2020, That alone is a reason why I don’t pre-COVID-19 activities will fully is ending, long live the era of the
pandemic is over. What will life look what are the “Takeaways”? First, we see that life continues, want to delete that year from the resume until a proven vaccine mammals……
like? Will we be back to “normal” whatever happens, and we find calendar. I had time to take care is available, and even then, the
quite fast? What will stay, and what We have a nice German word a way to deal with the situation. of my house — something I have amount of travel to meet customer A Start-up
will change? Can we take some of “Entschleunigung”, which means There is always light at the end of not done much during the last 8 face-to-face will be reduced
the good elements that resulted slowing down. It gives us more the tunnel, and no, it is not the train years when I was “on the road” as doing business using virtual
Grows Stronger
from the situation into our life time to think, to look at the bigger that is approaching us at high- around 80% of the time travelling technologies has proven to be, By Aric Shorey, Mosaic
after? Some people defined a “new picture, and make decisions speed. We need to be optimistic, worldwide for NANIUM, and later in some cases, more effective, Microsystems
normal” after the first lockdown. Will wisely. It is the opposite of and follow the rules defined for for Amkor Technology before I especially from a cost perspective.
they define an even “newer normal” “Beschleunigung”, the acceleration us by our brightest minds — settled down with my own firm, For us the financial year ended on As an early-stage company, Mosaic
after the second one? that drives us restlessly through the remember, there is always a team ESPAT-Consulting, in Dresden, September 30th and despite the Microsystems worked hard to
working day and even after. of great scientists and intelligent one of the most wonderful places enormous burden and impact of navigate the Covid-19 pandemic.
I feel sorry for the year 2020. advisors behind each politician. to live and work. I took care of the pandemic turned out to be a Our #1 goal was to keep our
Almost everyone wants to press Despite all the negative effects, Second, we see that the world is my health more than ever before, year of exceptional bookings and employees safe. Fortunately, we
the reset button and start the such as people getting sick and global and cannot be separated started training with a personal revenue performance. had recently closed a $2.25M
trainer twice a week, got a nutrition seed investment from BlueSky
plan, which I follow — more or less. So, as we look forward to 2021 our Capital and Corning Incorporated,
With restaurants closed, a regular first thought and hope is it will bring received a Phase II Small
day structure without travels and a vaccine and an end to this deadly Business Innovative Research
without heavy business dinners, pandemic so we can try to get back (SBIR) grant from the National
liters of beer at Oktoberfest, and to some semblance of normal. Science Foundation, and a Phase
many cups of mulled wine with a I SBIR award from the Air Force
shot at the Christmas Markets, it is On the technology side, 2020 saw
(AFWERX). These helped us to
definitely easier. You see, you just a significant surge in companies
navigate the uncertainties brought
need to make the best of it. designing heterogeneous 2.5/3D
on by the constraints caused by the
devices and the discovery that their
Coronavirus.
legacy organic BGA focused design
The Art of Doing tools were running out of steam. We also saw some interesting
Business Virtually market dynamics created by the
In 2021, we expect to see more fan-
pandemic. Early on, we saw an
By Keith Felton and Kevin out-wafer-level package (FOWLP)
increase in requests as some
Rinebold, Siemens Digital designs turning to panel-based
companies worked to re-shore
Industries Software manufacture with companies like
some products. Conversely, we
DECA Technologies, ASE, NEPES,
For us at Mentor, a Siemens saw a number of development
and PTI leading the charge.
business, COVID -19 brought activities significantly delayed
the closure of all our offices and On the performance side of as our customers had difficulty
beginning of learning how to work, packaging, we expect to see getting into their cleanrooms to
collaborate, and do business more non-silicon-based interposer process wafers. Activities that
virtually. The interaction with like designs emerging as the would normally take one to two
customers and prospects typically pressure to reduce costs while months were now taking more
happens on a very personal increasing device performance than six months. There were a few
level, with sales and technical and yield increases. All of this will instances where some projects
account executives traveling to require greater adoption of early were canceled as customers
customer locations for meetings, and comprehensive prototyping/ focused on existing products and
demonstrations, evaluations, planning with co-optimization, often often needed to re-align resources
seminars etc. referred to as STCO. With more to make products with reduced
silicon chiplets and substrates staffing, and/or managing facility
Luckily Siemens was already being integrated together as a shutdowns.
planning to rollout Microsoft single 3D package, the assembly
TEAMs, which was accelerated Many of us shifted to working
verification (DRC/LVS) challenge
due to the pandemic. For many, full time from home, but as an
of such designs will grow almost
it took some time to master and essential business, our clean room
exponentially, driving more change
acclimate to the platform, but we remained open following all CDC
and the need to adopt advanced
were surprised how well customers guidelines, and we were able to
technologies and methodologies.
adapted to the transition to virtual continue driving the technical

52 3DInCites.com 3D InCites Yearbook 53


development critical to bringing Setting Systems each department to alternately
our products to market. We were work from home, if possible.
able to establish new capabilities, in Place However, since we actually
such as introducing 300mm assemble our tools at our facility,
By Sarah Trompetter, FRT, A
capability to Mosaic’s portfolio, which cannot be done from home,
FormFactor Company
and progressed well in our work it can sometimes be challenging.
with the Department of Defense. During the first lockdown, at FRT, Our management team is very
The funding mentioned above, and we began sending our employees responsive to individual needs. So
customer sales allowed us not only to their home office within a far, we have been fortunate to not
to maintain full staffing levels but week — first those with children have to interrupt production on
also add a key employee. or special working conditions. As any day. Measures are adjusted
many of our employees worked at continuously.
While the summer months were
desktop computers, new laptops
quiet on the commercial side, we In 2021, we hope that the situation
were purchased in short order,
have seen an increase in activity as will improve. We are most looking
and existing ones were retrofitted
fall and winter approach and people forward to being able to visit our
accordingly.
are beginning to gain confidence customers again or have them
with vaccines on the horizon. In the We introduced a system to reduce come to our facility. We are also
end, Mosaic will emerge from this contact. The plan was for 50% of looking forward to events and
pandemic stronger than it started. networking! Stay all healthy.

54 3DInCites.com 3D InCites Yearbook 55


3D InCites
2020 IN PICTURES
Awards
3D Systems
Summit

56 3DInCites.com 3D InCites Yearbook 57


IMAPS
DPC

58 3DInCites.com 3D InCites Yearbook 59


SEMICON Member
China photos

60 3DInCites.com 3D InCites Yearbook 61


Contributing Editors continued from 5

Roland Jiaqi Tang, Ludo


Rettenmeier, Ph.D., co-author Vandenberk,
co-author of of Artifact-free author of Meeting
Fan Out Panel Decapsulation the Needs of
Level Packaging of 2.5D and 3D a Demanding
Takes Off is Semiconductor, Semiconductor
Senior Product is the President Market, is a senior
Marketing Manager at Evatec, where and CTO of JIACO Instruments, the VP and one of the major shareholders
he focuses on business development start-up company he co-founded to at Trymax. He joined the company in
for emerging applications like EMI commercialize the Microwave Induced 2005 to develop new semiconductor
shielding and advanced IC substrate Plasma (MIP) machine he developed equipment for the plasma ashing and
processing. Rettenmeier is a Mechanical during while he worked on his Ph.D. etching market. Ludo focuses on new
Engineer and completed his executive degree at Delft Institute of Microsystems business development and is responsible
MBA studies in Austria in 2005. He and Nanoelectronics (DIMES), Delft for the worldwide sales and marketing
has experience in electronics and University of Technology. His research organization. He gained experience in
semiconductor manufacturing, managing activities focus on developing a MIP both plasma etching and ashing product
projects in China, Switzerland, and instrument for copper wire bonded performance at Philips Research and
Austria since 2001. semiconductor package decapsulation Matrix Integrated Systems, respectively.
application.

Kevin Pascal Vivet,


Rinebold, Sarah Ph.D., co-author
co-author of Trompetter, of Fine-Pitch
Adapting to Virtual author of Setting 3D Stacking
Communications Systems in Place, Technologies for
is the Technology is Marketing High-performance
Manager for Manager for FRT Heterogeneous
Advanced Packaging Solutions at GmbH — a Form Integration and Chiplet-based The Big Squeeze – Why OSATs Need to Work Smarter continued from 21
Siemens. He has 30 years of experience Factor company, where she focuses Architectures, is Scientific Director of the
in defining, developing, and supporting on developing strategies for content Digital Systems and Integrated Circuits environment of semiconductor intelligence, that can quickly find created by cutting edge advanced
advanced packaging and system marketing and lead management. Sarah Division at CEA-LIST, Grenoble, France. manufacturing, you will probably correlations that would otherwise packaging processes today, they
planning solutions for the semiconductor studied international marketing and He received his Ph.D. from Grenoble not survive. OSATs are not new to be invisible. What batch of chemical are remarkably similar to what
and systems markets. He has defined media management, and has advanced Polytechnical Institute in 2001, designing data collection and management. was that device exposed to? On device manufacturers were doing
and pioneered some of the earliest experience in social media management, an asynchronous microprocessor. After
After all, testing is part of their name. what tool? When? Was there a tool 30 years ago. Device manufacturers
solutions for IC-PKG-PCB co-design. website performance optimization, four years within STMicroelectronics,
Prior to joining Siemens, Kevin was search engine marketing, content he joined CEA-Leti in 2003 in the digital But test data is product/function failure or error condition? Combining have been through this process
Product Manager for IC packaging creation, and distribution. Prior to joining design lab. Pascal’s research interests, focused. In its simplest form it is go/ product data with tool data yields evolution and have learned the value
and co-design products at Cadence. FRT, she was the online marketing which span many aspects of circuit and no go. Functional testing may go true process data. of process data. They know that the
He’s held similar positions at Sigrity, manager for Yokogawa Deutschland system level design, led him to become beyond that, to measure how well cost of data pales in comparison
Synopsys, and Xynetix. GmbH. project leader on 3D circuit design and it works, if for no other reason than Broad data provides visibility of the to the costs of slower yield ramps,
integration. to identify the best devices and sell lifecycle from end-to-end throughout yield-robbing process excursions,
them for premium prices. Smarter the entire supply chain, from wafer and the redirection of human
Aric Shorey, Thomas manufacturing requires data on a manufacturing, to device fabrication, resources to solve problems. They
Ph.D., author Uhrmann, Ralph whole new scale — data that is both packaging and testing, warehousing are the competition.
of Solutions for Ph.D., author Zoberbier, deep and broad. and distribution, and even into final
Glass-based of Die-to- co-author of Fan disposition and use. Clearly, OSATs The degree to which OSATs
Packaging Wafer Bonding Out Panel Level Deep data measures all aspects are only one link in this chain, but continue as a separate segment
are Here and Steps Into the Packaging Takes of the manufacturing process. It they are a critical link. They should is open to question. 30 years ago,
A Start-up Spotlight on a Off is Head of measures feature sizes and shapes, ensure their data is captured and there were dozens of major device
Grows Stronger, is the VP of Business Heterogeneous Integration Stage, is the Advanced
but it also measures equipment structured so that it can be a manufacturers. Today the market
Development at Mosaic Microsystems, director of business development at Packaging Business Unit at Evatec. He
a company focused on using thin EV Group where he is responsible for s more than 20 years’ experience in the performance, tracks maintenance leveraged in a variety of ways in has consolidated. There are three
glass substrates in microelectronics overseeing all aspects of the company’s semiconductor equipment business, operations and consumables, the future. Whether that be sharing or four in the first tier, another half
and photonics packaging. Eric worldwide growth. Prior to this role, with roles in engineering, product and much more. It provides the subsets of data to optimize efficiency dozen or so in the second tier, and
has been in the precision optics, Uhrmann was business development management, and global business and basis for correlating differences in across the entire value chain or to numerous small niche providers.
telecommunications, and semiconductor manager for 3D and advanced sales management. In addition to thin product performance with events take advantage of cloud enabled A similar consolidation is likely
industries for more than 20 years packaging as well as compound film technology, his technical expertise and variables in the manufacturing services, OSATs who do this will among OSATs. The question is,
working on materials processing, semiconductors and Si-based power covers areas including lithography and
process. As processes become be more competitive than their will they remain independent or be
characterization, and program devices at EV Group. ECD for both wafer and panel level
management. Before Mosaic, Aric led applications. more complex, the number of conservative counterparts. subsumed by IDMs and foundries.
activities to enable thin glass solutions variables quickly exceeds any The answer will be determined in
for microelectronics applications at human’s ability to monitor and The OSAT model needs smart large part by the choices OSATs
Corning Incorporated. extract actionable information. manufacturing make as they confront the inevitable
Smart manufacturing includes digital transformation being spurred
sophisticated analytical techniques, Will history repeat itself? Probably. by Industry 4.0, big data plays, and
like data mining and artificial If you look at the complexity and market consolidation.
dimensions of the structures being

62 3DInCites.com 3D InCites Yearbook 63


In Memory of… Semiconductor
Recently, I received sad news from some of you to let me know about the passing of several industry Technologies
colleagues. We thought it fitting to pay tribute to them here.

Avi Bar-Cohen, 1946-2020 Luke G. England, 1979-2020 James R. Drehle, 1943-2020


Avi Bar-Cohen
passed away
Luke England
passed away
Jim Drehle
passed away
DBI® Ultra Die to Wafer Hybrid Bonding
on October on December on December
10, 2020. 12, 2020, after 29, 2020. The Ultimate 2.5D & 3D Integration Technology
He was an a hard-fought An electrical
internationally battle with engineer, for High Performance Computing
recognized cancer. His he worked
leader in thermal work in the for Hewlett High Bandwidth, High Capacity, Thin Profile, Low Power, Low Cost
science and technology and was industry included metal research Packard/Agilent Technologies for
a guiding force in the emergence and development for Micron, 36 years, retiring in 2005. He’s best
of thermal packaging as a critical GlobalFoundries, and Marvell, with known to us for his involvement in
engineering domain. Phil Garrou multiple U.S. patents to his credit. the International Microelectronics
offers this memory: “I first started As 3D expert at GlobalFoundries, Assembly and Packaging Society Data Centers AI, Machine Learning & Automotive Gaming Consumer Industrial
working with Avi in the early 1990s he was actively involved in the (IMAPS), where he served as Deep Learning Hardware Electronics & Scientific
when he served as Editor of the IEEE development of the company’s President on two different occasions
EPS (then called CHMT) journal. “industry first”: a fully qualified 1997 and 2006 and was a member
In his later life, when he moved to chip-package interaction device of the board of directors for years.
DARPA to become the Director of comprising a 14nm logic die with He was an avid fisherman and most Die Stack with DBI Ultra
the ICECool program, he pulled 5×50µm Cu filled through silicon vias weekends you could find him in his Die
me into DARPA and made me a (TSVs), upon which two 14nm test boat on a lake enjoying his favorite Ultimate Integration Flexibility
packaging subject matter expert chips that emulate high bandwidth pastime.
(SME). We worked on many IEEE memory (HBM) are stacked. Luke Accommodates various die sizes,
and government programs together participated regularly as a speaker wafer sizes, process technology nodes, etc.
through the years. In all the years in industry events including the 3D
we worked together, I always found Systems Summit, IEEE ECTC, and
Avi to be a hard-working, reliable, others. I always enjoyed speaking
Wafer DBI Ultra
and trustworthy individual with a with him.
great sense of humor and I will
miss his presence in our advanced
microelectronics community.”
Enabling 3D Stacked Enabling Next Generation
Memory Solutions High Permanence Computing

ADVERTISER INDEX
4, 8, 12, 16 or more high HBM2, HBM3 & beyond 2.5D Integration with DBI Ultra

EV Group evgroup.com........................................... 1 Finetech finetechusa.com..................................... 35


HBM 4, 8, 12
Evatec evateecnet.com........................................... 3 Trymax trymax-semiconductor.com...................... 39
DBI Ultra DBI Ultra
Die or 16 High
Interconnects Interconnects
HBM Stack
ASE Group aseglobal.com...................................... 6 Mosaic Microsystems mosaicmicro.com............ 42

Siemens Digital Industries Software ERS ers-gmbh.com............................................... 43


siemens/eda/ic.packaging.com................................. 13
StratEdge stratedge.com...................................... 47 Logic
Kiterocket kiterocket.com..................................... 17 DRAM Die Logic (CPU, GPU,
3D InCites 3dincites.com...................................... 55 Logic
FPGA or SoC)
Micross DBI Ultra
micross.com/advanced-interconnect-technology...... 20 Xperi invensas.com............................................... 65 Interconnects
KLA kla.com.......................................................... 27 SEMI semi.org...................................................Back

4 High 3DS DRAM DBI Ultra


Interconnects

64 3DInCites.com invensas@xperi.com www.invensas.com 3D InCites Yearbook 65

3025 Orchard Parkway San Jose, CA 95134 +1 408.321.6000


66 3DInCites.com

You might also like