Professional Documents
Culture Documents
com
YE ARBOOK
DIE-TO-WAFER (D2W) 22
ON THE COVER
...............The People Who Make DBI Possible
Françoise von Trapp has a conversation with the Xperi Hybrid Bonding Team
BONDING SOLUTIONS 8
VIEWPOINTS:
...............Solutions for Glass-based Packaging are Here
14
By Aric Shorey, Mosaic Microsystems
18
By William Chen, Ph. D, ASE Group
21
By Severine Cheramy, CEA-Leti
Fusion and hybrid bonding for next-generation
heterogeneous integration ...............The Big Squeeze – Why OSATs Need to Work Smarter
28
By Danielle Baptiste, Onto Innovation
Collective D2W bonding enabled by extensive ...............Rising from the Ashes: StratEdge Corporation’s Recent Rocky History
30
By Casey Krawiec, StratEdge Corporation
knowledge in carrier preparation and die handling
...............The Importance of Sustainability in Semiconductor Manufacturing
32
By Dean Freeman, FTMA
Direct placement D2W activation and cleaning
complete solution with EVG®320 D2W ...............Fan Out Panel Level Packaging Takes Off
36
By Ralph Zoberbier, Roland Rettenmeier, Allan Jaunzens, Evatec
Production-ready equipment solutions for ...............Die-to-Wafer Bonding Steps into the Spotlight on a
successful integration of chiplets Heterogeneous Integration Stage
44
By Dr. Thomas Uhrmann, EV Group
Heterogeneous Integration Competence Center™ ...............Hybrid Bonding Bridges the Technology Gap
48
By Swati Ramanathan and Stephen Hiebert, KLA Corporation
serving as leading-edge incubation center
for customers and partners ...............SEMI's Response to COVID-19: Supporting
Continuity of Members’ Business Operations
By Michael Ciesinski, SEMI
SPECIAL SECTION
50
COVID-19 Stories: How the 3D InCites Community is Navigating the Pandemic
10 TECHNOLOGY FEATURES:
...............Fine-Pitch 3D Stacking Technologies for High-performance
Heterogeneous Integration and Chiplet-based Architectures
By Peter Ramm, Fraunhofer EMFT; Mustafa Badaroglu, Qualcomm;
16
Paul Franzon, NSCU; Phil Garrou, MCNC; and Pascal Vivet, CEA
40
By Chip Maschal, Eshylon Scientific
56
By Lea Heusinger-Jonda and Jiaqi Tang, Ph.D., JIACO Instruments
..............2020 In Pictures
STAFF
Françoise von Trapp Creative/Production/Online Mark Scannell
Editor-in-Chief CEA-Leti, France
Taylor Lineberger
Francoise@3DInCites.com Lead Designer Dr. Maaike M. Visser Taklo
Ph: 978.340.0773 Sonitor Technologies, Norway
Ale Moreno
Martijn Pierik Web Developer E. Jan Vardaman
Publisher TechSearch International, Inc.
Martijn@3DInCites.com Technical Advisory Board
Ph: 602.366.5599 Paul Werbaneth
Sitaram R. Arkalgud, Ph.D.
Ichor Systems, Inc.
Trine Pierik Tokyo Electron, USA
Membership Director M. Juergen Wolf
Rozalia Beica
Trine@3DInCites.com Fraunhofer IZM-ASSID, Germany
AT&S, Austria
Ph: 602.366.5696 Visit us at www.3DInCites.com
Pascal Couderc
Steffen Kröhnert 3D PLUS, France Subscribe to our e-newsletter, 3D InCites
European Sales In Review: https://www.3dincites.com/
steffen.kroehnert@espat-consulting.com Yann Guillou subscribe-newsletter/
Ph: +49 172 7201 472 Applied Materials The 3D InCites Yearbook is published by:
USA 3D InCites, LLC
Danielle Friedman 45 West Jackson St. Suite 700
Director of Operations Dr. Phil Garrou Phoenix, AZ, 85003
Danielle@3DInCites.com Microelectronic Consultants Ph: 602.443.0030
Ph: 602.443.0030 of NC, USA Copyright ©2021 by 3D InCites, LLC.
Erik Jan Marinissen All rights reserved. Printed in the US.
Phil Garrou
Contributing Editor IMEC, Belgium
PhilGarrou@att.net Peter Ramm
Dean Freeman Fraunhofer EMFT, Germany
Contributing Editor Herb Reiter
freconsult@gmail.com eda2asic Consulting, USA
Architectures between 2D
and 3D — best-tailored for the
different specific applications of
Figure 3: IntAct active interposer and 3D cross-section heterogeneous integration.
passive interposers cannot carry any bumps on an active interposer Architectures between 2D and 3D
functions; and finally, co-integration (65nm CMOS) using TSV middle are best tailored for the different
of chiplets with incompatible (Figure 3). The active interposer specific heterogeneous applications.
interfaces is impossible. integrates distributed interconnects The different applications, e.g.
for low latency long distance memory, CMOS image sensor, GPU,
To tackle these issues, the concept communication, 3D-plug achieving 3 radio frequency ICs (RFICs), and
of active interposer is introduced Tbit/s/mm2 bandwidth density, and chiplet-based products, need best-
by integrating some active CMOS fully integrated switched capacitor tailored technology solutions for
circuitry on a large-scale interposer. voltage regulators. The circuit their specific performance, power
The active interposer can be implements a total of 96 cores consumption, costs, and form factor
seen as a generic bottom die with a scalable cache coherent requirements.
infrastructure which integrates i) architecture, delivering a peak 220
flexible and distributed interconnect GOPS. Thanks to this partitioning Besides 3DIC integration in its
fabrics for scalable chiplet traffic, and 3D fine pitch, users get more strong definition, a variety of
ii) energy efficient 3D-plugs using GOPS at the same power budget architectures between 2D and
fine pitch interconnects, iii) power and benefit from an increased 3D are potentially well-suited for
management feature for power memory-computing ratio along the cost-effective production. This
supply closer to the cores, and iv) memory hierarchy. is especially true for the growing
memory-IO controller and PHY for market of heterogeneous 3D
off-chip communication. Finally, the As mentioned in the above section, sensor/IC systems with the need
active interposer integrates 3D DFT 3D communication standards for robust die-to-wafer stacking of
to enable known-good-die (KGD) are strongly required to enable components of significant different
strategy. Being of low computing true chiplet compatibility, active device technologies, as CMOS,
complexity and reduced power interposer is however a solution sensors, actuators, and MEMS17,18
budget, the active interposer does enabling to bridge incompatible rather than extremely small TSV/pad
not exacerbate the thermal issues of chiplets by integrating ad-hoc bridge pitches.
the 3D device. logic.
A corresponding example of
As an active interposer prototype, 13
For energy efficient computing, a heterogeneous 3D sensor
the IntAct circuit is composed of six chiplet-based partitioning and 3D integration is shown in Figure 4. The
identical chiplets (28nm FDSOI) each technology is driven by two main photon detector and appropriate
integrating 16 cores, 3D stacked trends:14 heterogeneity (as presented read-out IC are 3D integrated
with fine-pitch (20μm) micro- in reference 15) and pitch reduction
Continued on page 47
Figure 3. Belt furnaces for package manufacturing Figure 4. New StratEdge headquarters in Santee, California.
acquired at a handsome price, like Even though StratEdge is mostly support of 5G infrastructure has
so many dotcoms, had become an known for its high-performance, increased. The packages, most
impossible dream, and the company high-power packages made from often used to protect high-power lat-
had to be revamped. post-fired ceramic and molded erally-diffused metal-oxide semicon-
ceramic materials, the company has ductors (LDMOS), gallium arsenide
Back and Better than Ever provided microelectronic assem- (GaAs), silicon carbide (SiC), and
bly services since the late 1990s. GaN devices, match standard out-
Fast forward to today. The 2018 The influx of new, state-of-the-art lines developed to support cellular
factory fire had a couple of silver assembly equipment increased base stations. Run rates in excess
linings. The storeroom was on throughput for the assembly of of 100,000 packages are being
The company has weathered storms nisced. “It was like a ghost town. the opposite side of the building high-frequency and high-speed accommodated.
Figure 1. The fire and smoke damage
in the past. When it was founded The aisles were empty because no from the fire and the inventory was monolithic microwave integrated
By Casey Krawiec, StratEdge and became StratEdge, it was one attended. The saddest thing protected in vacuum-sealed bags, circuit (MMIC) devices, along with "As a result of the fire, StratEdge
Corporation owned by a Venture Capitalist (VC). was many of the booth sites were so no finished goods were lost. The passive components including some moved into a new facility in 2019
With its patented designs, StratEdge vacant because the companies company also had good insurance, with 50-ohm lines. This new auto- where we continue to design, man-
Since its founding in 1992, Strat- rode the telecom boom in the 1990s either went bankrupt or decided to which allowed for replacement mated equipment and proprietary ufacture, and provide assembly ser-
Edge has moved its factory a couple with highly successful products skip the show to save money on equipment to be purchased. And die-attach method performs precise vices for a complete line of post-fired
of times. Its recent move from used in very small aperture terminals travel expenses.” finally, the employees remained loyal eutectic, epoxy die attachment, ceramic, low-cost molded ceramic,
Sorrento Mesa, in San Diego, to (VSATs), internet infrastructure, and while the rebuilding effort took place. and wire bonding to ensure short, and ceramic QFN packages, some
nearby Santee, California, was not automated test equipment (ATE). After attending the IEEE MTT-S Most of StratEdge’s employees have consistent loop lengths and heights of which operate from DC to 63+
by choice. The president of Strat- But like so many other companies, International Microwave Sympo- been with the company for over for the most optimal electrical per- GHz,” said Tim Going, president and
Edge, Tim Going, received a most it was brought to its knees by the sium just a few weeks later, the fifteen years. formance. The company assembles CEO of StratEdge. “Although the
unpleasant call while on a business telecom bust in the early 2000s. VC decided to pull the plug. The packages and lids manufactured by process of rebuilding has certainly
trip in November 2018. The fire chief portfolio of companies in the fund Although a daunting task, re- had its challenges, it’s positioned us
StratEdge, as well as customer-fur-
told him that StratEdge was on fire. “I remember going to the Optical were bleeding cash, and despite a building the company practically to meet the increasing demands for
nished packages and boards. The
It is not the call you want to receive. Fiber Conference (OFC) in Atlanta in relatively healthy backlog of orders, from scratch had some benefits. 5G equipment and specialized de-
flexibility of the equipment and skill
It’s like driving your car and realizing 2003,” Jerry Carter, senior technolo- without VC funding, StratEdge StratEdge had been working with fense and commercial applications,
of its operators enables StratEdge to
you have a flat tire and need to pull gist and 28-year employee remi- became insolvent. The plan of being Palomar Technologies for several including radar, communications,
accommodate the numerous needs
over to fix it. But this sinking feeling years on perfecting gold-tin (AuSn) avionics, and customer-premises
of its customers.
in the gut is a hundred times worse. die attachment of chips, with a con- equipment (CPE)." (Figure 4.)
The fire originated somewhere in the centrated focus on gallium nitride As mentioned, StratEdge has de-
plating area, which included nickel (GaN) devices. Die attachment is the signed and manufactured packages Conclusion
and gold plating lines. The burning process of attaching a device to a for compound semiconductors
plastics and chemicals created tab or heat spreader, package, or since its beginning. Some of the belt The old saying about when life
plumes of caustic smoke that another die. StratEdge developed a furnaces used to produce molded hands you lemons, make lemonade,
essentially gutted the building and proprietary AuSn eutectic die attach ceramic packages were more than is true. The fire facilitated an up-
destroyed almost all of the electron- technique that, in conjunction with 40 years old. Amazingly, some of grade of the entire company. Strat-
ics within the equipment (Figure 1). its leaded laminate (LL) packages the ancient furnaces survived the Edge is better positioned to support
with CMC bases, was found to fire. The replacement furnaces its customers, including those with
A Stormy Past achieve superior thermal dissipation. are state-of-the-art, with multiple aerospace and military applications,
The Palomar 3880, a fully automat- sensors that allow more precise than ever before. The advanced
StratEdge has always been a nimble ed die bonder, was purchased and packaging lines and microelectronic
control of the various heating zones
company, making adjustments in installed along with other sophis- assembly services, combined with
within the furnace (Figure 3). Since
strategy or product offerings to stay ticated precision equipment in a electrical and environmental test
their installation, yields have steadily
competitive in the semiconductor new Class 1000 cleanroom, which capabilities and design services,
improved. With the new larger facility
packaging and assembly business. includes Class 100 work areas with have well-positioned the company to
and better equipment, StratEdge’s
It’s an industry where the only con- ESD control for performing sensitive support its customers in the various
capacity for building ceramic and
stant seems to be change. operations (Figure 2). industries in which they participate.
molded ceramic packages in
Figure 2. StratEdge’s Class 1000 cleanroom and class 100 work areas
reduce the level of gasses released TSMC’s sustainable goal for 2030 ogies AG, and ST Microelectronics 5. The 100 Most Sustainably Managed
into the atmosphere. is to supply 25% of the power NV. Companies in the World, Wall Street
consumed by its fabrication plants Journal
At virtual SEMICON West 2020, from renewable energy, and 100% Companies that received a region- 6. ASE Technology Holding Named 2020
SEMI focused several keynotes on Figure 1: In September 2015, 193 member states of the United Nations adopted 17 new Sus- for other facilities’ power consump- al listing are Texas Instruments, Industry Leader in the Dow Jones Sus-
sustainability, featuring first former tainable Development Goals (SDGs) to make our world more prosperous, inclusive, sustainable, tion. In July 2020, TSMC’s power SK Hynix, TEL, Micron, Nvidia, tainability Indices, ASE
and resilient. Lam recognizes the importance of these goals and the roles businesses play in
Vice President Al Gore, followed by achieving them. Lam is committed to aligning its programs and initiatives and do its part toward
purchasing agreements for renew- On-Semiconductor, and Intel.
achieving these global goals. (Image source: Lam Research 2019 CSR Report) able energy totaled 1.2 GW, and the
Just like for the etch process, Deposition uniformity results for 4) also enables processing 80%
20%
0
0 2,000 4,000 6,000 8,000 10,000
1,000 3,000 5,000 7,000 9,000
Figure 2: Advantages of advanced packaging on panel: The economic cost advantages of manufacturing on panel level get bigger with die size (As
presented by Intel at IMAPS 2020)
compatible cluster tool designed for “In terms of advanced packaging the latter can offer more-cost-
Figure 4. Rotating cathode sputter panel sizes up to 650x650mm. technology, TSMC now offers the effective production as panels
Figure 3: Deposition uniformities over 600x600mm panels better than 5% on titanium technology delivers excellent uniformity largest InFO capacity in the world can be cut into subpanels that
and copper layers with an edge exclusion of 20mm over large areas We believe It’s going to be an and Samsung Electronics also will involve simplified process and
exciting time for the advanced has its own advanced packaging competitive cost structure to help
packaging industry and panel solutions, but PTI now focuses clients save production cost. And
processing technology as capacities on FOPLP technology. We are we are targeting leading vendors of
ramp in the years to come, but if continuing negotiations with networking chips as potential clients
see consolidated panel sizes for dielectrics and plated Cu, followed and electrolytic plating play a key you don’t believe us why not listen potential clients over the FOPLP for our FOPLP services, and it will
600x600mm and 510x515mm in the by Capex costs for lithography and role in enabling cost effective, to what the industry experts say. backend services. not be impossible for us to serve
industry (Figure 1). metallization equipment. In moving reliable high-volume manufacturing The situation was well summarized even vendors of GPUs and handset
from wafer to panel, the contribution on large substrates. After a long by Boris Hsieh, CEO of PTI inc. in a If InFO and FOPLP are both suitable
Market Outlook APs in the future.”
of material costs is expected to stay period of investment in hardware recent interview with Digitimes: for packaging some types of ICs,
at a similar level, so cost reductions and process developments, the
Establishing PLP manufacturing will be sought in equipment that equipment industry is now able
lines does require investment in simply processes larger substrates to provide tailored equipment
dedicated panel equipment for with more packages at the same solutions.
temporary bonding, pick-and- time. Multiplying the output by a
place, molding, grinding/polishing, factor of three or more, but still Sophisticated technologies might
sputtering, resist lamination, achieving the same level of process continue to drive device technology
lithography, electro plating, and performance on 500mm panels as forward at the wafer level, but
etching. Utilizing 300mm equipment on 300mm wafers, is the biggest the baseline expectation is that
might then be reasonable for back- argument for PLP. Rectangular performance at panel level will keep
end-of-line (BEOL) processes such substrates are also preferred over up to match whatever is achieved on
as testing and dicing. Nevertheless, round when it comes to material wafer scale.
PLP certainly offers the potential utilization — especially with bigger
for lower cost of ownership due packages as illustrated in Figure 2. Panel Solutions Are Ready Now
to the larger substrate area and
better economical manufacturing of Process technologies like Figure 3 shows an example of
bigger packages because of better lithography, seed layer deposition commercially available SEMI
material utilization. Many analysts
have looked at the merits in moving
from wafer to PLP packaging in Thousands of Panels Millions of Packages
more detail. It is certainly clear that 350 2,500
with a bigger size of substrate the
Millions of Packages
Thousands of Panels
300
89.7% CAGR 2,000
substrate cost portion per package 250
2019-2024
should see a significant reduction 1,500
200
due to economies of scale. 108.9% CAGR
2019-2024
150 1,000
Within a typical FO manufacturing 100
process, the redistribution layer 50
500
Beenakker Cavity
Gas Discharge
Tube
Ar O2 H2
Coaxial Cable
Microwave
D
Generator CC
Plasma Effluent
IC Package Sample
Computer
Figure 2. Schematic
Figure 1. A 26mmx29mm SiP module after acid decapsulation (left) and after MIP decapsulation (right)
representation of the
Programmable XYZ-stage
MIP system
Figure 4. Optical microscope image on the 1st layer (a) and the 2nd layer of flash memory stacked die (b) in the SiP module after MIP decapsulation
Top that the bond wires, bond pads, metal layers and chip silicon. packaging decapsulation, ensuring 3. C. Odegard, A. Burnett, J. Tang, J. Wang,
Interposer Chip
dies, original structures, and failure Contrary to that, the atmospheric a high success rate of the related ‘Preserving Evidence for Root Cause Investi-
gations with Halogen-Free Microwave Induced
sites are preserved in an excellent pressure MIP is a highly selective failure analyses and reliability Plasma Decapsulation’, in Proceedings 44th
Figure 5. a) MIP processing exposed the first row of µpillars in a cross section and b) MIP state. The image labeled MIP in and isotropic process and can investigations. International Symposium for Testing and Failure
Analysis, 2018.
process was able to expose the second row into the sample where the first µpillar row was
Figure 1 illustrates artifact-free expose interposer interconnects
mechanically polished into while preserving all materials and References 4. Ibid
exposure of all active and passive
devices in a SiP module using original failures sites, allowing for 1. J. Tang, M. R. Curiel, S. L. Furcone, E. G. 5. K. Distelhurst, J. Myers, D. Bader, P. Pichu-
MIP. Figure 4 shows more detailed subsequent, careful analysis. J. Reinders, C. T. . Revenberg, and C. I. M. mani, J. Tang, M. McKinnon, “Analysis of 2.5D
Beenakker, ‘Failure analysis of complex 3D Module after Underfill Removal with a CF4-Free
images of a decapsulated 3D
MOSAIC
stacked-die IC packages using Microwave Microwave Induced Plasma (MIP) Spot Etch
Using the atmospheric pressure Induced Plasma afterglow decapsulation’, in
structure on the SiP module. Process”, to be published at International Sym-
MIP process to remove underfill 2015 IEEE 65th Electronic Components and
Technology Conference (ECTC), 2015.
posium for Testing and Failure Analysis, 2020.
microsystems
been placed on 2.5D packages, be cleanly exposed either from
proving that the MIP process the top down or in a cross-section
selectively removes organic (Figure 5), enabling analysis of
materials, such as epoxy mold defects further into the cross-
compound, underfill or polyimide, section, while guaranteeing that no
The Future is Clear on a die or in-between two dies on artifacts are induced by the sample
ERS
Mosaic’s proprietary VIAFFIRM™ bond enables thin glass the 2.5D package to enable further preparation.5
solutions for demanding next generation microelectronics analysis steps. Cu micro-bump,
Removing the packaging material
and photonics packaging needs. solder joint, die pad exposure (top
between closely spaced chips
down or cross-section exposure)
on a silicon interposer is quite
on 2.5D interposer die or 3D NAND
www.mosaicmicro.com challenging. MIP's ability to
AD
dies are areas where MIP shows
remove the packaging material
its unique capabilities compared
from these very high aspect ratio
to conventional decapsulation
spaces allows inspection of the
techniques.
conductive traces in the silicon
Interposer interconnects, for interposer in this area of high risk
example, are difficult to expose of interconnect failure (Figure 6).
using conventional decapsulation
The atmospheric pressure MIP
methods, because the laminate,
system is a unique tool for artifact-
chip bumps and µbumps would
free decapsulation to enable
be attacked by wet chemicals.
undisputable identification of
Fluorocarbon-based plasma
failures. The system’s high etching
selectivity, speed, and repeatability
Hybrid Bonding Bridges the Technology Gap The dense copper interconnects fuel an offshoot of Moore’s law; and opportunities for the industry.
associated with hybrid bonding closing the gap between what is To keep yields economically
By Swati Ramanathan in computing — fueling Moore’s Closing the Gap leads to improved electrical considered front end and back favorable, the increased
and Stephen Hiebert, KLA law. In recent years however, performance and bandwidth, while end. Additionally, foundries and complexity brings about a greater
Corporation thanks in part to the increased As advanced packaging adopts direct die-to-die pad connectivity IDMs already skilled in front- need for metrology, inspection,
importance of memory in Big complex processes from the front allows for a lower standoff height end technology may be quicker and testing.
A Technology Chasm Data and AI applications, the end, the clear separation that and thinner package size. Further, to adapt to the high process
semiconductor industry is at a once existed between front-end by using pre-inspected, diced complexity demanded by hybrid • Shrinking design rules and
Until recently, the world of IC point where processing speed and back-end wafer processing small copper pad dimensions
known good die (KGD) during the bonding; but OSATs will be
fabrication was neatly divided into is not the efficiency limiter. The is starting to blur. This fuzziness require precise overlay to ensure
bonding process for applications working hard to catch up.
the distinct stages of front-end efficiency of the entire finished is best embodied by packaging pad contact and electrical
like 3D stacks in both high
and back-end processing, with package is critical and is limited by technologies like hybrid bonding, Hybrid Bonding Challenges connectivity
bandwidth memory (HBM) and
a large chasm separating them its least efficient component. which due to its extremely
for both process complexity and demanding process complexity
logic, increased yield for the high
The use of front-end-like • Surface smoothness, and
value package can be realized. precise dishing are required,
economic value. The front end Innovation is not new to the and small features, uses technologies in hybrid bonding
has been focused on increased is expected to provide huge as roughness and imprecise
packaging industry, and with advanced, almost front-end-like This unrelenting march toward
processing or computing power, performance and cost benefits, dishing can compromise
packaging processes now processing (e.g., CMP) to result in increased efficiency continues to
and achieves this goal using highly but not without unique challenges electrical connectivity
directly impacting overall device improved electrical performance
complex process technologies to performance, the pace of invention and increased interconnect
create ever-shrinking technology has gained momentum. It is density. Figure 2: Simplified hybrid
nodes. Once the devices are evident that huge gains can be bonding flow
built, the wafer moves into the achieved by increasing bandwidth Hybrid bonding vertically connects
back-end phase, which is focused or the rate at which data is pushed die-to-wafers (D2W) or wafers-
on connectivity, protection, across devices. This shift has to-wafers (W2W) (Figure 2) via
and assembly. Here, wafers are accelerated the adoption of some closely spaced copper pads.
prepped for assembly into their front-end patterning processes While W2W hybrid bonding has
final packaging by establishing the [lithography, etch, chemical been in production for several
necessary wiring and connection mechanical planarization (CMP)] years in image sensing, there is a
across devices. Historically, to introduce smaller feature sizes strong industry push to expedite
processing in this stage was not as and more complex integration the development of D2W hybrid
advanced or economically valuable in packaging process flows to bonding. This development will
as the front end. generate increased connectivity. further enable heterogenous
integration, which provides a
As a result, front-end advances in As the value of advanced powerful and flexible means to
increasing transistor density and packaging in IC fabrication grows, directly connect die of different
processing speed were pivotal in the technology chasm between functions, sizes, and design rules.
driving nearly all the improvements front-end and back-end shrinks.
of D2W hybrid bonding in high KLA’s Solution mechanical dicing methods like (SLID) interconnects.18 Digest, pp. 879-882 (1999). Interposer with Distributed Interconnects and
Integrated Power Management”, IEEE Journal
5. Peter Ramm et al., Japanese Journal of Applied
volume will require resolution for plasma dicing because of much Physics 43 (7A), L 829 (2004).
of Solid State Circuits, Volume: 56, Issue: 1,
The recently launched Kronos™ To pay more attention to the January 2021.
each of these challenges. To meet lower particle contamination levels.
1190 wafer level packaging described fine-pitch stacking 6. Ravi Mahajan et al. «Embedded Multi-Die 14. D. Dutoit, et al., “How 3D integration tech-
alignment requirements, pad-to- Interconnect Bridge (EMIB)», 2016 IEEE 66th nologies enable advanced compute node for
inspection system is targeted at KLA’s wide range of advanced concepts and new architectures Electronic Components and Technology Con-
pad overlay must be measured ference (2016).
Exascale-level High Performance Computing?”,
enabling process development process control and process- “between 2D and 3D”, the IEEE EPS IEDM, 2020.
through the top die accurately
for hybrid bonding, with its high enabling solutions and decades Technical Committee 3D decided 7. David Kehlet, "Accelerating Innovation Through 15. Pierre-Yves Martinez et al., “ExaNoDe:
and precisely. The wafer surface A Standard Chiplet Interface: The Advanced combined integration of chiplets on active inter-
sensitivity darkfield channel for of leadership in front-end process to broaden its objectives from 3DIC Interface Bus (AIB)," Intel White Paper retrieved
profile after damascene pad from https://www.intel.com/content/dam/www/
poser with bare dice in a multi-chip-module for
small particle detection and control uniquely position our and monolithic integration on one heterogeneous and scalable high-performance
formation must be measured public/us/en/documents/white-papers/acceler- compute nodes”, VLSI Conference, 2020.
brightfield capability that can equipment to address hybrid side, to non-TSV 3D technologies, ating-innovation-through-aib-whitepaper.pdf
with sub-nanometer precision to 16. Amandine Jouve et al., « Die to wafer direct
detect residue defects. The system bonding challenges at a superior chiplet assembly, Si interposer and 8. H. Ko et al., "A 370-fJ/b, 0.0056 mm2/DQ, 4.8-
ensure that copper pads meet Gb/s DQ Receiver for HBM3 with a Baud-Rate
hybrid bonding demonstration with high
can inspect a wide variety of cost of ownership. alternative interposer concepts alignment accuracy and electrical yields », IEEE
demanding recess or protrusion Self-Tracking Loop," 2019 Symposium on VLSI 3D System Integration Conference, Sendai
substrate types such as whole (including TSV less), on the other Circuits, Kyoto, Japan, 2019, pp. C94-C94, doi: (3DIC 2019).
10.23919/VLSIC.2019.8778082.
side of the fine-pitch interconnect 17. Josef Weber, Montserrat Fernandez-Bolanos,
9. Mu-Shan Lin et al., "A 16nm 256-bit wide
“spectrum”. 89.6GByte/s total bandwidth in-package
Adrian Ionescu and Peter Ramm, « 3D Integra-
tion Processes for advanced sensor systems
Novel Approaches to Wafer Handling continued from 16 Visit our website for information on
interconnect with 0.3V swing and 0.062pJ/bit
power in InFO package," 2016 IEEE Hot Chips
and high-performance RF components », ECS
transactions 86 (8), 2018.
28 Symposium (HCS), Cupertino, CA, 2016, pp.
TC 3D/TSV. 1-32, doi: 10.1109/HOTCHIPS.2016.7936211. 18. Peter Ramm, Armin Klumpp, Christof Landes-
requires no curing step, and The MESC system works best with trays and panel configurations. berger, Josef Weber, Andy Heinig, Peter
debonds without residue of any smooth, flat, conductive surfaces. Carriers can be powered by References
10. S. Ardalan et al., "Bunch of Wires: An Open
Die-to-Die Interface," 2020 IEEE Symposium on
Schneider, Guenter Elst, Manfred Engelhardt, «
Fraunhofer´s Initial and ongoing contributions in
kind. It’s not limited to such surfaces, onboard capacitance or even High-Performance Interconnects (HOTI), Pisca- 3D IC Integration», IEEE 3D System Integration
taway, NJ, USA, 2020, pp. 9-16, doi: 10.1109/
though, accommodating most batteries. With integrated charging 1. Handbook of 3D Integration “Technology and
HOTI51249.2020.00017.
Conference, Sendai (3DIC 2019).
Instead, MESC bonding depends wafers with consistent topography, stations, carriers can be recharged
Applications of 3D Integrated Circuits”, edited
by Philip Garrou, Christopher Bower, Peter 11. M. J. Miller, "Bandwidth engine® serial memory
on coulombic attraction between such as an array of copper studs or powered directly for more Ramm, Wiley & Sons (2008). chip breaks 2 billion accesses/sec," 2011 IEEE
a reusable carrier and the wafer or (the electrostatic bond is not demanding process exposure 2. Richard P. Feynman «The computing machines Hot Chips 23 Symposium (HCS), Stanford,
CA, 2011, pp. 1-23, doi: 10.1109/HOTCH-
wafer coupon. Charge stored in an suitable for aqueous processes, conditions.
in the future», Nishina Memorial Lecture at
Gakushuin University (Tokyo) (1985). IPS.2011.747749 3.
electrode embedded in the carrier as capillary action allows liquid to 3. Peter Ramm et al. «3DIC: Past, Present and 12. B. Kleveland et al., "An Intelligent RAM with
induces an opposite charge in infiltrate the space between the two Due to the volumes required for
the wafer. The attraction between surfaces). Reducing the amount large packaging facilities, Eshylon
the two maintains the bond until of area in contact with the carrier is working on a partnership with a
the electrode is discharged. The generally reduces the strength of large OEM that currently produces
required charge, a few thousand the bond, but varying the amount cutting-edge wafer-level and device
volts with only a few microamps of electrostatic charge can often automated handling equipment.
of current, is far smaller and much compensate for less-than-ideal Eshylon has developed a rack
more controlled than damaging surface characteristics. mounted charge control module
ESD spikes. Charge monitor that interfaces with carrier charging
(CHARM) wafer testing found no Packaging customer use cases units integrated directly into the
changes attributable to the MESC and applications are diversifying pick-and-place tooling. This system
system. at a rapid rate, including reflow, will communicate directly to the
plasma clean, flux clean, flip-chip, main tool control and will have the
The bond is robust enough for most and various high-temperature ability to control multiple carrier
mechanical and thermal conditions cure techniques. Heterogeneous charge units. This handling system
the wafer might encounter, and integration is forcing outsourced will be able to change device bond
is inherently compliant. There is semiconductor assembly and strength and release on-the-fly,
no adhesive between the carrier test (OSAT) facilities to adopt new adjusting for varying substrate
and the wafer, so differential production techniques and the physical form factors.
thermal expansion does not induce adoption of SEMI standards. A lack
stress. High-temperature carriers of standards for device handling Eshylon’s electrostatic carrier
currently function at temperatures and device interfaces has made it technology enables customers
exceeding 450°C and can bond challenging for manufacturers to to run a broader spectrum of
conductive, semi-conductive, and streamline production. There is a products through existing tool
insulating materials. As the wafer movement in the industry to move sets, reducing production tooling
expands and contracts, it simply toward panels that are compatible costs and improving overall yields.
slides on the carrier surface. with the ever-increasing interposer Taken together, these features
Bonding and debonding are non- and device-size configurations. make Eshylon Scientific’s handling
destructive to both the wafer and systems for thin wafers and
the carrier; carriers remain reliable Eshylon’s proprietary bonding singulated devices a scalable,
for thousands of cycles over many technology has been proven in process compatible alternative to
years. both wafer-level and custom-size adhesives and tapes.
Supporting Members’
Business Operations
SEMI's Response to COVID-19: Supporting Continuity University’s Kennedy School of conference in Shanghai, signaling SEMI will carefully monitor guidelines
Government, were also integral a return to some normalcy. Quickly in each of our regions for hosting
onsite gatherings. We anticipate
of Members’ Business Operations
elements of the webinars pivoting to virtual platforms,
SEMICON West and SEMICON hosting more hybrid events with a
• Three member surveys to identify domestic onsite exhibition and a
pain points, WFH and return- Southeast Asia were exclusively
online, as were key conferences. global, virtual version of the technical
to-work (RTW) policies and program to accommodate the
By Michael Ciesinski, SEMI connectivity and provide the same SEMI executives to study the latest strategies, along with key lessons
level of services and information developments in the crisis, consider In the summer and fall of 2020, differences in situations and travel
learned restrictions by region, along with
The outbreak of the COVID-19 expected by SEMI members? members’ needs and close on SEMI made decisions regarding
coronavirus caught most countries action-items from the previous day. • Dozens of industry meetings to our remaining roster of exhibitions. the full spectrum of stakeholder
and companies off guard and Launch of the SEMI COVID-19 address the supply of PPE, best SEMICON Taiwan would be our preferences. Likewise, our various
unprepared. Specifically, companies Communications Team Based on these meetings, known safety practices, BCP, first hybrid event — in-person and committees and technology
were caught short of personal SEMI tasked its technology state and national regulations, virtual. SEMICON Europa was communities will host virtual
protection equipment (PPE), along Ajit Manocha, SEMI’s President communities’ group and corporate communications infrastructure cancelled due to travel restrictions meetings but will seek opportunities
with essential tools, materials, and and CEO, has extensive C-level marketing to establish a COVID-19 resiliency, and other business throughout Europe. Also, SEMICON to safely host in-person meetings.
components to keep manufacturing experience at GlobalFoundries, communications team with the operations topics Japan would be virtual. Again, these
lines running. Many companies had a Spansion, and Philips mandate to support member decisions were made in cooperation The microelectronics industry is
Semiconductors. He quickly
• More than 75 blogs and news poised for continuous growth,
business continuity plan (BCP) ready, business operations in real time articles from China, France, with local authorities and in the best
but all were tested by unanticipated realized the likely and devastating during this unprecedented health and interests of the health and safety of thanks to strong fundamentals and
Germany, Japan, South Korea, technology growth drivers such as
work-from-home (WFH) requirements impact of COVID-19 on the global economic disruption. Specifically, our members and their customers.
Taiwan, and U.S. featuring artificial intelligence and 5G. The
and adjustments. And, definitions of microelectronics manufacturing and the team was to gather coronavirus member insights, semiconductor pandemic has sped up aspects
essential businesses, which could design supply chain, and he resolved information, organize it for business The SEMI Global Advocacy team
industry forecasts, and other of digital transformation, such as
remain open, often varied from region that SEMI should be an invaluable purposes, and share it with played a key role in assuring that
relevant information remote monitoring of manufacturing
to region and country to country. resource for its members. members. the microelectronics industry was
considered “essential business” equipment and telehealth. SEMI will
These meetings and communications
A large part of the value SEMI Ajit took a page from his Various SEMI communities, including by governments around the world. develop and evolve our initiatives
continue today and will support SEMI
delivers to members comes through manufacturing operations playbook, Environmental Health & Safety (EHS), Starting with letters to governors of around Smart Manufacturing and
members’ needs until such time as
connecting people within the which directed the leadership Information Technology Leadership more than half of the states in the Smart MedTech, and other programs
the COVID-19 pandemic no longer
microelectronics manufacturing team to start each workday with a (ITL), Fab Owners Alliance (FOA), U.S., this effort soon grew to direct and services, to help members
impacts business operations.
industry — through exhibitions, “morning prayers” meeting where and Electronic Materials Group communications from SEMI to the uncover new business opportunities
in-person conferences, global they would review operations and (EMG), worked with the COVID-19 The Value of SEMI’s European Union and to government emerging from digital transformation.
advocacy, standards setting, and consider how to improve efficiency. communications team to respond Global Footprint policymakers throughout Asia. It We encourage readers to follow
workforce development. How could He began holding regular early to this call for action by gathering expanded to facilitating essential SEMI online and look for ways to get
our business model adapt to virtual morning meetings with senior and sharing best practices and SEMI operates in seven regions of travel for our members who supply involved.
ADVERTISER INDEX
4, 8, 12, 16 or more high HBM2, HBM3 & beyond 2.5D Integration with DBI Ultra