You are on page 1of 13

Transactions on Electrical and Electronic Materials Online ISSN 2092-7592

https://doi.org/10.1007/s42341-020-00222-y Print ISSN 1229-7607

REVIEW PAPER

A Journey from Bulk MOSFET to 3 nm and Beyond


Asharani Samal1 · Suman Lata Tripathi2 · Sushanta Kumar Mohapatra1 

Received: 7 January 2020 / Revised: 1 June 2020 / Accepted: 27 June 2020


© The Korean Institute of Electrical and Electronic Material Engineers 2020

Abstract
To overcome scaling issues such as controlling gate leakage, drain induced barrier lowering, higher subthreshold conduction,
polysilicon gate depletion, and other short channel effects various engineering proposed. The gate dielectric, metal work
function, and device structural engineering enabled the semiconductor industry to make a transition from the conventional
planar MOSFET towards a revolutionary 3D tri-gate structure called FinFET. FinFET is one of the fundamental invention in
the semiconductor industry, which replaced the planar CMOS technology around 22 nm technology. By following Moore’s
law, it accelerated the scaling to 7 nm, but at 5 nm, in the same way, GAAFET replaced FinFET due to technological hurdles.
Nanosheet, which is one type of GAAFET are in the recent trend. But researchers are trying to explore the possibilities to
continue the miniaturization beyond 3 nm by combining the effect of non-silicon channel material such as Ge, InGaAs, or
2D materials with nanosheet, which will improve the functionality of the device while going down in the technology node.
In this survey, an attempt has been made for the structure present till 7 nm process. Also, a few new proposals in research
to take the scaling up to 3 nm and beyond are included. The future innovations may put an intercept on the slowing down of
Moore’s law, and bring the miniaturization back in the track.

Keywords  Scaling · Scaling challenges · Bulk MOSFET · SOI device · High-k · High-k metal gate · DG-MOSFET · TFET ·
FinFET · GAAFET · CFET · Vertical FET · NCFET · Nonsilicon channel materials

1 Introduction resulted in consistent improvement in both device density


and performance over many technology generations.
The breakthroughs in this electronic era are due to the The device scaling benefitted the semiconductor industry
advancement of semiconductor technology. The technol- in terms of
ogy manifested the prediction by Gordon Moore in 1965
i.e. the number of transistors per chip will double every • Faster-operating speed as the electrons have to move a
2 years [1–5]. The increased demand for battery-operated lesser path from source to drain.
portable devices has necessitated the requirement of high • Cheaper circuit design due to the integration of large no
speed, lower power, and compact devices. The downscal- of transistors on a single chip.
ing of the physical size of the device known as scaling has • Lesser dynamic power due to lower capacitance of the
smaller transistor.

The Metal Oxide Field Effect Transistor (MOSFET) scale


* Sushanta Kumar Mohapatra down to a small size was provided by Dennard, reached its
skmctc74@gmail.com
limit, thus began the ‘equivalent scaling’ era of the past two
Asharani Samal decades [6].
asharani.samal@gmail.com
With every new technology node (a node is a genera-
Suman Lata Tripathi tion of semiconductor process parameters associated with
tri.suman78@gmail.com
the size) performance, cost and dynamic power have been
1
School of Electronics Engineering, KIIT University, improved, but it has resulted in short channel effects (SCEs).
Bhubaneswar, Odisha, India With the scaling of channel length (­ Lg), at higher drain volt-
2
School of Electronics and Electrical Engineering, Lovely age the barrier height for electrons to move from source to
Professional University, Jalandhar, India

13
Vol.:(0123456789)
Transactions on Electrical and Electronic Materials

drain, which should be ideally controlled by gate voltage an idea about next-generation transistors. Section 14 gives a
­(Vg), is also affected by drain voltage (­ Vd) thus reduces the pictorial representation of the technology trend. Section 15
gate controllability over drain current (­ Ion), which results concludes the paper.
in drain induced barrier lowering (DIBL) and degradation
of (that is, an increase) subthreshold slope (SS). These two
effects are additive, and both increase the leakage current 2 Bulk MOSFET and Its Challenges
­(Ioff) of the transistor. DIBL is an effect that causes a reduc-
tion in the threshold voltage ­(Vth) as the channel length In conventional MOSFETs with long channel technology,
reduces [7]. The reduction of ­Vth due to DIBL is commonly the transistor characteristics were at par with the required
known as V ­ th roll-off [8]. The classical solution to prevent speed and power requirements [7]. However, with shortening
SCE in conventional MOSFET is to increase the electrostatic of ­Lg various SCEs came into the picture such as
control by reducing gate oxide thickness (­ tox) in proportion
to ­Lg without capacitance degradation [7]. However thinning 1. Increase in ­Ioff
of ­tox i.e. Silicon dioxide (­ SiO2) which has served as the tran- 2. Degradation of SS
sistor gate insulator, since the emergence of MOS devices 3. DIBL
beyond a certain limit (0.8 nm) resulted in intolerable direct 4. Threshold voltage ­(Vth) variations
tunneling current which increased the ­Ioff [9–14] As scal-
ing of planar CMOS has faced significant challenges, new Figure 1 shows the layout of bulk MOSFET and the bar-
device structures, and new materials are explored to prevent rier faced by the electrons to move from source to drain. A
the issues because of downscaling of MOSFET dimension simulation was carried based on the device parameter [18]
i.e. to reduce the SCEs like DIBL, sub-threshold conduction in Fig. 2 to verify SCEs. Here the bulk MOSFET for gate
(Increase in ­Ioff), degradation of SS and ­Vth roll off [15–17]. length ranging from (90 to 2000 nm) is considered that is
The paper gives a roadmap of various engineering from micro to nanoscale. It is observed from Fig. 2a that the
invented in order to reduce SCEs in shorter channels. The ­Ioff increases from ­10−8 to 50 µA with decreasing the ­Lg. An
Engineering fall into categories like Gate Dielectric Engi- increase in I­ off was a concern for device’s power consump-
neering, Gate Material Engineering, and Device Engineer- tion. In Fig. 2b gives the ideal about the higher SS (un-
ing, Channel Engineering etc. natural) drastically below 500 nm of ­Lg.
This paper is divided into seven main sections. Section 2
discusses the challenges faced by bulk planar MOSFET due
to ­Lg scaling. Section 3 focuses on the Silicon on Insulator
(SOI) and its advantage and disadvantage over bulk MOS- 3 SOI Technology: A Device Engineering
FET in regard to scaling. Section 4 gives an idea about the
limitation of S ­ iO2 as the gate dielectric and the evolution of In this electronic era, power-saving hence low leakage is
high k gate dielectric. Section 5 gives the need of transition more crucial than random speed increase. Unfortunately,
from polysilicon gate to metal gate. Section 6 gives the idea drive current ­(Ion) increases with each new generation of
of transition from planar structure to non-planar structure. the transistor, but it also results in a threefold increase in
Section 7 discusses FinFET. Section 8 discusses the about subthreshold leakage (­ Ioff), which would increase power
GAAFET which will replace FinFET and Sects. 9–13 gives consumption [19]. To get rid of those significant leakages

Gate
Poly Silicon
Cg Oxide
SiO2
Gate Oxide Source
Source Channel C Drain
Drain
Source
dg
C
Source Channel Drain
Silicon Substrate

Silicon Substrate

(a) (b)

Fig. 1  a Layout of bulk planar conventional MOSFET, b illustration of barrier faced by electrons from source to drain

13
Transactions on Electrical and Electronic Materials

away from the channel surface such as source-to-drain or In SOI transistors, the higher mobility results in a sig-
subthreshold leakage from the channel surface, one of the nificant increase in ­Ion over a conventional substrate [22].
device engineering technology concepts came into the pic- The reduced parasitic junction capacitances in SOI devices
ture that is SOI [19–21]. These SOI devices fall into two also drives the I­ on and enable them to be high-speed devices
categories, as shown in Fig. 3: if the thickness of silicon over their bulk silicon counterparts [23]. The reason for the
film ­(tsi) is larger than the Source/Drain depletion width, reduction of junction parasitic capacitance is due to the fact
then the channel region is partially depleted called par- that in bulk devices, there are two components of parasitic
tially depleted (PD) SOI device. If t­si is thinner than the capacitance, whereas in SOI devices its only one is depicted
depletion width, then the channel region under the invert- in Fig. 4. Thus SCE is well suppressed in a MOSFET fabri-
ing layer is fully depleted called a fully depleted (FD) SOI cated on a silicon-on-oxide (SOI) than bulk-silicon devices.
device. It is found that thinner the SOI layer is, the more the SCEs
are suppressed.

Fig. 2  a ­ID–VGS characteristics of bulk planar conventional MOSFET, b subthreshold slope as a function of gate length for ­VDS = 1.5 V

Fig. 3  The layout of SOI


planar conventional MOSFET a Source Poly Silicon Drain Gate
Depletion Width Depletion Width Source
FDSOI, b PDSOI Drain
Gate Oxide Depletion Width Gate Oxide Depletion Width
Source Drain Source Drain
Channel Channel
Buried Oxide ( SiO2) Buried Oxide ( SiO2)

Silicon Substrate Silicon Substrate

(a) (b)

Gate oxide Gate oxide


Source Field Implant Drain Source Field Implant Drain

Buried Oxide
Substrate
Substrate
(a) (b)

Fig. 4  Parasitic junction capacitance component a Bulk, b SOI MOSFET

13
Transactions on Electrical and Electronic Materials

Moreover, SOI MOSFETs are low power devices for A


COX = k𝜀0 (1)
its lower inverse subthreshold because it allows the use of d
devices with a smaller value of threshold voltage without an
increase in leakage current as compared to bulk devices in 1 W
the case of long gate lengths [24]. This reduces static power
IDS = 𝜇COX (VGS − VTH )2 (2)
2 L
consumption significantly. Beyond 32 nm technology, thin
SOI multi-gate devices are very attractive due to their excel- where k is the relative dielectric constant, Ɛ0 is the permit-
lent electrostatic control in addition to enhanced transport tivity of the free space, A is the product of ­Lg and W where
properties [25, 26]. ­Lg is the channel length, W is the channel width, and d is the
The TCAD simulation for the advantage of SOI over bulk thickness of gate oxide. Thus both lateral dimension (litho-
MOSFET is illustrated in Fig. 5 with the considered device graphic feature sizes) and vertical dimensions (gate oxide
dimensions. It is evident that SOI technology provides high thickness and junction depth) should be reduced to increase
­Ion and lower SS (which is nearly equal to the typical value the packing density of devices while avoiding SCE.
that is 60 mV/decade). As shown in Fig. 6 the reduction of dielectric thickness
Although SOI devices offer many benefits, it also brings has resulted in the improvement of DIBL, which is the root
in few reliability issues like self-heating due to poor heat cause of SCE [7]. DIBL is calculated as per Eq. 3:
dissipation [23], hot-electron degradation due to thin-film ΔVth V − Vth2
effects [23] and the existence of two interfaces and floating DIBL = = th1 (3)
ΔVDS VDS1 − VDS2
body effect in PD-SOI devices [23].
However, around the year 2003 at 90 nm generation at t­ ox of
1.2 nm it was realized that it is no longer possible to shrink
4 High‑k: Gate Dielectric Engineering it further as the oxide had hit its five-atom limit. Beyond
1.2 nm ­SiO2 started to lose its insulating properties and
4.1 Gate Dielectric Scaling began to leak current as shown in Fig. 6. This is due to
the quantum mechanical phenomenon of electrons [19] and
As we go down in technology nodes, in order to increase the this leakage current was the most limiting factor as it was a
performance when the transistor is on and to reduce sub- source of undesirable heat; hence was drainage on the power.
threshold leakage when the transistor is off or in other words
to reduce SCEs ­tox has to be reduced in proportion to L ­ g in 4.2 The High‑k SOI MOSFET
order to increase electrostatic control over channel [19]. The
reason to reduce t­ ox is to get a larger oxide capacitance hence The favorable oxide material S ­ iO 2 has served as the
an increased on-current (­ Ion) and also to control threshold transistor gate insulator since the emergence of MOS
voltage roll-off (∆Vth) (and therefore the subthreshold leak- device. In the conventional MOSFETs when ­tox shrinked
age) in the reducing channel length. The increase of on cur- beyond 1.2 nm, the industry needed a significant innova-
rent with reduction of t­ ox can be explained with Eqs. 1 and 2: tion to maintain performance while controlling SCEs and
power in advanced technologies as ­S iO 2 started to lose

Fig. 5  Comparison of Bulk and SOI MOSFET a ­Ion, b SS with respect to ­Lg

13
Transactions on Electrical and Electronic Materials

Fig. 6  Effect of oxide thickness on SCEs a DIBL, b leakage current (­ Ioff) with respect to dielectric thickness ­(tox)

its dielectric properties. Thus there was the need to find


a gate dielectric that can be replaced with ­S iO 2, which Poly Silicon
was thick enough to prevent direct tunneling of electrons
High-k
through it, and yet it should be permeable enough for the Thicker Dielectric
electric field of the gate to enter into the channel. Thus Gate Oxide
the solution was to use a dielectric material that has a
Source Channel Drain
higher dielectric permittivity than ­SiO2, which was called
high-k dielectric [27]. As per the concept of equivalent
oxide thickness (EOT) a high-k gate dielectric can store Buried Oxide ( SiO2)
more charge due to capacitance rise because capacitance is
directly proportional to the dielectric constant of the mate- Silicon Substrate
rial Thus to lower leakage current a thicker insulator can
be used which can provide same capacitance or it can be
Fig. 7  The layout of high-k SOI transistors
used to store more charge for the same thickness of insu-
lator [14, 19, 28–30]. The EOT refers to the thickness of
any dielectric scaled by the ratio of its dielectric constant
to that of ­SiO2 ­(kSiO2) [31] and can be expressed as Eq. 4:
3.9
EOT = tHi−k (4)
k
where ­tHi-k is the physical thickness of the high-k gate dielec-
tric material, and k is its dielectric constant, for e.g. a gate
dielectric with k value of 12 can be almost thrice as thick
as ­SiO2 gate insulator, while capacitance per unit area value
remains same so as to maintain same electrostatics [32]
The layout of high-k MOSFET is shown in Fig. 7. The high-
k MOSFETs reduced the leakage current to a great extent as
shown in Fig. 8, but it exhibited trapped charge problem [31,
33]. Among the dielectrics as given in Table 1 whose dielectric
constant ranges from 10 to 30, the most favorable material
considered for the replacement of ­SiO2 is ­HfO2 [Dielectric
constant: ~ 20] because of its merit in-band offset, thermal
stability and better electrical characteristics [31, 34–37]. The
trapped-charge problem also eliminated in ­HfO2. Fig. 8  ID–VGS characteristics of SOI high-k devices to show Fermi
level pinning and mobility degradation

13
Transactions on Electrical and Electronic Materials

Table 1  Dielectric materials [12]


Dielectric Dielectric constant

Silicon dioxide-(SiO2) 3.9


Silicon nitride-(Si3N4) 7
Aluminium oxide-(Al2O3) ~ 10
Tantalum pentoxide-(Ta2O5) 25
Lanthanum oxide-(La2O3) ~ 21
Gadolinium oxide-(Gd2O3) ~ 12
Yttrium oxide-(Y2O3) ~ 15
Hafnium oxide-(HfO2) ~ 20–25
Zirconium oxide-(ZrO2) ~ 23

There were some other downside observed in high-k MOS-


FETs like “Fermi level pinning” problem, that is the increase
in the threshold voltages means more voltage required to turn
on the MOSFET transistor [38]. Severely degraded channel Fig. 9  Gate stack architecture
mobility observed, which slowed down device’s switching
speed [15]. These two demerits were represented in Fig. 7
using TCAD simulation. Researchers found out that the source
of trouble was the interaction between the high-k gate dielec- and reduce the switching speed of the transistor. However,
trics and polysilicon gate electrode [19]. increasing the density of electrons in a gate electrode can
Also, direct use of high-k gate material on silicon wafer minimize the influence of dipole vibrations on the channel
resulted in weaker electrostatics due to the fringing fields from electrons significantly, as shown in Fig. 10. So the obvious
the gate to the source/drain regions that enhance the electric choice was metal, which contains 100 times more electrons
field into the channel, thus increasing SCE. This might happen than silicon. Thus metal gates higher electron density screens
due to the reason that dielectric thickness became comparable out electron scattering phonons and allow smooth flow of cur-
to the gate length [39]. This issue was taken care by a stacked rent flow through the channel [41]. Also, the bond between
gate structure in which high-k dielectrics is used over an extra- high-k dielectric and the metal gate was so well, it solved the
thin ­SiO2 layer, which ultimately improves the interfacial qual- problem of fermi level pinning [19]. The work function of the
ity and stability [39] as shown in Fig. 9. The overall EOT is metal should be chosen wisely as it is very critical in view of
given by Eq. 5: MOSFET operation [42].
Around Jan 2007, the first working 45 nm HKMG MOS-
𝜀1 FET made by Intel [43]. The transistors, with a high-k material
EOT = tSio2 + EOTHi−k = t1 + t2 (5)
𝜀2 as the gate dielectric (hafnium-based oxide) along with metal
as gate electrode, exhibited the right threshold voltage with a
In 65  nm high-k transistors, although direct tunneling
very low leakage current and a large on current thus forms the
through the gate, significantly reduced but it introduced
basis for low power application [44].
other issues. Those issues were later solved by incorporat-
ing new deposition techniques and the replacement of poly-
silicon with metal gates [40]. These added features allowed
6 Double Gate (DG) MOSFET on SOI‑Device
further scalability hence opened the doors for the 45 nm
Engineering
technology [19].
The use of high-k dielectrics with metal gates, although
extended the lifetime of planar MOSFET to another dec-
5 The High‑k Metal Gate Transistor
ade but beyond 50 nm introduction of new devices, was
(HKMG)‑Gate Electrode Engineering
required to meet the challenges faced by conventional
MOSFET [12]. Researchers found out multi-gate struc-
The dielectric layer issues were due to the interaction between
tures such as DGMOSFET can be of use when planar
polysilicon and high-k dielectric. In a dielectric, there is the
MOSFETs finally runs out of steam [45]. In planar dou-
vibration of dipoles and this vibration causes strong vibrations
ble-gate devices, the gate is on both sides of the chan-
in a semiconductor’s crystal lattice, called phonons. These
nel [22] i.e. in DG-MOSFET an active biased gate is
phonons slow down the channel electrons due to scattering

13
Transactions on Electrical and Electronic Materials

Metal
High-k
Thicker Dielectric
Gate Oxide Polysilicon Gate Metal
Source Channel Drain
High-k dielectric High-k dielectric
Buried Oxide ( SiO2)

Silicon Substrate
Channel Channel

(a) (b) (c)

Fig. 10  a Layout of high-k metal gate transistors, b bumpy ride of electrons in polysilicon gate, c smooth ride of an electron in the metal gate

replaced with the passive substrate so that there can be substrate depletion layer capacitance, but no such restric-
control over the channel from both top and bottom simul- tions present in DG structure [46]. The added advantage is
taneously [46]. Figure 11 shows the schematic structure that in a DGFET for controlling the device, both the gates
for DGMOSFET. There are two configurations possible can be used independently.
for DGMOSFET: Asymmetric DG MOSFET (ADG) and The key challenges for the fabrication of DGFET are set-
Symmetric DGMOSFET (SDG) as shown in Fig. 11a, b ting the threshold voltage, adjusting the threshold voltage for
respectively. In ADG, separate biasing is given to the front multiple threshold voltages on the same chip. Beyond 10 nm
and back gate. The thickness of the two oxides is also dif- the significant challenge in fabrication are maintaining a
ferent, whereas in SDG, both oxides have same thickness, thin, uniform silicon channel. Optimization of the series
and both the gates are tied together with common bias. resistance and parasitic capacitance of the “overlap” region
DGMOSFET can be scaled to 25–30 nm of channel length, also proved to be difficult beyond 10 nm [12]. The most dif-
this was demonstrated by the Monte Carlo and drift–diffu- ficult part in realizing a planar self-aligned DG-MOSFET is
sion modeling work by Fiegna et al. and Frank et al. [12]. the alignment of back gate to the front gate and still allowing
In a DGMOSFET device, geometry and thin silicon access to the source and drain [46].
channel are the features which help in reducing SCEs. It The first double-gate MOSFET was fabricated by
is electrostatically more robust, and suppression of SCE is researchers Sekigawa and Hayashi in 1984 in which they
due to the reason that the encroachment of the drain elec- demonstrated that an additional gate could reduce short
tric field over the channel is lesser as the channel is sand- channel effect significantly [47]. More robust modeling,
wiched between two gates. The DG feature helps in achiev- which includes Monte-Carle simulations that explores the
ing sharper subthreshold slope better carrier transport due ultimate scaling of the silicon DG-MOSFET [48]. Fully
to a reduction in channel doping, higher current and also depleted lean channel transistor (DELTA 1989) was the
improves scalability over bulk FET. In bulk MOSFET the first double-gate SOI MOSFET [49]. The structure of the
front gate capacitance has to be substantially larger than FinFET is quite similar to DELTA, but in FinFET, there

VGS VGS1

Metal Metal
Gate Oxide (tox) Gate Oxide (tox1)

Source Drain Source Channel Drain


Channel
Gate Oxide (tox) Gate Oxide (tox2)
Metal
Metal
VGS2

(a) (b)
Fig. 11  The layout of DGMOSFET a SDG, b ADG

13
Transactions on Electrical and Electronic Materials

is the presence of an extra dielectric layer called the “hard in four sides of its channel [72], thus, and it ensures bet-
mask” on top of the silicon fin, which differentiates it from ter control over the channel, which is the fundamental
the DELTA structure [50]. Thus when the planar structures requirement while going down in technology nodes. There
become impractical at lower technology nodes, to increase are two main types of GAA device one is nanowire FET,
scalability and the performance of the device, other means and the other is nanosheet FET, which is the elongated
have to be explored [15, 51–53]. Due to the challenges faced nanowire. GAA structure reported for technology below
by planar technologies in lower technology nodes, FinFETs 5 nm [73] and demonstrated excellent electrostatics, bet-
are considered to be suitable for the replacement for planar ter immunity to SCEs, reduced power leakage, immunity
MOSFETs due to its various advantages [54]. to substrate bias effect; however, there were challenges
The multi-gate structures plays various roles in digital, in fabrication [74]. GAA structure could take the scaling
memory, analog and biomedical applications. SOI FinFET beyond 7/5 nm as demonstrated in some of the works [75,
based instrumentation amplifier are used for biomedical 76] and as it utilizes a maximum of channel width hence
applications with very low power usage [55]. FinFET are results in increased I­ on [77] as compared to same gen-
widely used in-memory applications such as fabrication of eration FinFET. Based on the roadmap, GAA structures
SRAM and DRAM [56–63] Multi-gate structures such as may also open the pathways for 3 nm [78], but there is an
FinFET have also very strong potential for analog applica- increase in parasitic capacitance and also added process
tions [64, 65]. complexity. Beyond 3 nm stacked GAAFET are one of the
most potential candidates [79].

7 FinFET/Trigate MOSFET
9 CFET
Fin Field Effect Transistor (FinFET) is a tri-gate non-
planar 3D structure commercially adopted around 22 nm Beyond 3 nm the researchers are trying to use a further
technology in which the gate is on three sides of the chan- evolution of GAA device i.e. CFET, for further scaling
nel [66]. This name FinFET is because the source and in Fig. 14. In a traditional GAA device, it either stacks
drain formed on the silicon substrate resemble fin like PFET or NFET; however, a CFET stack both NFET and
structure in Fig. 12. The wrapping of the gate around the PFET on each other hence results in area gain. However,
channel improves the gate control hence suppresses SCEs the electrostatics remain the same as the GAA device,
and reduces leakage as observed in sub 50 nm FinFET such as a simple nanowire. CFET meets the requirement
[67], and the simulation ensures the feasibility of Fin- of power and performance and results in 50% reduction in
FET to 10 nm length. A self-aligned gate, source-drain standard cells as well as SRAM area at 3 nm range [80–82]
first, and gate-last process FinFET structure is adopted at as demonstrated by a design technology co-optimization
17 nm [68], which reduced parasitic resistance and also framework thus extending the perspective of Moore’s law.
suppressed SCEs. A 10 nm FinFET fabricated [69] which CFET seems to be relevant below 3 nm range [83–85],
demonstrated superior results such as higher current den-
sity and faster switching ratio as compared to the classical
CMOS, while some challenges were also faced. FinFET is
one important invention in the area of nano-electronics and
7 nm technology is in place [70] by using extreme ultravio-
let lithography (EUV) process and researchers believe that
it is the most promising technology to extend the Moore’s
law all the way to 5 nm technology as demonstrated in
some of the works [71] however beyond that scaling of
FinFET seems unfeasible.

8 Gate All Around FET

The post FinFET era, i.e. beyond 7/5 nm of FinFET, the


next alternative for further scaling are gate all around
(GAA) FET given in Fig.  13. GAAFET is a structure
similar to FinFET except that gate surrounds the channel Fig. 12  Cross-sectional layout of FinFET

13
Transactions on Electrical and Electronic Materials

wires are stacked horizontally. It has some drawbacks, very


difficult to make in the fab, and it cannot scale logic cells
although it is a suitable device to scale SRAM.

11 NCFET

Negative capacitance FET (NCFET) is a low voltage device


targeted for 10 nm and up to 3 nm and beyond that [87].
NCFET is a device shown in Fig. 15, that does modification
to the gate stack by incorporating a ferroelectric layer between
the high-k and metal gate [88]. A 7 nm FinFET is studied
by incorporating ferroelectric layers in gate stack [89] and
observed various advantages such as a boost in processor fre-
quency, reduction in power density as compared to the conven-
tional 7 nm FinFET. NCFET technology on this 7 nm FinFET
Fig. 13  Cross-sectional layout of GAAFET made it suitable for ultra-low power applications. NCFETs
show improved subthreshold slope up to 54 mV/decade, and
this improved SS reduced the active power but it faced some
which yields lesser area and lesser routing congestion, as challenges such as reliability parasitic issues.
demonstrated. Although CFET is advantageous due to the
complimentary stacked nature of CFET, however, CFET
may require a taller structure and are more complex to 12 Germanium or III–V or 2D Channel
make in the fab, which means it may lead to higher capaci- Materials
tance [86].
Alternative channel materials of higher electron and hole
mobility are widely studied for future device scaling. The
10 Vertical FET non-silicon channel materials such as III–V compound semi-
conductors shown in Fig. 16 are the new hopes for continued
Beyond 3 nm the alternative to CFET is vertical FET. Verti- device scaling in the nanoscale range [90]. These high mobil-
cal FET is a device contrast to horizontal nanowire wherein ity compounds show improved transport properties over Si
the former the wire is stacked vertically, and in the later, the hence are considered to replace silicon. InGaAs and InGaSb

Fig. 14  Next-gen transistor architectures. Source Imec/ISS

13
Transactions on Electrical and Electronic Materials

Fig. 15  Cross-sectional layout of NCFET

Fig. 16  The layout of alternate channel MOSFET


are widely experimented candidates for future III–V based
n-MOSFETs and P-MOSFETs, respectively. A III–V nanow-
ire [91] demonstrates improved electrostatics. Germanium
(Ge) is also one of the most suitable channel materials for
P-MOSFET due to its highest hole mobility [92]. 2D material
like graphene, which has ultra-high carrier mobility, may also based on 2D crystals are also of great interest [98–100] as
be an expected channel material in future MOSFETs, but it they overcome the challenge faced by conventional TFET
possesses demerits of proper MOSFET switching due to its i.e. low ­Ion and can be seen as a potential candidate for
zero gap. Many other 2D materials such as MoS2, WS2, or scaling beyond the limit of CMOS. Vertical TFET with
WSe2, MoS2, MoSe2, and MoTe2 are also in the research some additional features such as SiGe pocket, dual source,
scope to take the scaling beyond 5 nm and to achieve ultra- negative capacitance, III–V/Si heterojunction, hetero-gate
short channel MOSFETs [92]. The research on 2D materials JLTFET based even show greater potential for ultra-low
are at very initial stage but it may be one of the candidate to power applications [101–107] These advanced VTFET
extend the Moore’s law further. structures also reduced the SS even further and increased
the performance. These advanced TFET architectures may
serve as one leading candidate beyond 3 nm range as ultra-
low power is requirement of today’s world.
13 TFET

TFET structure in Fig. 17 is one of the most promising


structure which gained attention in nanoscale range to
overcome the SCEs when CMOS devices faced many chal-
lenges. The mechanism of quantum mechanical tunneling
or BTBT tunneling of carriers from source to channel in
TFET makes them different from the MOSFET. TFET
has subthreshold swing lesser than 60 mV/decade, unlike
the MOSFET, which lower ­I off and operating voltage,
thus making them ideal for low power applications. The
constraint of lesser drive current in conventional TFET is
overcome by reducing the ambipolar effect by adopting
various multigate TFETs such as double-gate tunnel FET
(DG-TFET), triple-gate tunnel FET (TG-TFET), double-
gate pocket intrinsic tunnel FET (DG-PI-TFET), triple-
gate TFET structures with pocket intrinsic layer (TG-
PI-TFET) and Dual Material Double Gate Tunnel Field
Effect Transistor (DM-DGTFET) etc. [93–97]. TFETS Fig. 17  Layout of conventional TFET

13
Transactions on Electrical and Electronic Materials

Fig. 18  Pictorial representation of technology trend

14 Prominent Technology Trends some fundamental challenge of low leakage, subthreshold


voltage, and low power supply voltage.
Out of the study the Fig. 18 is a pictorial representation In this review work, effort has been made to include
of the technology advancemnts. After reading this we can related articles starting from scaling issues on the bulk
have a vision and motivation to think further innovation MOSFETs to 3 nm and beyond transistor technology. We
based the recent requirement and demand. have tried our best to enlist various advancement in the
semiconductor engineering. Still, a few important contri-
butions may be missed and the authors apologize for unin-
15 Conclusion tentionally omission of other researchers’ works.

The continuous and aggressive scaling of the MOS transis-


tor has led to the phenomenal growth of IC industry over Compliance with Ethical Standards 
the past decades. Around 22 nm when conventional MOS-
FETs became incompetent to deliver desired performance, Conflict of interest  The authors declare that they have no conflict of
interest.
new device structures such as TFET, FDSOI devices, and
FinFETs came into picture. Out of all inventions, Fin-
FETs played a major role in scaling beyond 22 nm and
References
shown a path for miniaturization till 7 nm process. GAA
devices such as nanowire and nanosheet are in research to 1. W. Arden, M. Brillouët, P. Cogez, M. Graef, B. Huizing, R.
realize 3 nm device. Vertical FET, CFET, stacked FET, Mahnkopf, Version 2, 14 (2010)
NCFET and alternative channel materials are in the road 2. G.E. Moore et al., Electronics (1965). https​://www.cs.csub.
map for narrowing down the transistor beyond 3 nm when edu/~melis​sa/cs350​-f15/notes​/Doc/gordo​n_moore​_1965_artic​
le.pdf
Moore’s law is under pressure. However, beyond 1.5 nm 3. R.R. Schaller, IEEE Spectr. 34, 52 (1997)
the roadmap is not clear. The futuristic devices may be 4. M. Lundstrom, Science (80–) 299, 210 (2003)
advanced TFET structures, spin-wave devices, 3D nano 5. P.K. Bondyopadhyay, Proc. IEEE 86, 78 (1998)
fabrics for ultra-low power applications, and improved 6. R.H. Dennard, F.H. Gaensslen, V.L. Rideout, E. Bassous, A.R.
LeBlanc, IEEE J. Solid-State Circuits 9, 256 (1974)
performance. While moving from one device to another 7. C. Hu, ECS Trans. 50, 17 (2013)
to achieve higher mobility, the industry must take care of 8. S. Deb, N.B. Singh, N. Islam, S.K. Sarkar, IEEE Trans. Nano-
technol. 11, 472 (2011)

13
Transactions on Electrical and Electronic Materials

9. J.H. Stathis, IBM J. Res. Dev. 46, 265 (2002) 43. K. Mistry, C. Allen, C. Auth, B. Beattie, D. Bergstrom, M.
10. J. Suñé, M. Nafria, E. Miranda, X. Oriols, R. Rodriguez, X. Bost, M. Brazier, M. Buehler, A. Cappellani, R. Chau, et al., in
Aymerich, Semicond. Sci. Technol. 15, 445 (2000) 2007 IEEE International Electron Devices Meeting (2007), pp.
11. G. Ghibaudo, R. Clerc, E. Vincent, S. Bruyere, J.L. Autran, C. 247–250
R. l’Acad. Des Sci. IV-Phys. 1, 911 (2000) 44. K.J. Kuhn, U. Avci, A. Cappellani, M.D. Giles, M. Haverty, S.
12. H.-S.P. Wong, Solid State Electron. 49, 755 (2005) Kim, R. Kotlyar, S. Manipatruni, D. Nikonov, C. Pawashe, et al.,
13. I.R. Committee et al., Semicond. Ind. Assoc. http://www.itrs. in 2012 IEEE International Electron Devices Meeting (2012), pp.
net/Links​/2011I​TRS/2011C​hapte​rs/2011E​xecSu​m.pdf (2011) 1–8
14. M. Wu, Y.I. Alivov, H. Morkoc, J. Mater. Sci. Mater. Electron. 45. B.S. Doyle, S. Datta, M. Doczy, S. Hareland, B. Jin, J. Kava-
19, 915 (2008) lieros, T. Linton, A. Murthy, R. Rios, R. Chau, IEEE Electron
15. S. Datta, Electrochem. Soc. Interface 22, 41 (2013) Device Lett. 24, 263 (2003)
16. P.M. Zeitzoff, H.R. Huff, in AIP Conference Proceedings 46. P.M. Solomon, K.W. Guarini, Y. Zhang, K. Chan, E.C. Jones,
(2005), pp. 203–213 G.M. Cohen, A. Krasnoperova, M. Ronay, O. Dokumaci, H.J.
17. C.C. Wu, D.W. Lin, A. Keshavarzi, C.H. Huang, C.T. Chan, Hovel et al., IEEE Circuits Devices Mag. 19, 48 (2003)
C.H. Tseng, C.L. Chen, C.Y. Hsieh, K.Y. Wong, M.L. Cheng, 47. T. Sekigawa, Solid State Electron. 27, 827 (1984)
T.H. Li, in 2010 International Electron Devices Meeting 48. D.J. Frank, S.E. Laux, M.V. Fischetti, IEDM Tech. Dig. 553
(2010). https​://doi.org/10.1109/IEDM.2010.57034​30 (1992). https​://doi.org/10.1109/IEDM.1992.30742​2
18. M.J. Sherony, L.T. Su, J.E. Chung, D.A. Antoniadis, IEEE 49. D. Hisamoto, T. Kaga, Y. Kawamoto, E. Takeda, in International
Electron Device Lett. 16, 100 (1995) Technical Digest Electron Devices Meeting (1989), pp. 833–836
19. M.T. Bohr, R.S. Chau, T. Ghani, K. Mistry, IEEE Spectr. 44, 50. V. Narendar, Silicon 10, 2419 (2018)
29 (2007) 51. S. Dey, S.K. Banerjee (2011)
20. V. Subramanian, J. Kedzierski, N. Lindert, H. Tam, Y. Su, 52. J.L. Huguenin, G. Bidal, S. Denorme, D. Fleury, N. Loubet, A.
J. McHale, K. Cao, T.-J. King, J. Bokor, C. Hu, in 1999 Pouydebasque, P. Perreau, F. Leverd, S. Barnola, R. Beneyton
57th Annual Device Research Conference Digest (Cat. No. et al., Solid State Electron. 54, 883 (2010)
99TH8393) (1999), pp. 28–29 53. A. Fasoli, W.I. Milne, Mater. Sci. Semicond. Process. 15, 601
21. E. Rauly, O. Potavin, F. Balestra, C. Raynaud, Solid State Elec- (2012)
tron. 43, 2033 (1999) 54. D. Bhattacharya, N.K. Jha, Adv. Electron. 2014 (2014). https​://
22. L. Geppert, IEEE Spectr. 39, 28 (2002) doi.org/10.1155/2014/36568​9
23. A. Chaudhry, M.J. Kumar, IEEE Trans. Device Mater. Reliab. 55. R. Sonkusare, O. Joshi, S.S. Rathod, Microelectronics J. 91, 1
4, 99 (2004) (2019)
24. E. Suzuki, K. Ishii, S. Kanemaru, T. Maeda, T. Tsutsumi, 56. C.H. Lee, J.M. Yoon, C. Lee, H.M. Yang, K.N. Kim, T.Y. Kim,
T. Sekigawa, K. Nagai, H. Hiroshima, IEEE Trans. Electron H.S. Kang, Y.J. Ahn, D. Park, K. Kim, in Digest of Technical
Devices 47, 354 (2000) Paper 2004 Symposium VLSI Technology 2004 (2004), pp.
25. T. Poiroux, M. Vinet, O. Faynot, J. Widiez, J. Lolivier, T. Ernst, 130–131
B. Previtali, S. Deleonibus, Microelectron. Eng. 80, 378 (2005) 57. K. Kim, in IEEE International Devices Meeting 2005. IEDM
26. Q. Liu, M. Vinet, J. Gimbert, N. Loubet, R. Wacquez, L. Gre- Technical Digest (2005), pp. 323–326
nouillet, Y. Le Tiec, A. Khakifirooz, T. Nagumo, K. Cheng, 58. C.H. Lin, B. Greene, S. Narasimha, J. Cai, A. Bryant, C. Radens,
et al., in 2013 IEEE International Electron Devices Meeting V. Narayanan, B. Linder, H. Ho, A. Aiyar, et al., in 2014 IEEE
(2013), pp. 2–9 International Electron Devices Meeting (2014), pp. 3–8
27. R.D. Clark, Materials (Basel). 7, 2913 (2014) 59. S.-J. Choi, J.-W. Han, S. Kim, D.-H. Kim, M.-G. Jang, J.-H.
28. J. Robertson, Solid State Electron. 49, 283 (2005) Yang, J.S. Kim, K.H. Kim, G.S. Lee, J.S. Oh, et al., in 2008 IEEE
29. J. Robertson, J. Non. Cryst. Solids 303, 94 (2002) International Electron Devices Meeting (2008), pp. 1–4
30. J. Robertson, Compr. Semicond. Sci. Technol. Online Version 60. T. Tanaka, E. Yoshida, T. Miyashita, in IEDM Technical Digest
4, 132 (2011) IEEE International Electron Devices Meeting 2004 (2004), pp.
31. M. Houssa, L. Pantisano, R. Ragnarsson LÅand Degraeve, 919–922
T. Schram, G. Pourtois, S. De Gendt, G. Groeseneken, M.M. 61. T. Dutta, G. Pahwa, A.R. Trivedi, S. Sinha, A. Agarwal, Y.S.
Heyns, Mater. Sci. Eng. R Rep. 51, 37 (2006) Chauhan, IEEE Electron Device Lett. 38, 1161 (2017)
32. S. Mohsenifar, M.H. Shahrokhabadi, Terminology 2, 5 (2015) 62. H. Ananthan, A. Bansal, K. Roy, in International Symposium on
33. B. Ryu, K.-J. Chang, Appl. Phys. Lett. 97, 242910 (2010) Signals, Circuits and Systems Proceedings, SCS 2003.(Cat. No.
34. D.G. Schlom, S. Guha, S. Datta, MRS Bull. 33, 1017 (2008) 03EX720) (2004), pp. 511–516
35. J.H. Choi, Y. Mao, J.P. Chang, Mater. Sci. Eng. R Rep. 72, 97 63. Z. Guo, S. Balasubramanian, R. Zlatanovici, T.-J. King, B.
(2011) Nikolić, in Proceedings of the 2005 International Symposium
36. A. Srivastava, O. Mangla, R.K. Nahar, V. Gupta, C.K. Sarkar, Low Power Electronics and Design (2005), pp. 2–7
J. Mater. Sci. Mater. Electron. 25, 3257 (2014) 64. A. Kranti, G.A. Armstrong, IEEE Trans. Electron. Devices 54,
37. H. Wang, Y. Wang, J. Feng, C. Ye, B.Y. Wang, H.B. Wang, 3308 (2007)
Q. Li, Y. Jiang, A.P. Huang, Z.S. Xiao, Appl. Phys. A 93, 681 65. V. Kilchytska, N. Collaert, R. Rooyackers, D. Lederer, J.-P.
(2008) Raskin, D. Flandre, in Proceedings of the 30th European Solid-
38. H.-L. Lu, D.W. Zhang, High-k gate. Dielectr. C. Technol. 31 State Circuits Conference (IEEE Cat. No. 04EX850) (2004), pp.
(2012) 65–68
39. K.P. Pradhan, S.K. Mohapatra, P.K. Sahu, D.K. Behera, Micro- 66. C.C. Wu, D.W. Lin, A. Keshavarzi, C.H. Huang, C.T. Chan, C.H.
electronics J. 45, 144 (2014) Tseng, C.L. Chen, C.Y. Hsieh, K.Y. Wong, M.L. Cheng, et al., in
40. C. Zhao, C.Z. Zhao, M. Werner, S. Taylor, P.R. Chalker, ISRN 2010 International Electron Devices Meeting (2010), pp. 21–27
Nanotechnol. 2012 (2012). https​://doi.org/10.5402/2012/68902​3 67. X. Huang, W.-C. Lee, C. Kuo, D. Hisamoto, L. Chang, J.
41. R. Chau, S. Datta, M. Doczy, B. Doyle, J. Kavalieros, M. Metz, Kedzierski, E. Anderson, H. Takeuchi, Y.-K. Choi, K. Asano,
IEEE Electron Device Lett. 25, 408 (2004) et al., in International Electron Devices Meeting 1999. Technical
42. J. Robertson, R.M. Wallace, Mater. Sci. Eng. R Rep. 88, 1 (2015) Digest (Cat. No. 99CH36318) (1999), pp. 67–70

13
Transactions on Electrical and Electronic Materials

68. D. Hisamoto, W.-C. Lee, J. Kedzierski, H. Takeuchi, K. Asano, 87. E. Ko, J. Shin, C. Shin, Nano Converg. 5, 1 (2018)
C. Kuo, E. Anderson, T.-J. King, J. Bokor, C. Hu, IEEE Trans. 88. A. Seabaugh, C. Alessandri, M.A. Heidarlou, H.-M. Li, L. Liu,
Electron Devices 47, 2320 (2000) H. Lu, S. Fathipour, P. Paletti, P. Pandey, T. Ytterdal, in 2016
69. B. Yu, L. Chang, S. Ahmed, H. Wang, S. Bell, C.-Y. Yang, C. 46th European Solid State Device Research Conference (2016),
Tabery, C. Ho, Q. Xiang, T.-J. King, et al., in Digest Interna- pp. 349–351
tional Electron Devices Meeting (2002), pp. 251–254 89. H. Amrouch, G. Pahwa, A.D. Gaidhane, J. Henkel, Y.S. Chauhan,
70. R. Xie, P. Montanini, K. Akarvardar, N. Tripathi, B. Haran, S. IEEE Access 6, 52754 (2018)
Johnson, T. Hook, B. Hamieh, D. Corliss, J. Wang, et al., in 2016 90. J.A. Del Alamo, D.A. Antoniadis, J. Lin, W. Lu, A. Vardi, X.
IEEE International Electron Devices Meeting (2016), pp. 2–7 Zhao, IEEE J. Electron Devices Soc. 4, 205 (2016)
71. F.-L. Yang, D.-H. Lee, H.-Y. Chen, C.-Y. Chang, S.-D. Liu, C.-C. 91. L.-E. Wernersson, Microelectron. Eng. 147, 344 (2015)
Huang, T.-X. Chung, H.-W. Chen, C.-C. Huang, Y.-H. Liu, et al., 92. F. Schwierz, J.J. Liou, in 2020 IEEE Latin-American Electron
in Digest Technical Paper 2004 Symposium VLSI Technology Devices Conference (2020), pp. 1–4
2004. (2004), pp. 196–197 93. A.S. Geege, N. Armugam, P. Vimala, T.S.A. Samuel, in 2020
72. F. Ishikawa, I. Buyanova, Novel Compound Semiconductor 5th International Conference on Circuits Devices and Systems
Nanowires: Materials, Devices, and Applications (CRC Press, (2020), pp. 311–315
Boca Raton, 2017) 94. R. Dutta, S.C. Konar, N. Paitya, in Computational Advancement
73. N. Singh, A. Agarwal, L.K. Bera, T.Y. Liow, R. Yang, S.C. Rust- in Communication Circuits and Systems (Springer, Berlin, 2020),
agi, C.H. Tung, R. Kumar, G.Q. Lo, N. Balasubramanian et al., pp. 345–355
IEEE Electron Device Lett. 27, 383 (2006) 95. S.A. Sahu, R. Goswami, S.K. Mohapatra, Silicon 12, 513 (2020)
74. M. Li, K.H. Yeo, S.D. Suk, Y.Y. Yeoh, D.-W. Kim, T.Y. Chung, 96. S. Dash, G.P. Mishra, Adv. Nat. Sci. Nanosci. Nanotechnol. 7,
K.S. Oh, W.-S. Lee, in 2009 Symposium VLSI Technology 25012 (2016)
(2009), pp. 94–95 97. N. Guenifi, S.B. Rahi, T. Ghodbane, Mater. Focus 7, 866 (2018)
75. S.-D. Kim, M. Guillorn, I. Lauer, P. Oldiges, T. Hook, M.-H. Na, 98. D. Jena, Proc. IEEE 101, 1585 (2013)
in 2015 IEEE SOI-3D-Subthreshold Microelectronics Technol- 99. H. Ilatikhameneh, Y. Tan, B. Novakovic, G. Klimeck, R. Rah-
ogy Unified Conference (2015), pp. 1–3 man, J. Appenzeller, IEEE J. Explor. Solid-State Comput.
76. H. Lee, L.-E. Yu, S.-W. Ryu, J.-W. Han, K. Jeon, D.-Y. Jang, Devices Circuits 1, 12 (2015)
K.-H. Kim, J. Lee, J.-H. Kim, S. Jeon, et al., in 2006 Sympo- 100. G. Fiori, F. Bonaccorso, G. Iannaccone, T. Palacios, D. Neu-
sium VLSI Technology 2006. Digest Technical Paper (2006), pp. maier, A. Seabaugh, S.K. Banerjee, L. Colombo, Nat. Nanotech-
58–59 nol. 9, 768 (2014)
77. N. Mendiratta, S.L. Tripathi, J. Semicond. 41, 061401 (2020). 101. W. Li, J.C.S. Woo, IEEE Trans. Electron. Devices 67, 1480
https​://doi.org/10.1088/1674-4926/41/6/06140​1 (2020)
78. U.K. Das, T.K. Bhattacharyya, IEEE Trans. Electron Devices 67, 102. S. Badgujjar, G. Wadhwa, S. Singh, B. Raj, Trans. Electr. Elec-
2633 (2020). https​://doi.org/10.1109/TED.2020.29871​39 tron. Mater. 21, 74 (2020)
79. H. Mertens, R. Ritzenthaler, A. Hikavyy, M.-S. Kim, Z. Tao, K. 103. V. P.-H. Hu, H.-H. Lin, Y.-K. Lin, C. Hu, IEEE Trans. Elec-
Wostyn, S.A. Chew, A. De Keersgieter, G. Mannaert, E. Rosseel, tron Devices 67, 2593 (2020). https ​ : //doi.org/10.1109/
et al., in 2016 IEEE Symposium VLSI Technology (2016), pp. 1–2 TED.2020.29867​93
80. J. Ryckaert, P. Schuddinck, P. Weckx, G. Bouche, B. Vincent, J. 104. M.R. Tripathy, A.K. Singh, S. Chander, P.K. Singh, K. Baral, S.
Smith, Y. Sherazi, A. Mallik, H. Mertens, S. Demuynck, et al., Jit, in 2020 5th International Conference on Circuits Devices and
in 2018 IEEE Symposium VLSI Technology (2018), pp. 141–142 Systems (2020), pp. 180–183
81. S. Kal, Y. Oniki, M. Falugh, C. Pereira, Q. Wang, F. Holsteyns, J. 105. S.B. Rahi, P. Asthana, S. Gupta, J. Comput. Electron. 16, 30
Smith, A. Mosden, K. Kumar, J. Boemmels, et al., in Advanced (2017)
Etch Technology Nanopatterning VIII (2019), p. 109630L 106. P.K. Asthana, Y. Goswami, S. Basak, S.B. Rahi, B. Ghosh, RSC
82. N. Collaert, in 2020 IEEE International Solid-State Circuits Con- Adv. 5, 48779 (2015)
ference (2020), pp. 25–29 107. S.M. Biswal, B. Baral, D. De, A. Sarkar, Superlattices Micro-
83. A. Mocuta, P. Weckx, S. Demuynck, D. Radisic, Y. Oniki, J. struct. 91, 319 (2016)
Ryckaert, in 2018 IEEE Symposium VLSI Technology (2018),
pp. 147–148 Publisher’s Note Springer Nature remains neutral with regard to
84. P. Schuddinck, O. Zografos, P. Weckx, P. Matagne, S. Sarkar, Y. jurisdictional claims in published maps and institutional affiliations.
Sherazi, R. Baert, D. Jang, D. Yakimets, A. Gupta, et al., in 2019
Symposium VLSI Technology (2019), pp. T204–T205
85. S.M.Y. Sherazi, J.K. Chae, P. Debacker, L. Matti, D. Verkest,
A. Mocuta, R.H. Kim, A. Spessot, A. Dounde, J. Ryckaert, in
Design Co-Optimization Manufacturing XIII (2019), p. 1096206
86. J. Ryckaert, M.H. Na, P. Weckx, D. Jang, P. Schuddinck, B. Che-
hab, S. Patli, S. Sarkar, O. Zografos, R. Baert, et al., in 2019
IEEE International Electron Devices Meeting (2019), pp. 24–29

13

You might also like