Professional Documents
Culture Documents
Laporan Akhir - Module 6
Laporan Akhir - Module 6
KELOMPOK :5
II. Tujuan
Tujuan ekperimen ini adalah :
III. Problem
A. Disain
Membuat Counter With Input – 4 bit :
(i) VHDL Module
Source File di desain sesuai :
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY testbench_counter IS
END testbench_counter;
ARCHITECTURE behavior OF testbench_counter IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT Counter
PORT(
Clock : IN std_logic;
Direction : IN std_logic;
Count_out : OUT std_logic_vector(3 downto 0 );
END COMPONENT;
--Inputs
signal Clock : std_logic := '0';
signal Direction : std_logic := '0';
--Outputs
signal Count_out : std_logic_vector(3 downto 0);
-- Clock period definitions
constant Clock_period : time := 10 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: Counter PORT MAP (
Clock => Clock,
Direction => Direction,
Count_out => Count_out
);
-- Clock process definitions
Clock_process :process
begin
Clock <= '0';
wait for Clock_period/2;
Clock <= '1';
wait for Clock_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
wait for 100 ns;
wait for Clock_period*10;
-- insert stimulus here
wait for 25 ns;
DIRECTION <= '1';
wait for 100 ns;
DIRECTION <= '0';
wait for 100 ns;
DIRECTION <= '0';
wait for 100 ns;
DIRECTION <= '1';
wait for 100 ns;
DIRECTION <= '1';
wait;
end process;
END;
B. Blok Diagram
- Counter With Input – 4 bit
C. Diagram Logic
1. RTL Schematic Counter With Input – 4 bit
2. Technology Schematic
D. Daftar Peralatan
- Laptop Support Windows
- Software Windows 10 Pro
- Software VirtualBox 6.342
- ISE XILINX Design Suites 14.4
C. Tabel Kebenaran
- Counter With Input – 4 Bit
Clock Present State Next State
Pulse Q1 Q0 Q1 Q0
0 (Start) 0 0 0 1
1 0 1 => 1 0
2 1 0 1 1
3 1 1 0 0
4 (Repeat) 0 0 0 1
V. Hasil
A. VHDL Counter With Input
B. Testbench Counter With Input
VI. Kesimpulan
Setelah melakukan praktikum, praktikan dapat membuat program VHDL
Counter With Input – 4 Bit, dan direpresentasikan dengan tabel kebenaran dan diagram
logic dengan cara mensimulasikan VHDL. Praktikan dapat membuat program Counter
sederhana.
Praktikan membuat UP/DOWN COUNTER – 4 bit. Pada Up counter maka
output adalah perhitungan maju, sedangkan down counter adalah perhitungan mundur.
Rangkaian ini adalah rangkaian combinasional untuk bilangan biner.
Pelaksanaan praktikum dilakukan sesuai prosedur dan didapatkan hasil yang
sesuai dengan data yang diperoleh. Data – data yang diperoleh di masukan pada laporan
akhir modul 6.
Pada Praktikum, Praktikan melewatkan langkah mensimulasikan VHDL pada
FPGA Board, dikarenakan keterbatasan alat dan bahan. Langkah yang dilaksanakan
hanya sampai mensimulasikan VHDL dengan Testbench, dan hasil simulasi di check
dengan Truth Table.
Pelaksanaan Module 6 menyiapkan praktikan agar mahir dalam menggunakan
ISE XILINX terutama dalam memrogram VHDL untuk FPGA Board.