You are on page 1of 13

See discussions, stats, and author profiles for this publication at: https://www.researchgate.

net/publication/252425915

Shallow Fresnel lens fabrication using grayscale lithography made by high


energy beam sensitive mask (HEBS) technology and reactive ion etching

Article  in  Proceedings of SPIE - The International Society for Optical Engineering · February 2009
DOI: 10.1117/12.809376

CITATIONS READS

6 829

6 authors, including:

Meni Kabla Elie Louzon


Technion - Israel Institute of Technology Rafael Advanced Defense Systems
9 PUBLICATIONS   88 CITATIONS    2 PUBLICATIONS   8 CITATIONS   

SEE PROFILE SEE PROFILE

Uriel Levy
Hebrew University of Jerusalem
295 PUBLICATIONS   4,115 CITATIONS   

SEE PROFILE

Some of the authors of this publication are also working on these related projects:

Fluorescence double resonance optical pumping spectrum and its application for frequency stabilization in millimeter scale vapor cells View project

Metasurfaces for imaging applications View project

All content following this page was uploaded by Uriel Levy on 14 May 2014.

The user has requested enhancement of the downloaded file.


Shallow Fresnel lens fabrication using grey scale lithography made by
high energy beam sensitive mask (HEBS) technology and reactive ion
etching
Tali Nachmias*a, Avi Ohayona, Shefer E. Melzera, Meni Kablaa, Elie Louzona, and Uriel Levyb
a
Rafael Advanced Defense Systems Ltd, Israel;
b
The Department of Applied Physics, Hebrew University of Jerusalem, Jerusalem, Israel, 91904

ABSTRACT

We describe the fabrication and the characterization of high efficiency Fresnel lenses by the use of gray scale lithography
(GSL), followed by reactive ion etching (RIE) or deep reactive ion etching (DRIE) to transfer the pattern from the gray
scale resist into the silicon substrate. Three versions of Fresnel lenses were fabricated, with height of 600nm, 1800nm
and 5500nm. The desired lens height in silicon is determined from photoresist height and the selectivity of the etching
process. A low selectivity DRIE process was developed in order to fabricated 1800nm and 5500nm Fresnel lenses. The
600nm Fresnel lens was fabricated using an RIE process because it requires a relatively slow etch rate and low
selectivity, both could not be obtained by DRIE. According to the photoresist thickness developed in the gray scale
lithography, an RIE process with a selectivity of 0.55 was required. We implement the DOE (design of experiment)
method for finding the process parameters which gives the desirable selectivity and its tolerance which is crucial for
determining the range of the Fresnel lens height. It was found that according to the selectivity tolerance, the Fresnel lens
stands within ±10% tolerance oh its height. Finally, we demonstrated the imaging of an object using the 600 nm lens.

Keywords: Fresnel lens, Reactive ion etching (RIE), Deep reactive ion etching (DRIE), Gray scale lithography (GSL),
High energy beam sensitive (HEBS) glass, Design of experiment (DOE).

1. INTRODUCTION
Fresnel lenses are known for many years, and designed primarily for applications requiring light weight and low cost.
The Fresnel lens is a surface relief structure, i.e. it is a three dimension structure, with its height typically much smaller
compare with its transverse dimension. Several techniques are known for the formation of three dimension structure:
lithographic techniques, direct machining, and replication. [1-2]. Our work was based on a lithographic method known as
gray scale lithography. This method enables one step lithography process for the formation of gradient structure in the
photoresist. The structure is then transferred to the Si substrate either by RIE (reactive ion etching) or DRIE (deep
reactive ion etching), depending on the required final structure height. The combination of gray scale lithography
together with the etching process is called gray scale technology. A successful gray scale process requires the pre-design
and calibration of both the lithography and the etching process with a suitable selectivity. For a given lens height, once
the photoresist thickness is set the required selectivity of the etching process can be determined and vice versa.
In this work three different Fresnel lenses height are introduced: 5500nm, 1800nm and 600nm. The 1800nm and 5500nm
height lenses were fabricated using DRIE. For this purpose a low selectivity process was developed using oxygen plasma
as additional step to the original BOSCH process. The fabrication of the 600nm Fresnel lens structure was based on RIE
due to the necessity for shallow etch rate. Three gas types where examined as silicon etchant in the RIE. After choosing

Advanced Fabrication Technologies for Micro/Nano Optics and Photonics II, edited by Thomas J. Suleski,
Winston V. Schoenfeld, Jian Jim Wang, Proceedings of SPIE Vol. 7205, 72050B · © 2009 SPIE
CCC code: 0277-786X/09/$18 · doi: 10.1117/12.809376

Proc. of SPIE Vol. 7205 72050B-1


the appropriate gas mixture and setting the lithography process for specific thickness height, A DOE (design of
experiment) method was used in order to find a robust process with parameters values giving the desired selectivity of
0.55. For ±10% variation in diffraction efficiency, the optical simulation showed the tolerance on the Fresnel lens height
should be ~±10%. We showed that our etching process was capable of attaining tolerance of ±10% in selectivity to
assure Fresnel lens height within the allowable range.

1.1 Gray scale lithography


The principle behind the gray scale lithography is to modulate exposure doses transferred into the photoresist by a gray
scale mask. The photoresist absorbs different exposure doses in different areas, which leads to a partial development of
the photoresist and to the final result of different photoresist thicknesses called "gray scale levels".

There are two main techniques for the manufacturing of an optical mask capable of regulating the intensity impinging on
the photoresist surface. The first is fabricating a conventional chrome on glass mask which contains sub resolution
opaque pixels which induce diffraction, therefore the intensity seen on the photoresist is the percentage of light
transmitted thorough the optical mask.[3-7] The other method is using a high energy beam sensitive (HEBS) glass in
which the glass transmission is changed by an electron beam (e-beam) or a laser beam pattern generator [8]. The mask
pattern is written within a surface glass layer of the all-glass photomask, i.e. no coating of any kind. Each 0.1 micron
spot or line of the mask pattern may be written with a pre-determined transmittance value. The last method was chosen
for our work.

Once the gradient height photoresist structure is formed, it should be transferred into the silicon substrate either by DRIE
or RIE, depending on the final desired lens height (Figure 1). The most important parameter in the etching process is the
selectivity (etch rate of Si versus etch rate of photoresist) which determine the final Fresnel lens height in silicon
depending on the primary photoresist thickness. The etching process which gives the desirable selectivity should be
found, and its selectivity tolerance should be as small as possible for obtaining a good control on the Fresnel lens height
in the silicon substrate.

(a)

(b)

(c)

Figure 1: Gray scale technology: (a) 3D photoresist microstructure: 4 “teeth” of a blazed grating on silicon substrate obtained by gray
scale lithography (GSL). (b) 3D pattern is partially transferred into silicon using reactive ion etching with the selectivity of 2:1
(Si/PR), (c) The blazed grating is fully transferred to the silicon substrate, retaining its lateral dimensions while its vertical dimension
was modified according to the selectivity of the process.

Proc. of SPIE Vol. 7205 72050B-2


1.2 Selection between RIE and DRIE
The selection between the RIE and the DRIE for the etching process is done according to required Fresnel lens height.
The RIE is more suitable for shallow Fresnel lens fabrication due to its low etch rates which is the result of two main
reasons: 1 - the creation of low plasma density compared to the DRIE and 2- the ability of using CHF3 or CF4 plasma
which etches Si ~ one order of magnitude slower than SF6 plasma under the same conditions.[9] While all three gases are
available in our RIE machine, only the SF6 gas in present in the DRIE function as an etching gas for the BOSCH process.

2. EXPIREMENTS
2.1 Lithography and etching processes
2.1.1 Lithography and etching conditioning for 5500nm Fresnel lens fabrication
100mm diameter silicon wafers were cleaned with SC-1 solution and spin coated with 6500nm thick AZ4562
photoresist. After 180 sec pre bake at 110◦C (Cee 1100), the photoresist was exposed for 16sec with 12mW/cm2 light
intensity (EVG 620) and developed with MIF726 developer. No hard bake was done in order to prevent any damage to
the 3D microstructure. Photoresist structure than transferred to the silicon by DRIE (Unaxis SLR 770) with Bosch
process.

2.1.2 Lithography and etching conditioning for 600nm and 1800nm Fresnel lens height
For the 600nm and 1800nm lens fabrication the100mm wafers were spin coated with 1650nm thick the AZ4533
photoresist. After 60 sec pre bake at 100◦C (Cee 1100), the photoresist was exposed for 5.5 sec (EVG 620) with
16mW/cm2 light intensity. Photoresist structure transfer to the silicon for 1800nm lens height was made by DRIE
(Unaxis SLR 770), and photoresist structure transfer to the silicon for 600nm lens height was made by RIE (Unaxis SLR
790).

2.2 Gray scale level calibration mask


The calibration mask consist a Fresnel lens and a gray levels structures (Figure 2). The 4mm Fresnel lens has 12 rings,
each consists 64 gray scale levels with an optical densities between 0.127-1.098. The gray level structure consists of 100
different gray scale levels, each has 3 identical squares. The optical densities of 0-99 gray scale levels are between 0.12-
1.12. The rings width is changing from 91µm on the edge to 234 in the center.

iii
22

Eu iii iii iii


iii iii iii
CT

iui iii
lu lip ill
Ill Ill iii u iii jell

(a)
Ill lll
(b)
-4

(a) (b)
Figure 2: The two structures of the calibration mask after lithography: (a): Fresnel lens structure (b):100 gray levels structure

Proc. of SPIE Vol. 7205 72050B-3


3. RESULTS AND DISCUSSION

3.1 Fabrication of a 5500nm height Fresnel lens


3.1.1 Photoresist thickness in the Fresnel lens and the 100 GSL structures
After spinning and before exposure and development, we measured the photoresist thickness to be 6500nm. After UV
exposure of the photoresist through the calibration mask, the photoresist thickness in gray level no. 99 of the 100 GSL
structure was 4500±400nm. The assumption that the photoresist thickness is reduced by the developer was eliminated by
exposing the photoresist to a completely opaque chrome mask and development, which gave similar result of 6500nm
photoresist thickness. The difference in photoresist thickness between the two cases results from the fact that the gray
level no. 99 is not completely opaque. We found the photoresist thickness of gray level no. 99 in the Fresnel lens mask to
be smaller compare with that of the 100 GSL structure (3500nm compared to 4500nm). This is probably because of
optical density differences between the two structures.
3.1.2 Photoresist thickness as function of gray scale levels
Next we characterize the thickness of the photoresist vs. the
gray level index. Results are shown in Figure 3. The average
photoresist thickness in gray level no. 0 is 740nm (optical
density 0.12) while the average photoresist thickness in gray
level no.99 is 4500nm (optical density 1.12). The gray scale
levels formed in the photoresist can be considered as
continuous, with an average increment of 40 nm between two
adjacent levels while the average standard deviation of the
photoresist thickness of each level is ±60nm.
3.1.3. Structure transfer to the silicon (DRIE)
To mitigate the goal of fabricating Fresnel lens structure with Figure 3: Photoresist thickness Vs. Gray scale level
a total height of 5500nm, an etching process with a selectivity of
1.8 is needed. Unfortunately, our original BOSCH process gives 450
40 400
high selectivity of 44. The selectivity was reduced by adding an

Si etch rate [nm/loop]


35 350
oxygen plasma step (which etch the photoresist) [3]. The results
selectivity [Si/PR]

30 300
from 5 experiments show (Figure 4) that the selectivity is greatly 25 250
affected by the oxygen plasma step: The selectivity is 44 for 20 200
process with no oxygen plasma step and it reduces to 6.4 till 1.8 15 150
for the increase in oxygen plasma step duration from 6 sec to 14 10 100
5 50
sec. The modified BOSCH process with oxygen plasma duration
0 0
step of 14 sec was selected for the Fresnel lens fabrication. 0 2 4 6 8 10 12 14 16
oxygen plasma duration step [sec]

Figure 4: Selectivity [Si/PR] and Si etch rate Vs.


Table 1: The modified DRIE etch process Oxygen plasma duration time in BOSCH process in
the 100 GSL structure
Step Ar C4F8 SF6 O2 Pressure Chamber Electrode Time
(sccm) (sccm) (sccm) (sccm) (mTorr) Temp. Power (sec)
(ºC) (W)
Passivation 40 70 0.5 0 22 25 1 5
Etch A 40 0.5 50 0 23 25 10 2
Etch B 40 0.5 100 0 23 25 10 6
Oxygen plasma 40 - - 70 23 25 8 0, 6-14

Proc. of SPIE Vol. 7205 72050B-4


The additional oxygen plasma increases the photoresist etch rate and therefore decreases the selectivity, but it is also
decrease the silicon etch rate as shown in figure 4, therefore the oxygen plasma step affects the selectivity in two modes.
Si etch rate was reduced from 440nm/loop for original Bosch process (without oxygen plasma step) to 290nm/loop for
14 sec oxygen plasma step (measured in the 100 GSL structure). The reason for that is the creation of an oxidized Si
layer (~SiO2) which depresses the Si etch rate. The average Si etch rate in the preliminary experiments was 220nm/loop
(840nm/min), therefore 25 loops in the DRIE were made for the lens fabrication. The Fresnel lens final height is between
5.3-6.6µm. This wide tolerance range is due to RIE lag effect where wide trenches are etched faster than narrow
trenches. In Figure 4, the final Fresnel lens with discrete gray levels in Si substrate is shown.

( (b

( (d
Figure 5: SEM pictures of average 5500nm Fresnel lens: (a-c) top view of the Fresnel lens, (d) cross section of the Fresnel lens

3.2 Fabrication of 1800nm Fresnel lens height


3.2.1. Development of a new lithography process
Fresnel lens with total average height of 1800nm was fabricated using the selectivity of 1.8 achieved by the new
modified DRIE process (with additional 14sec oxygen plasma step). Reducing the lens height from 5500 nm to 1800 nm
was achieved by developing of a new lithography process which gives a desired photoresist thickness of 1000nm. The
average Si etch rate in the Fresnel lens structure using the modified DRIE is 220nm/loop (840nm/min), therefore only 8
loops in the DRIE are required. An average height of 1800±300nm was obtained, as can be seen in figure 6. As opposed
to the previous Fresnel lens of 5300-6600nm height, the RIE–lag effect in this lens is not noticeable. The final structure
of the Fresnel lens with 8 discrete gray levels in Si substrate is shown in Figures 6, 7.

2400
Fresnel lens height [nm]

2000
1600
1200
800
400
0
1500 2000 2500
(a) (b)3000 3500 4000
Lenght [μm]
Figure 6: 1800nm Fresnel lens: (a) scanned in an optical profilometer (WYCO NT1100, Veeco),
(b) Scanned in stylus profilometer (Dektak 8, Veeco)

Proc. of SPIE Vol. 7205 72050B-5


200µm

F1
200µm

(a) (b)

Figure 7: SEM figures of 1800nm Fresnel lens: (a): several ring in the lens, (b) one ring in the lens
3.3 Fabrication of 600nm Fresnel lens height
For 600nm lens fabrication, we used the lithography process obtaining 1100nm photoresist thickness in the lens structure
(section 2.1.2). The required selectivity for this photoresist thickness is 0.55. To achieve such a low selectivity we used
and RIE process. Selectivity of 0.55 implicates that the photoresist is etched faster then the Si, resulting a loss of several
gray scale levels. To reach the selectivity of 0.55, several experiments were done: The selection of the preferable gas
type was investigated and a DOE (design of experiment) method was applied for finding the parameters values giving
the desirable selectivity of 0.55. Additional goals of the DOE method were studying the parameters affecting the
selectivity and studying the repeatability of the processes which affects the selectivity tolerance.

3.3.1 Photoresist thickness Vs. gray scale level


The photoresist thickness as a function of gray scale levels in the mask is shown in Figure 8. The average photoresist
thickness in gray level no. 0 is 134±126nm (optical density 0.12) while the average photoressist thickness in gray level
no.99 is 1280±210nm (optical density 1.12). The results indicate that lower gray scale levels has larger thickness
distribution.

1400

1200

1000
PR thickness [nm]

800

600

400

200

0
0 5 10 15 20 25 30 35 40 45 50 55 60 65 70 75 80 85 90 95 100
gray scale no.

Figure 8: Photoresist thickness vs. Gray scale level

Proc. of SPIE Vol. 7205 72050B-6


3.3.2 Selectivity Vs. gas type
Fluorine based etching gases CF4, CHF3 and SF6 were examined as silicon etchants. The etching process was done with
the addition of 5sccm oxygen. The pressure and the electrode power were set to 40mTtorr and 200W respectively for all
the experiments. The resulted etch rates and selectivities are summarized in Table 2. The SF6 gas process was abandoned
because of its high silicon etch rate and high selectivity. CF4 gas was chosen as the main etchant because it has
reasonably low etch rate in Si and its selectivity is close to selectivity target of 0.55.

Table 2: Silicon etch rate and selectivity for different etchant gases measured in the Fresnel lens structure

Experiment Process Si etch Photoresist Selectivity


no. parameters rate[nm/min] etch rate
[nm/min]
Gas no.1
1 43.4sccm CF4 21.2 49.3 0.43
2 45sccm CHF3 4.9 8.6 0.63
3 45sccm SF6 235 66.4 3.54

3.3.3. Selectivity Vs. etching time


The selectivity seems to be not dependent on the overall time of the etching process as tested in preliminary experiments
for 3-15min etching time processes. However, it seems to be a slight effect of time on the selectivity, as we found that
selectivity is slightly increasing with etching time.

3.3.4 Optimization of RIE process for the desired selectivity


The JMP software was used for the DOE (design of experiment) in order to achieve a selectivity of 0.55. The selected
parameters were pressure, electrode power and the CF4:O2 gas flow ratio, while the response variable is the selectivity.
Additional inputs for the software are the response variable dependence on the parameters. The electrode power and the
pressure are expected to have a parabolic influence on the selectivity. The CF4:O2 gas flow ratio was assumed to have a
linear influence on the selectivity since the assumption is that the gas flow rate is not in saturation mode (regime) and
thus when the CF4:O2 gas flow ratio changes, it will influence the selectivity. In all the experiments the total gas flow
remains constant. Interaction between the electrode power and the pressure was assumed, implicating that the selectivity
changes its tendency regarding to electrode power when the pressure changes. This interaction is based on the fact that
electrode power (RF frequency) is responsible mainly for the creation of the species (radicals and ions) and for the
potentials and energies of the radicals and ions impinging on surface [10]. As pressure increases, more species can be
produce until additional increase can change the selectivity tendency due to ion energy losses because of higher ion
collusion (due to the existent of large amount of species) and due to species recombination which eliminates the reactive
spices. The pressure values were taken between 10-70mTorr (The maximum pressure available in our RIE system is
100mTorr). The electrode power values were taken between 200-450W and the CF4:O2 was limited to total gas flow of
43sccm (which is the maximum possible gas flow in CF4 mass flow controller). The CF4:O2 gas ratio was chosen
between 3.3-27.6 in favor of the CF4 gas which is the etching gas. According to all the inputs given above, 11
experiments were designed: 9 experiments for the combinatorial part and 2 experiments as replications to enable error
measurement. The experiments parameters and their selectivity results in both gray scale level and the Fresnel lens
structures are presented in table 3.

Proc. of SPIE Vol. 7205 72050B-7


Table 3: DOE (Design of experiments) experiments and the selectivity results

Experiment Pressure Electrode O2 gas CF4 gas CF4 to Time Selectivity Selectivity
no. [mTorr] power flow flow O2 gas [min] in 100 in the
[W] [sccm] [sccm] flow GSL part Fresnel
ratio lens part
exp1 10 300 1.5 41.5 27.6 9 - 0.53
exp2 10 325 10 33 3.3 9 0.27 0.27
exp3 10 450 10 33 3.3 9 0.38 0.35
exp4 70 200 10 33 3.3 9 0.40 0.42
exp5 40 200 5 38 7.6 9 0.42 0.40
exp6 10 200 7.5 35.5 4.7 9 0.3 0.26
exp7 70 325 10 33 3.3 9 0.36 0.36
Exp8 40 450 10 33 3.3 9 0.38 0.38
Exp9 70 450 1.5 41.5 27.6 9 0.61 0.6
Exp10* 10 325 10 33 3.3 9 0.22 0.23
Exp11* 10 325 10 33 3.3 9 0.24 0.23

* replications of exp. 2

3.3.4.1. Measuring the effects of the process parameters


For the DOE results discussion, the significance parameter should be introduced. As long as the significance is lower
than 0.05, the hypothesis of the parameter to affect selectivity is absolutely accepted. The DOE creates an empirical
model (a formula) which connects the parameters to the response variable (the selectivity). The quality of the model is
considered good as long as the R square is larger than 0.85, there is no lack of fit and the predicted values are very close
to the observed values.
R square value for our model was 0.984 indicating good correlation between the model and the experiment results.
Following this, the parameters which most affects the selectivity are CF4 to O2 gas flow ratio (significance is <0.0001),
and the pressure (significance is 0.0011). The electrode power seems to have lesser effect on the selectivity (significance
only 0.0936).

3.3.4.2. Detailed interpretations


The results showed that the selectivity has a linear dependence on the pressure (significance is higher than 0.05).
However, a parabolic behavior for the electrode power was quite clear (significance value is 0.0428). The electrode
power does affect the selectivity especially through a parabolic effect, although this effect seems small since the
curvature of the parabola is very flat.
In addition, there is a strong interaction between the electrode power and the pressure (significance is 0.0054), meaning
that for low electrode power (for example: 200W) the selectivity is greatly influenced by the pressure (the selectivity vs.
pressure graph has big slope, Figure 9a), therefore for full pressure range of 10-70mTorr the selectivity would be 0.3-0.5.
For larger electrode power (for example: 450W) the selectively is barely influenced by the pressure (The selectivity vs.
pressure graph has a slope which converges to 0, Figure 9b), therefore for full pressure range of 10-70mTorr the
selectivity would be 0.4-0.42. Although the pressure has large effect on the selectivity, this effect is annulled when it
interacts with high electrode power. The explication is that for higher electrode power values, the energy delivered to the
ions which impinging on the surface and causing to Si etching is so high that the additional atoms for chemical etching
which can be added to the process by additional pressure do not change the etch rate significantly in comparison to etch
rate already accomplished by the ions.

Proc. of SPIE Vol. 7205 72050B-8


0.7-

0,6-

0.5-

0.4-

0.3-

02-
I I I
c
U)
('I C)
U)
() U'- () U) CO P-

2u

(a) Electrode
power Pressure
CF4toO2ges
flow retio

0.7-

0.6-

>e
-- N-
0F-
Cfl
0.5 -
t -

0 o +1
0.4- ITT
0,3-

02-
o 0'
0
'Oo
N-
0'

450 10
Electrode 40 CF4to 02 gas
(b) power Pressure flow ratio

Figure 9: DOE results graphs: selectivity Vs. Electrode power, pressure and CF4 to O2 gas flow ratio. Interactions between pressure
and electrode power: (a) Selectivity Vs. pressure: graph has a large slope indicating great influence of the pressure at low electrode
power (200W), (b) Selectivity Vs. pressure: graph has a slope converges to 0, indicating small influence of the pressure at high
electrode power (450W).

We also used the POE (Propagation Of Error) method to identify a set of values for the parameters which results in a
suitable selectivity value of 0.55 and at the same time minimize the absolute values of the parameters derivatives for
achieving a robust design. The parameters values that were chosen according to the simulation are: electrode power of
250W, pressure of 70mTorr, and CF4 to O2 gas ratio of 18.1. (We only could give the RIE machine inputs of 40.8sccm of
CF4 gas and 2.2sccm of O2 gas, which results in CF4 to O2 gas ratio of 18.5, therefore selectivity achieved according to
the model is 0.555). The robustness of this process was checked using the JMP software, assuming small tolerances on
the parameters values: ±5W on the electrode power, ±1mTorr on the pressure and ±1.5 on the CF4:O2 gas flow ratio.
After running a simulation of 1000 replications of the optimal setting (using the tolerances selected), it was found that
the selectivity error was ±0.02 (certainty level of 95%).
Two validation experiments which were performed with the selected values (time duration was 9 min like others DOE
experiment), resulted a selectivity of 0.53 at the average, which is within the promised selectivity tolerance of ±0.02.

3.3.4.3 600nm Fresnel lens fabrication


The set of parameters values determined by the DOE (in the previous section), exhibiting a selectivity of 0.55±0.02, was
used for the fabrication of 600nm Fresnel lens height. The silicon etch rate obtained from the validation experiment was
26.5nm/min, therefore, the lens etching time should be approximately 22 min (depends on the accurate photoresist
thickness). Two Fresnel lenses were fabricated with those set of parameters values for approximately 22 min resulting in
630nm and 650nm lens heights and selectivity values of 0.58-0.59 respectively. The explanation for the high selectivity
results is that time duration for the Fresnel lens fabrication was much larger (~20min) than the one used during the DOE
experiments (9min). Therefore, this fact could insert additional noise or effect to the result.

Proc. of SPIE Vol. 7205 72050B-9


In order to correct the selectivity from 0.59 to the target value of 0.55±0.02 for time duration process of 20 min,
the new experiments for lens fabrication containing the resulted selectivites and the additional time parameter, inserted to
the previously simulation. Two solutions giving stable and robust processes with this specific selectivity were found. The
new solutions were using the same set of values chosen in the previous section, except in one of the solution the CF4:O2
gas flow ratio was decreased to 15.6sccm (instead of 18.5 sccm) and in the other solution the pressure was reduced to
42mTorr (instead of 70mTorr). However, since selectivity of 0.59 still result the lens height to be within the tolerance
accepted (±10%), we decided not to change the setting of any of the parameters under study.
800

Fresnel lens height [nm]


700

600

500

400

300

200

100

0
1500 2000 2500 3000 3500 4000 4500 5000 5500 6000 6500

lenght [nm]
Figure 10: 600nm Fresnel lens height: (a) scanned in an optical profilometer (WYCO NT1100,Vecco),
(b) Scanned in stylus profilometer (Dektak 8 Veeco).

3.3.4.4 Comparing Fresnel lens gray scale levels in the RIE and the DRIE
The DRIE process has long, independent step of oxygen plasma which removes gray scale levels in the photoresist. Only
8 out of 64 gray scale levels in a ring were finally transferred to the Si resulting in discrete gray levels rings (figure 11a).
In contrast, the RIE process has little oxygen plasma within the etchant CF4 plasma, resulting in continuous rings, which
non distinguishable gap between the gray scale levels (figure 11b).

(b)

(a) (b)
Figure 11: The comparison between two lenses fabricated in the RIE and DRIE machines: (a) lens fabricated in the DRIE exhibit 8
discrete gray scale levels in one ring in the Si, (b) lens fabricated in the RIE exhibit continuous gray scale levels in the Si.

Proc. of SPIE Vol. 7205 72050B-10


4. IMAGING USING THE 600NM LENS.
To validate the functionality of the fabricated
Fresenl lenses, we demonstrated an imaging of an object
using the 600nm lens. We chose the Star of David as a
representative object. The object was placed at a distance
of 2F (F~10 cm) before the lens and was illuminated by a
collimated light emerging from a 1.55 micron wavelength
diode laser. An InGaAs CCD camera (Indigo Omega) was
placed at a distance of 2F after the lens. Figure 12 show
the obtained image as captured by the CCD. The Star of
David shape can be clearly observed.

Fig 12: An image of the Star of David object.


Imaging was performed using the 600 nm Fresnel lens.

5. SUMMARY
Three different Fresnel lenses were presented in this paper. The 1800nm and the 5500nm height lenses were fabricated
by deep reactive ion etching process (DRIE) using the BOSCH process which was modified by the addition of oxygen
step. This was made in order to reach selectivity lower then 2 which was essential for achieving the desired height. The
600nm Fresnel lens was fabricated by reactive ion etching process due to its lower etch rate of Si and the ability to use
CF4-O2 plasma gas in it.
In order to obtain the desirable lens height, a new RIE process with a selectivity of 0.55 was needed; therefore
the DOE (design of experiment) method was applied. The DOE results show that the most important parameters
influencing the selectivity in the RIE are the CF4:O2 flow gas ratio and pressure, while the electrode power effect on the
selectivity is the smallest. It was found that the pressure has a linear behavior and the electrode power has a parabolic
behavior. There is a strong interaction between the pressure and the electrode power indicating the pressure has a great
effect on the selectivity when the electrode power is low and it has insignificant effect when the electrode power is high.
From the simulation, the theoretically tolerance on the selectivity was found to be ±0.02. The validation experiments
resulted in selectivities within the tolerance, but the processes for the lens fabrication resulted in higher selectivites
(0.59). An explanation for the high selectivity results is that time duration has also a slight effect on the selectivity which
was not taken into account in the DOE model: the time duration for the Fresnel lens fabrication was much larger
(~20min) than that used during the DOE experiments (9min). Since selectivity of 0.59 still keep the lens height to be
within the tolerance accepted (±10%), we decided not to change the setting of any of the parameters under study.
The DRIE and the RIE processes were distinguished from each other in the numbers of the gray scale levels
transferred to the Si: while the lens fabricated in the DRIE process exhibit 8 discrete levels out of 64 designed in the
optical mask, the RIE process exhibit continuous gray scale levels in the rings which can not be countable.
Finally, to validate the functionality of the fabricated Fresenl lenses, we demonstrated an imaging of an object
(Star of David) using the 600nm lens at the wavelength of 1.55 micron.

AKNOWLEDGMENTS
The authors would like to thank Mr. Boris Nepomnyashchy for operating the optical profilometer (WYKO NT1100) and
taking the Fresnel lens pictures. The authors also acknowledge the assistance of Boris Desiatov, Ilya Goykhman and
Gilad Lerman for their support in constructing the optical characterization setup.

Proc. of SPIE Vol. 7205 72050B-11


REFERENCES
[1]
Donald, C,O.,Thomas, J,Suleski., Alan, D,K. and Dennis, W,P., [Diffractive Optics], A Publication of SPIE,
Bellingham, Washington USA 133-166.
[2]
Chen, Y.,Li,,L.,Yi, A,Y., "Fabrication of precision 3D microstructures by use of a combination of ultraprecision
diamond turning and reactive ion etching process," ", J. Micromech. Microeng. 17,883-890 (2007).
[3]
Morgan, B., "Development of deep silicon phase Fresnel lens using gray scale lithography and deep reactive ion
etching," ", Journal of microelectromechanical systems. 13(1), 113-119 (2004).
[4]
Waits, C,M.," Investigation of gray-scale technology for large area 3D silicon MEMS structures," J.Micromech
Microeng. 13,170-177,(2003)
[5]
Waits, C.M.," Microfabrication of 3-D silicon MEMS structures using gray scale lithography and deep reactive ion
etching," Sensor & actuator A. 119, 245-253 (2005).
[6]
Morgen, B., "Compensated aspect ratio dependent etching (CARDE) using gray scale technology," microelectronic
engineering. 77, 85-74 (2005).
[7]
Krizmanic, J.,"Development of ground testable phase fresnel lenses in silicon,"Exp Astron. 20,299-306, (2005).
[8]
Walter, D.," one step lithography for mass production of multilevel diffractive optical elements using: High Energy
Beam Sensitive (HEBS) gray level mask," SPIE: diffractive and holographic technology 3. 2698,153-155 (1996).
[9]
Picard, A., Turban, G., and Grolleau, B., "Plasma diagnostics of SF6 radiofrequency discharge used for etching of
silicon," J.Phys.D: Appl. Phys. 19(6), 991-1005 (1986).
[10]
Vossen, J,L.,Kern,W.,[Thin Film Processes II], Harcourt Brace Jovanovich, Publishers USA, 707-717.

Proc. of SPIE Vol. 7205 72050B-12

View publication stats

You might also like