You are on page 1of 3

518 IEEE PHOTONICS TECHNOLOGY LETTERS, VOL. 16, NO.

2, FEBRUARY 2004

Ultrabroadband Mirror Using Low-Index


Cladded Subwavelength Grating
Carlos F. R. Mateus, Student Member, IEEE, Michael C. Y. Huang, Student Member, IEEE, Yunfei Deng,
Andrew R. Neureuther, Fellow, IEEE, and Connie J. Chang-Hasnain, Fellow, IEEE

Abstract—We report a novel subwavelength grating that has a


very broad reflection spectrum and very high reflectivity. The de-
sign is scalable for different wavelengths. It facilitates monolithic
integration of optoelectronic devices at a wide range of wavelengths
from visible to far infrared.
Index Terms—Infrared imaging sensor, infrared surveillance,
mirror, optical communication, optical microelectro-mechanical
(MEM), optical sensors, reconfigurable architecture, tunable
filters, tunable optoelectronic devices.
Fig. 1. Scheme of the subwavelength grating reflector. The low index material
under the grating is essential for the broadband mirror effect.
I. INTRODUCTION
different wavelengths. It facilitates monolithic integration of op-
B ROADBAND mirrors (
flectivity (
) with very high re-
) are essential for numerous applica-
tions, including telecommunications, surveillance, sensors, and
toelectronic devices at a wide range of wavelengths from visible
to far infrared.
imaging, ranging from 0.7–12- m wavelength regimes. Metal
mirrors have larger reflection bandwidths but lower reflectiv- II. SUBWAVELENGTH GRATING AS BROADBAND REFLECTOR
ities ( ), limited by absorption loss. As a result, they are not The proposed structure has a large refractive index differ-
suitable for fabricating transmission-type optical devices such ence among materials, resulting in a very broadband reflector.
as etalon filters. Dielectric mirrors have a low loss and, thus, can Fig. 1 shows the scheme of such a mirror that consists of lines
achieve a higher reflectivity. However, the deposition methods of high/low index material surrounded by two low index layers.
are often not precise enough to lead to very high reflectivi- The larger the difference between high and low indexes is, the
ties. Furthermore, the typical material combinations often have larger the reflection band. The low index layer under the grating
a rather small bandwidth, limited by the refractive index dif- is critical for the mirror effect. Design parameters for the struc-
ference of the materials used. For tunable etalon type devices, ture include the materials involved (index of refraction), thick-
such as microelectro-mechanical (MEM) vertical cavity surface ness of the low index layer under the grating ( ), grating period
emitting lasers (VCSEL), filters [1], and detectors [2], the tuning ( ), grating thickness ( ), and fill factor. Fill factor is defined
range is often limited by semiconductor-based distributed Bragg as the ratio of the width of the high index material to .
reflectors (DBRs) to to . The challenge of de- Fig. 2 shows reflected power for light polarized perpen-
signing a mirror with broadband reflection, low loss and com- dicular to the grating lines. The simulation was based on
patibility with optoelectronic processing has not been overcome rigorous coupled wave analysis (RCWA) [6] and confirmed
yet. by finite-difference time-domain electromagnetic propaga-
Subwavelength gratings have been used either to create tion using TEMPEST [7]. The two methods are in excellent
structures with either sharp highly reflective peaks at resonance agreement. A very broadband mirror , with
wavelengths [3], [4] or broad antireflective bands [5]. The final , was obtained around 1.55 m, over the range
spectral characteristic of the grating can be further tailored by 1.33–1.80 m, as depicted by Fig. 2(a). The mirror is also very
the materials used and parameters chosen. broad for (1.40–1.67 m or ).
In this letter, we report a novel subwavelength grating that The parameters used in the simulation were: Si sub-
has a very broad reflection spectrum and very high reflectivity. strate ( ), m, (Poly-Si.),
Two examples are shown for with low index material in and above the grating (air),
and with . The design is scalable for (SiO ), m, and fill factor .
The index of refraction was considered constant along the
covered range, which is a very good approximation, since most
Manuscript received July 22, 2003; revised October 4, 2003. This work was
supported in part by the Defense Advanced Research Projects Agency Center
semiconductor materials such as Si, GaAs, and ZnSe have
for Bio-Optoelectronic Sensor Systems (BOSS) under Grant MDA9720010020 index of refraction practically independent of wavelength in
and in part by the CAPES Foundation and Brazilian Air Command. the considered ranges.
The authors are with the Department of Electrical Engineering and Com- It is interesting to note that the broadband reflectivity does
puter Sciences, University of California, Berkeley, CA, 94720 USA (e-mail:
mateus@photonics.eecs.berkeley.edu). not result from a resonance, as the period of the grating is sub-
Digital Object Identifier 10.1109/LPT.2003.821258 wavelength but not half-wavelength. Furthermore, the reflec-
1041-1135/04$20.00 © 2004 IEEE

Authorized licensed use limited to: INDIAN INSTITUTE OF TECHNOLOGY DELHI. Downloaded on April 18,2020 at 11:32:46 UTC from IEEE Xplore. Restrictions apply.
MATEUS et al.: ULTRABROADBAND MIRROR 519

Fig. 2. Reflected power for light polarized perpendicularly to the grating lines.
(a) Thick line was obtained based on RCWA [6], while the dashed line was
Fig. 3. Effect of the low index layer under the grating. (a) Reflectivity as
obtained with TEMPEST [7]. (b) A simple scaling factor (6.5) applied to the
function of wavelength and t . There is no reflection band when t < 0:1 m,
dimensions gives completely overlapped traces. The thick line is centered at
and above this value, the structure has low sensitivity to this parameter. (b)
1.55 m, while the dashed line is at 10 m.
Reflectivity as function of wavelength and n . The mirror also does not exist
if n > 2:5.
tivity spectrum can be scaled with wavelength, as shown in
Fig. 2(b). By simply multiplying the dimensions by a constant,
difference in index of refraction can be used as a base for this
in this case 6.5, while keeping the other parameters, the reflec-
broadband mirror, and the larger this difference, the larger the
tion band shifts to the 8.6–11.7- m wavelength range with all
band. Our simulations show results for Poly-Silicon–air–SiO ,
features and values being identical. Hence, any different wave-
but GaAs–Al O , GaN–air or ZnSe–CaF would be compa-
length regime can use the same design. Note that the same con-
stant has also multiplied the horizontal scale in order to make rable. Thus, this grating is a potential candidate for several ac-
the comparison easier. Although it may be obvious that any peri- tive and passive devices such as visible and infrared wavelength
odic structure should be wavelength scalable, the scaling here is VCSELs [8] and MEM tunable devices [1], [2]. In the following
easily manufacturable, since it only requires changing the layer discussion, we show design tolerance by varying one of the pa-
dimensions. Physical origins of the broadband reflection phe- rameters, while keeping the others constant.
nomenon are under investigation. The grating period determines the location of the center wave-
The low index material layer under the grating is essential to length of the reflection band, and this effect is shown in Fig. 4.
obtain the high broadband reflection. This is shown in Fig. 3, The band shifts to longer wavelengths proportionally to , and
which consists of contour plots of reflectivity as a function of for m, the band is the broadest. The period can be
wavelength, and . Keeping all the other parameters the controlled very accurately by lithographic methods, and thus,
same, there is no reflection band for m. Above this the reflection band can be precisely fabricated.
thickness, the structure has low sensitivity to the low index layer, Grating thickness and fill factor determine the intensity of
but this parameter can be used to optimize the reflection band. modulation, or grating strength. However, this strength cannot
The mirror also does not exist if . If Si N ( ) increase indefinitely and there is an optimum point where the
is used instead of SiO , the result would be a much smaller grating effect is strongest with respect to reflectivity.
reflection band, ranging from 1.7–1.8 m.
Fig. 5 shows the effect of . For a very thin grating, the mirror
is sharp and the optimized bandwidth occurs for m.
III. PARAMETER ANALYSIS Above this value, the mirror gets sharp again. As this parameter
The various design parameters play interesting roles on the can be precisely controlled by epitaxial growth or plasma depo-
final reflectivity spectrum. Any material system with a large sition techniques, the optimized design can be easily fabricated.

Authorized licensed use limited to: INDIAN INSTITUTE OF TECHNOLOGY DELHI. Downloaded on April 18,2020 at 11:32:46 UTC from IEEE Xplore. Restrictions apply.
520 IEEE PHOTONICS TECHNOLOGY LETTERS, VOL. 16, NO. 2, FEBRUARY 2004

1.6 m. As the fill factor increases, the two peaks merge to form
one broad and flat reflection band. This parameter is probably
the most critical in fabrication as small variations in lithography
can change the final value. It may slightly affect the flatness of
the band (if the fill factor gets smaller, the two peaks tend to sep-
arate) or its coverage (if fill factor gets larger, mirror bandwidth
decreases).
In this design, where lines are used, reflection is polarization
dependent. This can be advantageous to control the polarization
on a VCSEL, e.g., if the grating design is used for the mirrors. If
a two-dimensional grating is chosen instead, reflectivity would
be polarization independent. The grating sensitivity to all these
parameters can be optimized iteratively. If the application has
Fig. 4. Reflectivity as function of wavelength and 3. The reflection band shifts
a less stringent requirement on reflectivity, i.e., , most
to longer wavelengths proportionally to the period, and for 3 = 0:7 the band of the parameters have a large tolerance range, sometimes up
is the broadest. to 10% variation. Experimental results are being carried on and
will be presented soon.

IV. CONCLUSION
We have presented a subwavelength grating that under normal
incident light has very broad reflection spectrum (
and ). The mirror can be easily scaled by simply
multiplying the dimensions by a constant.
This design has potential application on micro-electro-me-
chanical tunable devices, VCSELs and reconfigurable focal
plane arrays. It is insensitive to lateral position on cascaded
structures and can be easily fabricated monolithically with
optoelectronic devices.

Fig. 5. Reflectivity as function of wavelength and t . The optimized ACKNOWLEDGMENT


bandwidth occurs for t = 0:45, and it gets sharper when it is further
increased. This parameter can be precisely controlled by epitaxial growth or Authors would like to acknowledge Dr. L. Chen (Raytheon
plasma deposition techniques. Company) for support.

REFERENCES
[1] C. F. R. Mateus et al., “Widely tunable torsional optical filter,” Photon.
Tech. Lett., vol. 14, no. 6, pp. 819–21, June 2002.
[2] G. S. Li, W. Yuen, and C. J. Chang-Hasnain, “Wide and continously tun-
able (30 nm) detector with uniform characteristics over tuning range,”
Electronics Letters, vol. 33, no. 13, pp. 1122–4, 1997.
[3] R. Magnusson and S. S. Wang, “New principle for optical filters,” Appl.
Phys. Lett., vol. 61, no. 9, pp. 1022–4, Aug. 1992.
[4] B. Cunningham, P. Li, B. Lin, and J. Pepper, “Colorimetric resonant
reflection as a direct biochemical assay technique,” Sensors Actuators
B, vol. 81, pp. 316–28, 2002.
[5] Y. Kanamori and K. Hane, “Broadband antireflection subwavelength
gratings for polymethyl methacrylate fabricated with molding tech-
nique,” Opt.Rev., vol. 9, no. 5, pp. 183–5, Sept.-Oct. 2002.
[6] M. G. Moharam and T. K. Gaylord, “Rigorous coupled-wave analysis of
planar-grating diffraction,” J. Opt. Soc. Amer., vol. 71, no. 7, pp. 811–18,
July 1981.
Fig. 6. Reflectivity as function of wavelength and fill factor. When fill factor [7] A. K. Wong, R. Guerrieri, and A. R. Neureuther, “Massively parallel
is increased, two reflection peaks merge to form one broad and flat reflection electromagnetic simulation for photolithographic applications,” IEEE
band. Trans.Computer-Aided Design, vol. 14, pp. 1231–1240, Oct. 1995.
[8] D. I. Babić, J. Piprek, and J. E. Bowers, “Long-wavelength ver-
tical-cavity lasers,” in Vertical-Cavity Surface-Emitting Lasers, C. W.
Fig. 6 shows the effect of fill factor. There are two reflec- Wilmsen, Ed. Cambridge, U.K.: Cambridge Univ. Press, 1999, pp.
tion peaks for a fill factor of 0.5, one at 1.1 m and the other at 303–25.

Authorized licensed use limited to: INDIAN INSTITUTE OF TECHNOLOGY DELHI. Downloaded on April 18,2020 at 11:32:46 UTC from IEEE Xplore. Restrictions apply.

You might also like