You are on page 1of 6

2019 22nd International Conference on Computer and Information Technology (ICCIT), 18-20 December 2019

Analysis of FBMC-OQAM over OFDM in Wireless


Communication
Anamika Saha S. M. Shamsul Alam
Electronics and Communication Electronics and Communication
Engineering Discipline Engineering Discipline
Khulna University Khulna University
Khulna, Bangladesh Khulna, Bangladesh
anamikaece04@gmail alam_ece@yahoo.com

Abstract— OFDM (Orthogonal Frequency Division communications -requires support for massive number of
Multiplexing) system has prevailed as one of the most eminent devices in a small area) and URLLC (Ultra Reliable and Low
multicarrier technique in 4G wireless communication. The Latency Communications - requires high reliability with no
purpose of this paper is to overthrow the drawbacks of OFDM discernible delay). Consequently, the facilities of 5G are low
and investigate new candidate waveform Filter Bank complexity, good spectral containment, robustness against
Multicarrier (FBMC) which is more compatible for 5G wireless carrier frequency object (CFO), support multiple input
communication through their performance results. Because of multiple output (MIMO), good localization in time, flexible
achieving sharper PSD, better BER performance curve and numerology [3]. Another important aspects- 5G uses
lower PAPR need to discard Cyclic Prefix (CP) with the help of
millimeter waves, smart cells, massive MIMO and full duplex
Filter Banks. To alleviate ISI (Inter-Symbol-Interference) and
ICI (Inter-Carrier-Interference), this paper focuses the working
for making a better connectivity. 5G has the faster data
procedure about OQAM processing, spreading frequency and transmission rate than 4G [4].
extended IFFT/FFT and it reveals how the filter banks are The major obstacles of OFDM which are contradict for 5G-
responsible to improve the system performance of FBMC. each single subcarrier is shaped using a rectangular window
Consequently, simulation based results of FBMC have exhibited in time domain leading to sinc shaped subcarriers in
that the desired solution evidently outperforms OFDM schemes frequency domain, where introducing inter-carrier
in terms of Spectral Efficiency, FBMC Out Of Band (OOB)
interference (ICI), sensitivity to frequency and time offset,
emissions declines from -31dB to -58dB. Next, in terms of Bit
need Cyclic prefix for removing ISI effect. Another issues are
Error Rate (BER), 16 QAM FBMC performance decays 27dB
to approximately 20dB and 4 QAM FBMC have exhibited
- large PAPR reduces the efficiency of high power amplifier
nearly 5dB lower than 4 QAM OFDM. Whereas, for Peak to and degrades the performance of the system, large out of band
Average Power Ratio (PAPR), OFDM CCDF performance emissions (OOBE) cause massive sidelobes [3]. FBMC
curve drops from FBMC (16dB to 12dB). (Filter Bank Multi-Carrier) is another promising modulation
technique that resolves the drawbacks of OFDM by applying
Keywords—FBMC (Filter Bank Multicarrier), OFDM high quality filters. In FBMC system, the modulation value is
(Orthogonal Frequency Division Multiplexing), BER (Bit Error spread over several carriers and filtered by a prototype filter.
Rate), PAPR (Peak to Average Power Ratio). Furthermore, the FBMC system delivers more robustness to
the time and frequency compare to the OFDM and does not
I. INTRODUCTION use any cyclic extension. Basically, FBMC multicarrier
OFDM (Orthogonal Frequency Division Multiplexing) has technique uses a set of synthesis and analysis filter banks in
been dominated as most successful multi-carrier modulation transmitter and receiver respectively [5]. Additionally,
scheme of commercial high speed communication systems FBMC systems are more resistant for narrowband noise
over the last few years. Meanwhile, LTE- ADVANCED uses effects. And FBMC also has power to serve low out-of-Band
OFDM that’s expectation is to acquire 1Gbps to low and 100 (OOB), for this reason FBMC applied in the uplink of
Mbps for high mobile application which is known as UMTS. multiuser networks. Side lobes of FBMC are much weaker
In addition, Wimax family of IEEE 802.16m a Mobile and thus the inter-carrier interference issue is less critical than
Internet, employs OFDM that’s hope is to provide from OFDM [6]. Instead of QAM, using OQAM carriers are either
1Gbps to low and 100 Mbps for high mobile enrollment. Also odd stacked or even stacked, no center frequency to sustain
4G standards Wi-Fi a form of Mobile Internet, use OFDM to their orthogonality. PAPR (Peak to Average Power Ratio) is
serve 300Mbps-600Mbps and so on [1]. By introducing the an important issue for both multicarrier system which is
concept of guard time and cyclic prefix, inter-symbol responsible for any system degradation.
interference (ISI) and inter-carrier interference can be FBMC related some research discussions such as- B.
removed completely. Other facilities of OFDM are high Farhang et. al. addressed the shortcomings of OFDM and
transmission bitrates, flexibility, easy equalization, spectral show that FBMC could be a more effective solution. The goal
efficiency, lower multipath distortion, resiliency of RF of this paper is to bring upcoming trend to the attention of the
interfaces [2]. To enhance higher data rates of existing Signal Processing and Communication communities [16]. C.
wireless networks the implementation of Wireless World Kim et. al. introduced a new waveform called FBMC-QAM
Wide Web which is supportable for 5G cellular system. The that provides superior spectrum confinement and higher
core requirements of 5G are- capacity (data rate): 20Gbps, spectral efficiency compared to CP-OFDM [17]. H. Nam et.
frequency: 30-300 GHz, Bandwidth: 1000x BW/unit area. al. showed the FBMC-QAM satisfies orthogonality
Furthermore, mobile internet and IOT (internet of things) are conditions. The signal-to-interference power ratio and bit
the two most important market drivers for 5G. All the use error rate (BER) for the proposed FBMC-QAM system are
cases of 5G are grouped into three categories such as- eMBB evaluated in [18].
(Enhanced Mobile Broadband – requires higher data date and The remainder of this paper is embodied as in section II-the
better coverage), mMTC (Massive Machine Type basic structures of OFDM and section III- represents the

978-1-7281-5842-6/19/$31.00 ©2019 IEEE

Authorized licensed use limited to: UNIVERSITY OF BIRMINGHAM. Downloaded on July 25,2020 at 13:04:33 UTC from IEEE Xplore. Restrictions apply.
material methods of FBMC. The performance of both OFDM After IFFT, transmitter add Cyclic Prefix Tcp samples to
and FBMC technique is discussed in section IV based on the the N samples from the output of the IFFT. The cyclic prefix,
simulation results. Conclusion is narrated in section V. which is transmitted during the guard interval, construction of
a copy of the end of the OFDM signal is attached in the front
II. BASIC STRUCTURE OF OFDM of itself [19]. Let’s, Tcp is the length of CP [8] and the total
Orthogonal Frequency Division Multiplexing (OFDM) symbol duration becomes Tsym =Tsub +Tcp . If the length of the
transmission scheme is based on the multicarrier system that CP is set equal or longer than maximum delay of the multipath
means multichannel are used in this system. Fast Fourier channel, the ISI effect of an OFDM symbol on the next
Transform (FFT) and Inverse Fast Fourier Transform (IFFT) symbol is found within the guard interval. So, the guard
processes are significant parts for implementing the interval is set to longer than maximum delay allows for
orthogonal signals. maintaining the orthogonality among the subcarriers.
Orthogonal Frequency Division Multiplexing (OFDM) Then the OFDM signal is traveling through the channel.
transmission scheme is based on the multicarrier system. In Let’s the channel is time invariant. The system use AWGN
Fig. 1, transmitter maps the signal bits into the transmitted channel rather than any other fading channels such as
symbols as Xl [k] N-1 k=0 QAM (Quadrature Amplitude Rayleigh distributed channel and Racian channel. Where the
Modulation) symbols. The frequency domain symbol X[k] AWGN (Additive White Gaussian noise) z(t) , transmitted
modulates the N (where k=0, 1, 2…N-1) subcarriers with a signal
frequency of f = k⁄T . The original symbol X[k] has a
duration of Ts but its length extended to Tsym =NTs for xl (t)= ∑N-1
k=0 Xl [k]e
j2πfk t-lTsym

parallel N symbols. In serial to parallel conversion, each of N


symbols is carried out by the multiple subcarriers. Thus the Passing the signal through the channel, and the received
OFDM signal represents signal y ( ) becomes yl (t) =xl (t)+z(t) .

j2πfk t-lTsym , 0<t<Tsym In receiver, the de-modulate base band receiving signal
Ψl,k (t)= e (1) from IFFT which is
0 otherwise
Equation (1) indicates Ψl, k (t) is the l-th OFDM signals yl (t)= ∑N-1
k=0 Xl [k]e
j2πfk t-lTsym
lTsym <t≤lTsym + nTs
with k-th subcarriers. The continuous time domain passband
and baseband OFDM signals can be expressed as, Then the transmitted symbol Xl [k] can be recovered by
including channel and noise,
1
xl (t)=R ∑∞l=0 { ∑N-1
k=0 Xl [k]Ψl,k (t) } and 1 ∞ -j2πf t-lT
Tsym
Yl k = y (t)e k sym dt + z(t)
Tsym -∞ l
xl (t)= ∑∞l=0 ∑N-1
k=0 Xl [k]e
j2πfk t-lTsym
(2)
1 ∞ -j2πfk t-lTsym
= ∑N-1
i=0 Xl [i]e
j2πfi t-lTsym
e dt+ z(t)
Equation (2) sampled at t = lTsym + nTs with Ts = Tsym ⁄N Tsym -∞

and fk = k⁄Tsym , the corresponding discrete time OFDM


1, For fi =fk .
symbol as by using IFFT =Xl [k]+z(t) For (4)
0, For fi ≠fk .
∞ N-1
1 Equation (4) satisfied the orthogonality condition among
xl n = Xl k ej2πk⁄Tsym lTsym + n Tsym ⁄N -lTsym
the subcarriers. The received OFDM signal in the discrete
l=0 k=0 N-1
time format where yl k be the sample values of the
k=0
j2πkn⁄N
xl n = ∑N-1
k=0 Xl [k]e For n=0, 1, 2, ……….N-1 (3) received OFDM symbol yl (t),
N-1
Equation (3) denotes the point IDFT of the QAM data
symbols Xl k N-1k=0 and can be computed efficiently by using
Yl k = yl [n]e-j2πkn⁄N + z(t)
IFFT algorithm. n=0
f0 = 1⁄Tsym 1 j2πni⁄N
X[0] = ∑N-1
n=0 ∑N-1
i=0 Xl [i]e e-j2πkn⁄N + z(t)
N

f1 = 2⁄Tsym =Xl [k]+ z(t) (5)


N-1
Bit Q X[k]
S/P
X[1]
OFDM symbol Equation (5) is the N-point DFT of yl k . The
A k=0
Stream
......

recovered the signal as Y[k] after demodulated by FFT and


.....

Tsym =Tsub +Tcp


M
parallel to serially converted, is the input of QAM de-mapper.
fN-1 = N⁄Tsym
At the end, after de-mapping the original transmitted bit
X[N-1] streams can be recovered.

Fig. 1. OFDM modulation [14]


III. TRANSMULTIPLEXER (TMUX) STRUCTURE OF FBMC
The core of the FBMC (Filter Bank Multicarrier) system
When the received symbol is mixed up with the other is the TMUX configuration. The synthesis filter bank (SFB)
received symbols, then occurs ISI. To remove this, need to consists of all parallel transmit filters and on the other hand,
insert the guard interval between two consecutive signals. the analysis filter bank (AFB) which is combined by received

Authorized licensed use limited to: UNIVERSITY OF BIRMINGHAM. Downloaded on July 25,2020 at 13:04:33 UTC from IEEE Xplore. Restrictions apply.
matching filters [9]. For optimal localization in both time prototype filter and they divide with available bandwidth
(reduces ISI) and frequency (reduces ICI) the PHYDYAS equally. All sub-channel filters are generated from a linear-
prototype filter is used [11, 20]. For this reason, in Fig. 2 phase prototype filter p[m] by using exponential modulation
OQAM pre-processing is placed before synthesis filter bank. [9]. In this paper, PHYDYAS project use the even stacked
The important fact is SFB responsible for frequency spreading prototype filters. The OQAM pre-processing output signals
and extended IFFT which will be discussed in section III. The generate Xk (z) , where z =0, 1, 2…M-1 are upsampled by
combination of PHYDYAS filtering and OQAM modulation M⁄2 and after that filtered with Gk (z) where (k=0, 1, 2…M-
processes delivers not only orthogonality (without using CPs) 1). All signals are summed among themselves and transmit
but also good spectral efficiency and channel capacity [12]. the Y(z) signal. The k-th Synthesis filter is expressed by
A. OQAM pre-processing 2πk Lp -1
TMUX system transmits OQAM symbols instead of gk m =p[m]exp j m- (7)
M 2
QAM which used in OFDM. OQAM has two different
methods – first is the complex to real or OQAM Pre- Where, m=0, 1, 2…….Lp -1. The equation (7) depicts zero
processing which is related to the Synthesis filter bank and phase sub-channel filters are generated from a linear-phase
second is the real to complex or OQAM post-processing is prototype filter. Discrete time domain of baseband FBMC-
related to Analysis filter bank. As well as in Fig. 3, the input OQAM is [12],
Ck (l) is complex valued, input signal divides into two real
symbols, one of them is real portion and the other is the M n+m 2πm Lp -1
s(k)= ∑∞n=-∞ p[k-n ] ∑M-1
m=0 an (m)j exp j k- (8)
imaginary portion. Complex to real conversion operation is 2 M 2
considered to deploy up-sampling. The pair of symbols dk (n)
2πm Lp -1
and dk (n+1) respectively, represents in-phase and quadrature Where exp -j is a phase component of the Eq. (8).
M 2
parts of the input symbol of Ck (l). Where dk (n) is the real
valued data symbols at subcarrier k, transmitted at a rate of The transmission channel Y(z) is assumed to be ideal. An
2⁄T, when signaling period is T= 1⁄∆f and ∆f = subcarrier extra delay z-D with D depending on the length of the
spacing. The possible choice of θk (n)=j (k+n) prototype filter (Lp =KM-1-D). AFB constructed by using M
θk (n) Sequence can be chosen arbitrarily, the alternative down samplers and also M match filters that means frequency
sequence is de-spreading and extended FFT process. Fk (z) Analysis
1, j, 1,j…….for k even filters filter the signal Y(z) and these signals are then
θk (n)= downsampling by factor M⁄2 to reconstruct the transmitted
j, 1,j, 1…….for k odd
signals Xk (z). θ (n) k
Thus, the complex-to-real operation performs the following
mapping [22]. As shown in figure 3 the complex valued Ck(l) dk (n) Xk (n)
symbol Re[Ck(l)] ↑2
Re(Ck [l]), k even
dk [n]=
Im(Ck [l]), k odd
Im[Ck(l)] ↑2 Z-1
Im(Ck [l]) ,k even
And dk [n+1] = Complex to Real transformation (For k even)
Re(Ck [l]), k odd
Fig. 3: OQAM Pre-processing [15]
Equation (6) presents the output of OQAM pre-processing
which is the multiplication of phase component, θk (n) with The k-th Analysis filter is simply a time reversed and
real dk (n) to maintain orthogonality. The output becomes, complex-conjugated symbol as compare as the Synthesis
filter bank
Xk (n)=dk (n) θk (n) (6)
2πk Lp -1
Fk m =gk * Lp -1-m =p Lp -1-m exp j m- (9)
B. Synthesis and Analysis Filter Banks M 2

In Fig. 2, M sub-channel filter banks are used. To Equation (9), an interpretation to the Synthesis equation, is
achieve good spectral efficiency, all sub-channel is used that the impulse responses are delayed by ( Lp -1)⁄2 samples
which are frequency shifted version of the prototype filter. resulting in causal subchannel filters.
That’s why complex modulated filter banks are an ideal
choice. All sub-channels have the same bandwidth as the

X0 (z) ↑ M⁄ 2 G0 (z) X0 (z)


OQAM pre-processing

OQAM post-processing

F0 (z) ↓ M⁄ 2
Y(z)
X1 (z) X1 (z)
↑ M⁄ 2 G1 (z) F1 (z) ↓ M⁄ 2
z-D
……
……
………

……

……

……

XM-1 (z) XM-1 (z)


↑ M⁄ 2 GM-1 (z) FM-1 (z) ↓ M⁄ 2
Synthesis filter bank Analysis filter bank

Fig. 2. TMUX configuration [15]

Authorized licensed use limited to: UNIVERSITY OF BIRMINGHAM. Downloaded on July 25,2020 at 13:04:33 UTC from IEEE Xplore. Restrictions apply.
C. OQAM post-processing di (mM) with 0 ≤ i≤ M-1 as input signal of IFFT, Then, the
To generate the signal in complex form need OQAM IFFT output is expressed by
post-processing for receiver. After filtering in Analysis filter i(n-mM)
bank the signals are need to generate in complex form. j2π
x(n)= ∑M-1
i=0 di (mM)e
M (14)

Xk (n) dk(n) Ck(l) Equation (14) represents the transmitted samples x(n) in
Real
part ↓2 Z -1 serial form whose sampling frequency is unity. For M sub-
carriers where the carrier frequency is spaced by 1 M and T
θk (n)
is the duration of multicarrier symbol period exists the state
where successive multicarrier symbols do not overlap in the
Z-1 ↓2 Im
time domain.
Real to complex Transformation (For k even) A particular data element di (mM) with overlapping
factor K=4 multiplied by frequency coefficients and after that
Fig. 4. OQAM Post-processing [15] the signals are fed to the 2K-1 inputs of IFFT. Thus, the IFFT
size KM is used to generate all the carriers. As a result, data
The first operation of fig. 4 is the multiplication by θk (n)
element is spread over several IFFT inputs, this is called
with Xk (n) and the operation taking the real part. The second
‘weighted frequency spreading’. For each set of input data
operation is real to complex conversion in which two 1
consecutive real signal multiplying with j and produce Ck l converted to the KM samples and symbol rate is , K
M
consecutive IFFT outputs overlap in the time domain. The
dk n +jdk n+1 ; k even IFFT parallel outputs are then converted to serial output x(n).
Ck l = (10)
dk n+1 +jdk n ; k odd Fig. 5 shows with indices i and i+2 are separated and do not
overlap. So, by using real inputs of the IFFT for i and i+2, the
The above Eq. (10) shows the post-processing operation imaginary inputs for i+1, the orthogonality is maintained.
in which two real values have formed a single complex value.
It basically uses the decimation to deescalate the sampling At the receiver side a serial to parallel converter is
rate at the receiver. established as the input of the FFT. Then the output from FFT
for mM ≤ n ≤ (m+1) M, the data samples recovered by
This paper concentrates on the Frequency sampling
method to design the PHYDYAS prototype filter. The i(n-mM)
x(n)= ∑nM+M-1
n=nm di (mM)e-j2π M (15)
frequency coefficients through the interpolation formula for
sampled signals Equation (15) represents x(n) is output of the FFT whose
k input is di (mM) . For the compatible functioning of the
sin (π(f- )MK)
H(f)= ∑K-1
k=-K+1 H k
MK
k (11) system, the receiver (IFFT) must be aligned absolutely
MK sin (π f-
MK
) similar in time with the transmitter (FFT). The performance
of the receiver is based on the frequency de-spreading and
Equation (11) determine the coefficients Hk of the extended FFT of size KM. In these circumstances,
prototype filter and the coefficients are
di (mM) di+2 (mM)
√2

..........................
H0 =1, H±1 =0.97196, H±2 = , H±3 =0.235147
..........................
..........................

From Frequency sampling method, symmetrical impulse H1 H1 H1 H1


response for even N H2 H2 H2 H2
N-1
H3 H3 H3 H3
1 N -1 2πk(n- 2 )
h(n)= H(0)+2 ∑k=12 H(k) cos (12)
N N ik (i+1)k (i+2)k
IFFT
Equation (12) helps to determine the impulse response of
the prototype filter. The impulse response of the prototype
filter is given by inverse Fourier transform of the pulse P/S + overlap/sum
frequency response that is
x(n)
k 2πkL
h(L)=1+2 ∑K-1
k=1 (-1) Hk cos( ) (13)
MK Fig. 5: Weighted frequency spreading and extended IFFT [13]

Where L is the prototype filter length (KM-1). Equation (13) the overlap sub-channels are the input of FFT block. Then the
is obtained by the frequency shifts k/M, in the FFT case [13]. data elements are reconstructed with the help of the weighted
That means the prototype filter coefficients is multiplying frequency de-spreading operation.
/
by .
IV. SIMULATION RESULTS
D. Extending IFFT or FFT to implement the Filter Banks
To appraise the capacity approaching for both OFDM and
The Inverse Fast Fourier Transform (IFFT) acts as a FBMC system, BER performance index is used. It is
multicarrier modulator and Fast Fourier Transform (FFT) presented across the SNR (Signal to Noise Ratio) over an
performs like a multicarrier demodulator. The data samples AWGN channel. The purpose of this section is to investigate

Authorized licensed use limited to: UNIVERSITY OF BIRMINGHAM. Downloaded on July 25,2020 at 13:04:33 UTC from IEEE Xplore. Restrictions apply.
FBMC and OFDM performance and after that compared The Bit Error Rate define as the number of bit error that
them. Using MATLAB software, to evaluate the following means dissimilarity between transmit and receive bits divided
performance such as Power Spectral Density (PSD), Bit Error by the total number of transmitted bits during a studied time
Rate (BER) and Peak to Average Power Ratio (PAPR). interval. The BER is considered as an approximate estimate of
the bit error probability. If the medium between transmitter
The power spectral density (PSD) refers to the spectral and receiver is ideal and the signal to noise ratio is high then
energy distribution that would be found per unit time. Since the bit error rate will be very small and having no noticeable
the total energy of such a signal over all time would generally effect on the system. Bit error rate (BER) is a parameter which
be infinite. The power spectral density is defined as the gives an excellent indication of the performance of a data link
frequency response of a random or periodic signal. The power such as radio or fiber optic system.
spectral density is calculated in units of power per radians per
sample. The length N of the FFT and the values of the input Lower order modulation schemes can carry lower data
determine the length of power spectral density and the range rates but more robust than higher order. Lower order
of the corresponding normalized frequencies. In Fig. 6, modulation schemes occur expense of data throughput. So, it
OFDM power spectral density (PSD) curve has higher side is mandatory to balance all the factors to achieve a satisfactory
lobes that started from -19dB where the normalized frequency bit error rate. In particular, higher order modulation schemes
between -.2 to -.3 Hz and for FBMC (PSD) starting point - capable for carrying higher data rates are not as robust as
58dB. FBMC offers ways to overcome the well-known presence of noise. In fig. 7, FBMC BER curve demonstrates
shortcomings of OFDM, reducing spectral efficiency and its better performance while OFDM suffered degradation for
strict synchronization requirements. The characteristics of its both cases 4 QAM and 16 QAM. The performance through
FBMC gives a leading utilization of the allocated spectrum, BER curve for FBMC and OFDM and their comparison
lets a developed PSD. As a result, FBMC scheme is more results are discussed in table II.
advantageous than conventional OFDM. Because of
employing synthesis and analysis filter banks instead of cyclic
prefix as discussed in section III.B. To simulate PSD for both
OFDM and FBMC using parameters are discussed in table I.
For OFDM the orthogonality is not ensured for all subcarriers
but in FBMC each carrier is individually filtered and
orthogonality is achieved by applying Offset-Quadrature
Amplitude Modulation (OQAM) as discussed in section III.
Moreover, FBMC instead of using circular convolution, use
linear convolution in order to reduce out-of-band (OOB)
emission for the sake of robustness against synchronization
errors and to protect its spectral density properties [23].

Fig. 7: BER curve for 16 QAM and 4 QAM OFDM and FBMC

TABLE II. COMPARISON OF OFDM AND FBMC SYSTEM USING 4


QAM AND 16 QAM

16 QAM 16 QAM 4 QAM 4 QAM


SNR(dB)
OFDM FBMC OFDM FBMC
2 0.0481 0.0390 0.1632 0.0909
10 0.0169 0.0098 0.0614 0.0069
16 0.0119 0.0055 0.0359 0.0018

The PAPR of any time domain sequence is defined as the ratio


of the maximum instantaneous power and its average power,
that is
Fig. 6: PSD comparison between OFDM and FBMC | |
X = PAPR = | |
(16)
TABLE I. PARAMETERS USED IN SPECTRAL ANALYSIS
In Eq. (16), E{..} denotes the expected value and PAPR
Parameters
Values of Values of increases when the subcarriers of a system increases.
OFDM FBMC
Total Data 1024 1024 In the literature, the familiar way to execute the PAPR is
Number of symbols 100 100 to determine the probability that this PAPR exceeds a certain
Modulation Schemes 16-QAM 16-QAM threshold known as the Complementary Cumulative
Overlapping Symbols × K=4 Distribution Function (CCDF) [7, 10]. Because of using CP in
PSD -31dB -58dB OFDM generates better amplitude that deescalates the PAPR
Cyclic prefix 16 × and improves power amplifier performance. From Fig. 8 it can
be observed that OFDM will have better PAPR value as

Authorized licensed use limited to: UNIVERSITY OF BIRMINGHAM. Downloaded on July 25,2020 at 13:04:33 UTC from IEEE Xplore. Restrictions apply.
compared as FBMC. From comparison result it can be seen [2] M. Bhardwaj, A. Gangwar and D. Soni, “A Review on OFDM:
that FBMC will not have good PAPR value because of using Concept, Scope & its Applications”, IOSR Journal of Mechanical and
Civil Engineering (IOSRJMCE), vol. 1, pp. 07-11, 2012.
filtering process and absence of CP in symbols.
[3] O. Kodheli, “OFDM-based Schemes for Next Generation Wireless
Systems”, Anno Accademico, September 2016.
[4] W. Xiang, K. Zheng and X. Shen, “5G Mobile Communications”,
Springer, Ist ED., pp. 77-116, 2017.
[5] A. N. Ibrahim, and M. F.L. Abdullah, “The potential of FBMC over
OFDM for the future 5G mobile communication technology”, AIP
Conference Proceedings 1883, Sep. 2017.
[6] F. Schaich and T. Wild, “Waveform contenders for 5G - OFDM vs.
FBMC vs. UFMC”, 6th International Symposium on Communications,
Control and Signal Processing (ISCCSP), 2014.
[7] A. J. Ramadhan, “Implementation of a 5G Filtered-OFDM Waveform
Candidate”, International Journal of Engineering Research and
Technology, vol. 12, pp. 500-507, May 2019.
[8] M. Payar´o, A. Pascual-Iserte, and M. N´ajar, “Performance
Fig. 8: PAPR signals for FBMC and OFDM Comparison between FBMC and OFDM in MIMO Systems under
Channel Uncertainty”, 2010 European Wireless Conference (EW),
Fig. 9 illustrates the PAPR performance in terms of CCDF Lucca, Italy, April 2010.
for OFDM and FBMC multicarrier system without any PAPR [9] A. Viholaine, M. Bellanger and M. Huchard, “Prototype filter and
reduction technique. This figure shows that the value of PAPR structure optimization”, project-“PHYDYAS – PHYsical layer for
DYnamic AccesS and cognitive radio”, January 2009.
at 0.1 CCDF, OFDM outperforms 3dB less compare to
[10] D. Sh. Almomani, “Peak to Average Power Ratio Reduction in FBMC
FBMC. So, FBMC exhibits inferior performance than OFDM Systems by PN-sequences,” Advances in Wireless Communications
regarding PAPR. and Networks, vol. 3, pp. 84-89, December 2017.
[11] M. Bellanger, D. Le Ruyet, D. Roviras, M. Terré, J. Nossek and L.
Baltar, et al., "FBMC physical layer: a primer," PHYDYAS, January
2010.
[12] J. Nadal, C. A. Nour, A. Baghdadi, and H. Lin, "Hardware prototyping
of FBMC/OQAM baseband for 5G mobile communication," 25th IEEE
International Symposium on Rapid System Prototyping (RSP), pp. 72-
77, 2014.
[13] M. Bellanger, “PHYDYAS, FBMC physical layer: a primer”,
http://www.ict-phydyas.org, June 2010.
[14] Y. S. Cho, J. Kim, W. Y. Yang and C. G. Kang, “MIMO-OFDM
Wireless Communications with MATLAB”, Communication,
Networking and Broadcast Technologies, John Wiley & Sons (Asia)
Pte Ltd, 2010.
[15] P. Kansal and A. K. Shankhwar, “FBMC vs OFDM Waveform
Contenders for 5G Wireless Communication System”, Wireless
Engineering and Technology, vol. 8, pp. 59-70, October 2017, DOI:
10.4236/wet.2017.84005.
[16] B. Farhang-Boroujeny, “OFDM versus Filter Bank Multicarrier”,
Fig. 9: CCDF curve for FBMC and OFDM IEEE Signal Processing Magazine, vol. 28 , pp. 92-112, May 2011,
DOI: 10.1109/MSP.2011.940267.
V. CONCLUSION [17] C. Kim, Yeo H. Yun, K. Kim, and Ji-Yun Seol, “Introduction to QAM-
FBMC: From Waveform Optimization to System Design”, IEEE
To compensate the disadvantages of OFDM for 5G, Communications Magazine, vol. 54, pp. 66-73, November 2016.
FBMC system has emerged as a promising alternative [18] H. Nam, M. Choi, S. Han, C. Kim, S. Choi, and D. Hong, “A New
multicarrier system. This paper mainly emphasize on the Filter-Bank Multicarrier System With Two Prototype Filters for QAM
problems of OFDM and introducing FBMC system to solve Symbols Transmission and Reception”, IEEE Transactions on
Wireless Communications, vol. 15, pp. 5998 – 6009, September 2016.
them which will be beneficial for our future wireless networks
which demands high data rates, high speed, high band width [19] O. Edfors, M. Sandell, J-J. van de Beek, D. Landström and F. Sjöberg,
“Introduction to Orthogonal Frequency Division Multiplexing
and so on. This paper presented not only theoretical analysis (OFDM)”, Div. of Signal Processing, Research Report, vol. TULEA
but also simulation review of the FBMC communication 1996:16, pp. 58, 1996.
system. It should be noted by constructing FBMC based on [20] J. Louveaux, L. Baltar, D. Waldhauser, M. Renfors, M. Tanda, C.
well-designed PHYDYAS prototype filter, OQAM Bader and E. Kofidis “PHYsical layer for DYnamic spectrum AccesS
processing and filter banks. The performance of both OFDM and cognitive radio (PHYDYAS)”, FP7-ICT - Specific Programme
and FBMC techniques are simulated and discussed. It has "Cooperation": Information and communication technologies, p. 9,
January 2008.
been concluded that for most cases as spectral efficiency and
[21] T. Gangakhedkar and K.S. Solanki, “Calculation of Peak to Average
BER performance, FBMC performs better than OFDM Power Ratio in OFDM Transmission”, International Journal of
whereas OFDM shows significant improvement on PAPR Computer Architecture and Mobility, vol. 1, September 2013.
performance. [22] Md. Aldababseh and A. Jamoos, “Estimation of FBMC/OQAM Fading
Channels Using Dual Kalman Filters”, The Scientific World Journal,
REFERENCES vol. 2014, p. 9, February 2014, DOI: 10.1155/2014/586403.
[1] X. Wang, “OFDM and its application to 4G”, 14th Annual [23] F. K. Jose, L. H. Lolis, S. B. Mafra and E. P. Ribeiro, “Spectral
International Conference on Wireless and Optical Communications, Efficiency Analysis in Massive MIMO using FBMC-OQAM
USA, April 2005. Modulation”, Journal of Microwaves, Optoelectronics and
Electromagnetic Applications, vol. 17, December 2018.

Authorized licensed use limited to: UNIVERSITY OF BIRMINGHAM. Downloaded on July 25,2020 at 13:04:33 UTC from IEEE Xplore. Restrictions apply.

You might also like