You are on page 1of 4

Calibre nmDRC

Calibre nmDRC is continually evolving to


meet the demands of shrinking geome-
tries and complex manufacturing meth-
Your designs deserve Calibre confidence
odologies. When designers need new
ways to assess the quality of their
designs in light of more complex pro-
Benefits Calibre nmDRC – right from the start cess constraints and larger process vari-
• Used by every major foundry for To ensure acceptable design perfor- ations, Calibre nmDRC delivers the new
process development and mance in new process technologies, capabilities and enhanced performance
validation foundries iteratively explore design con- that provide comprehensive analysis
straints and manufacturability to define capabilities while minimizing cycle time.
• Fast, scalable, flexible resource
the design rules ultimately used by their
usage With Calibre nmDRC, designers can con-
customers. The physical verification tool
fidently manage physical verification for
• Direct access to Milkyway, LEF/DEF, used by every major foundry to develop
every design, at every node.
OpenAccess, OASIS and GDSII these design rules is Calibre® nmDRC.
design databases What that means to you is that Calibre
Calibre nmDRC runtime and resource
rule decks are proven long before you
• Calibre eqDRC provides precise usage
need them. When performance and time
characterizations and simplifies With increasing size and complexity of
to market control potential profitability,
debugging of complex multi-vari- designs, and the explosion of new rule
using Calibre nmDRC for your physical
ate design issues checks required for the next technology
verification can mean the difference
node, the need for faster turnaround-
• Calibre Fast XOR enables fast, between market success and failure.
time is critical.
accurate LVL comparisons
• Comprehensive fill support, includ-
ing fill back annotation to
Milkyway, LEF/DEF, OpenAccess,
OASIS and GDSII design databases.
• Pattern matching support
• Double patterning layout analysis
support
• Comprehensive, accurate and
proven signoff physical verification
for advanced technology nodes
and designs at all major foundries
• Industry-leading speed minimizes
runtime and resource usage
• Enhanced DRC debugging provides
the fastest results with the highest
accuracy Calibre nmDRC provides fast, sophisticated, and proven technology that enables the fastest and
most accurate physical verification of the most challenging designs at any node.

siemens.com/eda
CALIBRE

Calibre nmDRC

Benefits continued Calibre nmDRC delivers the fastest run- preprocessor that drastically reduces
• Extensive innovative and sophisti- times in the industry, whether you’re the number of lines of code needed to
cated capabilities support the most using one CPU or dozens, providing you execute a DRC run. This simplifies rule
complex design requirements at with the flexibility you need to optimize scripting and maintenance, and the
every node your resource allocation and usage need to change and validate every line
while achieving your desired turn- of code. Calibre TVF supports Boolean
• Earliest rule deck availability for
around time. expressions, conditional and looping
new process technologies supports
flow controls, variables for layer names,
fast production of leading edge
procedural encapsulation and mathe-
designs
matical functions in expressions.
Calibre nmDRC with SVRF/TVF is the
tool/syntax combination foundries use
to establish the signoff results against
which all designs will be measured.

Equation-based design rule checking


Using Calibre eqDRC, foundries can pro-
vide designers with rule files that accu-
rately capture and characterize
complex, multi-variate design con-
straints that minimize the impact of
process variation. Calibre eqDRC also
provides property filtering, correction
hints and annotated error markers to
The Calibre engine is always evolving to ensure assist designers in the identification of
Calibre users the fastest runtimes in the industry. potential issues. Debugging is faster
and more productive, because Calibre
Calibre nmDRC performs equally well in eqDRC provides the designer with pre-
either shared memory or distributed cisely measured metrics for each failure.
processing configurations, and is com- Designers can use Calibre eqDRC equa-
pletely compatible with load balancing tions to solve for multiple simultaneous
and grid computing software. variables and make reliable design trad-
Verification jobs can be run in parallel eoffs without needing to over-constrain
to support multiple designers complet- designs.
ing subsections of a design on the same
hardware, then brought together for
full chip verification.

Advanced checking capabilities


Calibre nmDRC is constantly enhanced
to provide new and more comprehen-
sive physical verification methodologies
to manage today’s most complex design
requirements.

Calibre SVRF/TVF
Calibre SVRF rule decks contain robust Optical grid/pitch checking uses sophisticated
syntax and programmable features that algorithms to assess placement for lithographic
provide full flexibility across the com- sensitivity.
plete range of physical verification
coverage. Optical grid and pitch checking
While optical grid and pitch checking is
Calibre Tcl Verification Format (TVF), a
just now becoming part of physical
subset of SVRF, is a high-level language
CALIBRE

verification, Calibre nmDRC has already identification. Back annotation of verification now for today’s emerging
developed the needed technology in Calibre fill to Milkyway, LEF/DEF, 3D-IC designs and tomorrow’s new
anticipation of the checks required at OpenAccess, OASIS and GDSII design technologies.
28nm and below. databases provides tapeout fill shapes
created by Calibre during place and Calibre Debugging
Pattern matching route to ensure accurate extraction and
Direct Database Access
Pattern matching simplifies coding for simulation results with optimum run-
Calibre nmDRC is unique in that it reads
difficult-to-capture configurations. time and output file size.
all open data formats, including
Calibre’s pattern matching technology is
Milkyway, LEF/DEF, OpenAccess, OASIS
tightly integrated with Calibre nmDRC, Double patterning
and GDSII. This direct database access
with one integrated rule deck enabling Calibre nmDRC provides the technology
eliminates the need for conversion to
signoff DRC and pattern matching needed to not only assess layouts for
GDS or other intermediate formats, pro-
within all the major design creation double patterning decomposition feasi-
vides immediate access to design data,
environments. bility, but also to identify the adjust-
and streamlines integrated Calibre veri-
ments needed to correct non-compliant
fication methodologies.
design configurations.
The database interface automates layer
Automated waiver management mapping, back-annotates PV results and
Calibre Automatic Waivers can be used DFM optimizations into the design data-
with Calibre nmDRC to provide auto- base, and minimizes the disk space
mated recognition and removal of needed to hold the GDSII data for every
waived design rule violations during iteration.
DRC. Not only does automated waiver
management eliminate unnecessary HTML batch reports
time and effort in the verification flow, With Calibre nmDRC’s customizable
but it also ensures accurate processing HTML batch reporting, designers can
of all waivers on every DRC run. streamline DRC debugging and improve
Calibre Pattern Matching helps identify complex communications without rule deck
problematic configurations during verification.
Fast XOR modifications.
Calibre Fast XOR speeds up layout-vs-
• 100 percent batch operation, with no
Advanced fill solutions layout (LVL) comparisons to provide the
display required
Calibre nmDRC supports all the fill solu- same results as traditional XOR method-
tions required for today’s complex ologies in significantly less time, from • Pre-screen DRC report using sorts and
designs, from traditional dummy fill to chip-level design iterations through filtering to focus on selected issues
Calibre SmartFill, a model-based fill final chip verification to tapeout.
• Group results by user-defined proper-
strategy that can not only determine
ties, cells and checks
the number, location and optimum 3D-IC Physical Verification
shapes of the fill metal for a given While other tools are struggling to • Use webpage forms to create
design, but also provide a timing-aware implement 3D-IC verification, Calibre approval processes for errors and
fill solution based on critical net nmDRC provides proven physical waivers
• Use snapshots, customized text, layer
palette and layer properties to pro-
vide rule explanations
• Provide colormaps and histograms for
further data analysis when needed
• Reproduce easily in Calibre RVE and
layout tools

Calibre nmDRC supports the use of Calibre SmartFill techniques, such as the creation of active circuit
fill cells.
CALIBRE

next node, your tools need to be ready


as well. You need the confidence that
comes from knowing we’ve been work-
ing far in advance to identify the chal-
lenges and develop effective, proven
solutions. Our reputation depends on it,
and we depend on our reputation. At
every node, Calibre has provided, and
will continue to provide, pioneering
technologies and tools that ensure you
can continue to deliver your products
on time with the quality you need.

The Calibre nm Platform


The Calibre nm platform, the industry’s
leading physical verification platform, is
known for delivering best-in-class per-
formance, accuracy and reliability. A
powerful hierarchical engine is at the
heart of the Calibre tool suite, providing
Calibre HTML batch reporting enables diverse teams to quickly obtain the information they need using
a variety of tools. solutions for physical verification, para-
sitic extraction, resolution enhance-
Design Integration tools. Within the Calibre platform, ment, mask data prep, litho-friendly
Calibre’s integration strategy allows Calibre InRoute offers direct access to design and design for manufacturing.
Calibre nmDRC to be easily integrated Calibre nmDRC from Olympus-SoC,
into any design layout environment, enabling automated fixes for identified Complete Calibre rule files and exten-
either custom or place and route, using violations. In custom design, Calibre sive coverage of Calibre processes for
Calibre Interactive and Calibre RVE to RealTime supports direct use of Calibre DRC and DFM are available at all semi-
provide invocation, results highlighting, nmDRC during design layout, using an conductor foundries.
design analysis and back annotation of OpenAccess integration strategy.
Calibre physical verification results and
DFM optimizations. Calibre Commitment to Innovation
Calibre leads the way for one powerful
A direct integration of Calibre physical
reason – our constant and ongoing
verification within the design layout
commitment to innovation. We know
environment is also available for both
that when you’re ready to move to the
place and route and custom design

Siemens Digital Industries Software


siemens.com/eda

Americas +1 314 264 8499


Europe +44 (0) 1276 413200
Asia-Pacific +852 2230 3333

© Siemens 2011. A list of relevant Siemens trademarks can


be found here. Other trademarks belong to their respective
Calibre’s innovative and comprehensive verification technologies lead the industry, making it the right owners.
choice for your physical verification requirements now and in the future. 82858-C2 6/11

You might also like