Professional Documents
Culture Documents
Sep. 2012
ELECTRONICS INDUSTRY: SUMMARY
• Q4 of 2020 was best quarter across the supply chain by significant margin. Compared to
previous peak quarters revenues:
– OEM and ODM/EMS were 13-15% higher
– Semiconductor players were 22-25% higher
– OSAT were 30% higher
– Foundries were 40% higher
• 2021 continues to see very strong systems growth (~10%) and semiconductor growth
(~20%), which is driven from demand across all product sectors and supported by:
– Continued x-from-home trends, including changed consumer spending habits
– Supportive fiscal and monetary policy
– Economies reopening
2020/2019 2021F/2020
Revenue Revenue
Growth Growth Comments and 2022 Outlook
2021 growth in all sectors, with supply
OEM 2% 12% chain concerns curbing higher growth.
2022 expect return to ~5% growth
M Units $Bn
600 kc621.3 60bp-comp ship val
$600
550M 2020-2025
Units (M)
519M CAAGR 529M
500
495M Tablet 1.5% $500
Notebook 2.5%
190 Desktop -2.0%
Total 185
180
1.3%
172
400 $400
2020-2025
Value ($Bn)
$335Bn CAAGR
$318Bn $310Bn
Tablet 1.2% $300
300 $289Bn $66
2.4%
$63 Notebook
Desktop -2.2% $61
$57
Total 1.4%
250 259
200 229 270 $200
xFH driven growth in
$219 $205 2020/2021 tapers in 2022. $203
$181
2025 market outlook 25%
100 above early 2020 forecasts $100
94 90 89 85
$51 $50 $50 $45
0 $0
2020 2021E 2022F 2025F
$Bn
239M Units 408M Units 486M Units 575M Units 959M Units
$120
Clinical
Diagnostic/
Spending shift to durable goods $107Bn Treatment
2020-2025 CAAGR “High
including personal / wearable 9.2% Regulation”
$100
electronics remains through 2025 $12.4Bn,
4.9% CAAGR
Lifestyle/
$82Bn Occupational
$80 “High
18%
Specificity”
20/19
$69Bn $4.3Bn,
YoY Goggles/ 4.0% CAAGR
Action Cameras Glasses
$60 $58Bn (AR)
Wireless Earbuds
Personal
Electronics
“High Volume”
$40 $36Bn Smart Band $90.4Bn,
10.3% CAAGR
Smart Watches
$20
$0
2018 2019 2020 2021 2025
CAPEX FROM CLOUD SERVICE PROVIDERS
$M Capex/Revenue
$25 N921.239rd-capex2
18%
$23.3
Capex/Revenue Ba idu
$22.3 $22.0 $22.1 $22.1
$2 1.2 Tencent 16%
$20 .4 $ 20.4 IBM
$19.8 Alibaba
$20 $19.3 14%
$18.4 $18.7
$17.8
$16.8 Am azon
12%
$15
Fac eboo k 10%
8%
$10
Microso ft
6%
$5 4%
Google 2%
$0 0%
Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4
2018 2019 2020 2021
Server/storage and networking chip demand accelerates due to
increasing semiconductor content. Anticipate strong growth from 2H
2021with new server platforms from Intel, AMD, Nvidia and others
OEM REVENUES (1 OF 2)
1H 2021/
($Bn) 2020 2020/2019 Q2 2021 1H 2020 12/12 Growth*
Apple $237.3 8% $63.9 49% 33%
Huawei $135.3 11% $26.0 -24% -10%
Samsung $114.7 -1% $30.3 34% 13%
Dell $69.9 -1% $19.4 14% 14%
Panasonic $62.1 -12% $16.4 17% 8%
HP $57.7 -2% $15.3 16% 11%
LG Electronics $53.9 1% $15.3 41% 27%
Lenovo $55.7 7% $16.9 36% 28%
Cisco $34.6 -10% $9.7 8% 6%
Canon $29.7 -10% $8.1 19% 14%
Xiaomi $32.2 20% $12.5 80% 54%
NEC $27.7 -1% $6.0 5% 4%
Ericsson $25.5 6% $6.5 14% 13%
Nokia $25.0 -4% $6.4 14% 10%
HP Enterprise $24.3 -3% $6.1 -1% -2%
BYD $22.8 23% $7.7 64% 45%
Ricoh $16.8 -9% $3.9 8% 10%
ZTE $14.7 12% $4.2 22% 16%
Asus $13.5 24% $4.6 69% 45%
TCL $11.2 108% $6.5 175% 153%
*Latest 12 months vs. previous 12 months
With few exceptions, OEM revenues experiencing
tremendousgrowth/recovery in past 12 months
OEM REVENUES (2 OF 2)
1H 2021/
($Bn) 2020 2020/2019 Q2 2021 1H 2020 12/12 Growth*
Haier Smart Home $10.4 19% $2.6 -3% 2%
Acer $9.4 25% $2.9 41% 31%
Inspur $9.1 23% $2.6 3% 16%
Motorola Solutions $7.5 -4% $2.0 14% 7%
IBM $7.0 -8% $1.7 -4% -4%
Microsoft (PC) $6.7 15% $1.4 -6% -3%
Skyworth Group $5.8 9% $2.0 54% 39%
Oracle $3.4 -5% $0.9 -3% 0%
Siemens*** $61.7 0% $18.3 24% 16%
Lockheed Martin*** $54.9 10% $14.3 5% 5%
Denso*** $44.9 -7% $12.4 37% 21%
Mitsubishi Electric*** $39.0 -6% $9.7 13% 7%
Hyundai Mobis*** $31.2 -4% $9.2 36% 21%
Medtronic*** $27.9 -10% $8.0 29% 13%
Continental*** $25.5 -14% $6.8 32% 16%
Honeywell*** $24.7 -10% $6.6 8% 5%
Valeo*** $18.9 -14% $5.2 39% 21%
L3Harris*** $18.2 -1% $4.7 2% 1%
Aptiv*** $13.1 -9% $3.8 51% 28%
TOTAL $1,484 2% $400.6 23% 17%
Automotive Total $134 -9% $37.4 37% 21%
Total (excl Automotive) $1,351 3% $363.3 22% 16%
*** Total sales, electronics+other Overall 16% growth vs. previous 12 months
1H run rate of 13-15% above 1H 2018 and 1H2019
SEMICONDUCTOR SUPPLIER REVENUES
2020/ Q2 2021/ Q3F 2021/ Q1-Q3 2021F/
$Bn 2020 2019 Q2 2021 Q1 2021 Q2 2021 Q1-Q3 2020
Intel $77.9 8% $19.6 0% -3% 1%
Samsung $61.9 11% $20.3 19% 8% 30%
SKHynix $27.1 16% $9.2 21% 9% 35%
Micron $22.1 7% $7.4 19% 10% 34%
Qualcomm $19.4 34% $6.5 3% 12% 55%
Broadcom $18.0 5% $5.0 4% 8% 17%
Nvidia (inclMellanox) $17.1 40% $6.5 15% 5% 57%
TI $14.5 1% $4.6 7% 3% 31%
Infineon $12.1 -9% $3.3 1% 7% 12%
MediaTek $11.0 38% $4.5 24% -2% 65%
Kioxia $10.9 25% $3.0 8% 15% 13%
STMicro $10.2 7% $3.0 -1% 7% 32%
AMD $9.8 45% $3.9 12% 6% 75%
Sony $9.5 0% $2.0 -9% 45% 2%
NXP $8.6 -3% $2.6 1% 10% 31%
WD Flash $7.5 14% $2.2 8% 2% 15%
Renesas $6.7 -1% $2.0 4% 11% 25%
Analog Devices $5.9 2% $1.8 6% 1% 21%
Microchip $5.4 4% $1.6 7% 5% 19%
ON Semiconductor $5.3 -5% $1.7 13% 2% 28%
Xilinx $3.1 -6% $0.9 3% 2% 17%
Maxim $2.4 8% $0.7 8% 3% 23%
Total $366.0 11% $112.1 9% 6% 26%
Memory $114.2 12% $37.8 19% 9% 31%
Total (excl memory) $251.8 10% $74.3 5% 5% 23%
HiSilicon and Apple excluded due to lack of data reporting After 11% growth in 2020, top players
Revenues include some system sales and some double counting (SiP, HBM, etc) expect >20% growth in 2021
SEMICONDUCTOR REVENUES BY DEVICE SEGMENT
$Bn
$700 N82 1.267bp-se mirev
CAAGR $615
$600 3.7%
D RAM
$531
3.9%
21.0% N AN D/
$500
$469 N VM
-12.1% 6.5% $439
30.9%
$412 L ED/O pto/
$400 Sensor
-37.3%
9.3%
Discrete
Analog
$300
3.7%
5.6% 17.6% L ogic/A SIC
$200 1.4%
$100
MPU/MCU
$0
2018 2019 2020E 2021F 2025F
SEMICONDUCTOR REVENUES BY END APPLICATION
$Bn
$700 kc821.267bp-bar
2020-2025 CAAGR
$615Bn
Automotive 14%
$600 Automotive
Industrial/Med/Mil/Aero 7%
21% $531Bn Consumer 8% Industrial/Med/
PC/Computing (incl. Crypto) 5% Mil/Aero
$500
7% Mobile Phone/Tablet 5% Consumer
$439Bn Server/Storage/Comm. Infra. 8%
$412Bn 29%
$400 Total 7% PC/Computing
-15% 13%
(incl. Crypto)
-13%
20%
$300 9% Substantial correction can
26% be expected by late 2022
11% Mobile Phone/
Some signs (inventory, Tablet
$200
22% pricing) indicate growth
14% slowing from Q4 2021
$100 Server/Storage/
Prismark 2022 forecast Comm. Infra.
12% 17%
remains +8%
$0
2019 2020 2021F 2025F
OSAT REVENUE: STILL CLIMBING
2020/ Q2 2021/ Q3F 2021/ Q1-Q3 2021F/
$Bn 2020 2019 Q2 2021 Q1 2021 Q2 2021 Q1-Q3 2020
ASE $6.01 19% $1.83 3% 12% 32%
Amkor $5.05 25% $1.41 6% 21% 20%
JCET $3.85 13% $1.10 6% 9% 24%
SPIL $3.47 12% $0.92 6% 12% 8%
Powertech $2.59 20% $0.74 12% 8% 14%
TFME $1.57 31% $0.59 17% 1% 60%
Huatian $1.22 4% $0.47 17% 3% 60%
King Yuan $0.98 19% $0.27 0% 3% 11%
ChipBond $0.76 15% $0.25 9% 0% 34%
ChipMOS $0.78 18% $0.25 8% 5% 33%
Carsem $0.34 13% $0.12 2% 2% 46%
Unisem $0.31 1% $0.10 5% 3% 34%
OSE $0.25 -14% $0.08 4% 4% 26%
Total $27.17 17% $8.13 7% 10% 26%
Note: TSMC does not report back end data, which was up 25% in 2020 to $3.5Bn (includes wafer probe)
After 17% growth in 2020, expect >20% growth in 2021, with Q3 likely to be peak
IC PACKAGE ASSEMBLY VALUE (EXCLUDES TEST)
Flip Chip SiP on Laminate* 3.7 4.4 5.8 8.0 19% 32% 13%
Flip Chip CSP 4.5 5.2 6.5 8.5 16% 25% 10%
Flip Chip CSP for DRAM 4.4 5.7 7.5 11.2 30% 32% 14%
Subtotal Flip Chip 59.0 66.5 81.0 106.0 13% 22% 10%
BOC for DRAM 7.0 6.4 6.0 3.3 -9% -6% -12%
Wire Bond SiP on Laminate** 4.1 4.6 5.5 6.4 12% 20% 7%
Subtotal Flip Chip 59.0 66.5 81.0 106.0 13% 22% 10%
*Stacked CSP now using flip chip control die with wire bond NAND
**RF PA, Connectivity, and Power
WHEN WILL THE SEMICONDUCTOR
BOOM (SHORTAGE?) END
• Most electronics companies experiencing 10-50% growth compared to last year (and 2018/2019)
– OEM, ODM/EMS, PCB, Semiconductor, Foundry, OSAT, Materials, and Equipment
• The absolute growth (vs. 2019 baseline) is stronger across some segments
– PC, consumer, cryptomining up 20-400% YoY
– Other segments (industrial, automotive, medical) have recovered to previous levels or better
• Companies are raising prices for materials (CCL/prepreg, leadframes, etc.), components
(semiconductors/memory, passives, substrates), wafers, etc.
• Leadtimes are extended from weeks to months for select equipment and components
– “Packaging-related equipment from 2 months to 6 months”
– Package substrate equipment (Lithography, laser drill) lead times reported 1-2 year lead times
• TSMC stated that chip shortages are starting to ease for their customers, but the shortage will remain
through 2022. TSMC has dramatically increased output to automotive customers throughout 2021
• UMC has raised wafer prices by 20%, and is planning further raises by the end of 2021 and into 2022
• DRAM spot prices are up 35% compared to 4 months ago, but have recently started to fall as inventory
levels recover as peak build up season nears a close
• Inventories of certain components (memory module, PCB, passives) appear to be rising, causing a slow
down of the rapid growth and rising prices seen in 1H 2021
• Prismark expects electronics and semiconductor demand to remain strong beyond the end of 2021, but
YoY growth rates will begin to slow starting from Q4 2021
– This new level of electronics demand will be sustained, but systems and semiconductor growth will
slow from 2022
– Historically, semiconductor boom cycles last 18-24 months, and we are already halfway there
– Current component shortage will extend the growth cycle another 6 months as inventories get
replenished
– Equipment and materials are the tail end of bull-whip often see the latest and most dramatic impact
FABS ARE BECOMING MORE REGIONALLY DISPERSED
• While companies keep an eye out related to funding, a few key factors already in play
– TSMC building a $12Bn fab in Arizona. This is likely to prompt consideration for back-end
(bumping, test, packaging) support from either TSMC or other OSAT partners
– Intel and GlobalFoundries dramatically increasing fab investments inUS
• So far, only rumors about companies such as Amkor or TSMC adding packaging capability outside their
core sites in Asia
– These companies will take into account what makes sense for them and customers
– For certain packaging technologies, incorporating back-end close to fab does make sense
– Shipping wafers/chips across the Pacific multiple times may not make sense
– Ultimately, until significant funding is available, expect packaging to remain an Asia (including
China) business
– Exceptions will be related to certain high reliability segments and mid-end capability to align with
new fabs built in US
ON-SHORING PACKAGE INITIATIVE
• Semiconductor packaging has had a long history with a regional focus in SEAsia
– Going back 30 years ago, a majority of semiconductor packaging was done in Japan, Malaysia,
Taiwan, Hong Kong, Singapore, Korea, and other parts of SE Asia
– At that time, both N. America and Europe maintained some significant operations, but with few
exceptions (ST, Skyworks), most have been relocated to Asia
– In addition, there is a clear trend toward China which now covers 1/3 of global semiconductor
packaging by value, and much higher by units shipped
– China already represents ~55% of PCB manufacturing and SMT assembly
• Due to current political factors (US-China trade war), supply chain issues (pandemic/shipping related),
and rising labor costs concerns, a number of companies (and governments) are reconsidering this “shift”
to Asia manufacturing
$Bn
kc82 0.273bp-ge o migrationpack
$250
Value of Semiconductor Package Assembly Excluding Test
22% Taiwan
$100 20%
$19Bn
5% 10% Korea
11% 11%
10%
$50
41% 25% 23% SEA/RoW
23% 9% 6% Japan
3% 3% 3% Europe
$0 7% 2% 2% Americas
2000 2019 2024
AUTOMOTIVE ELECTRONICS MARKET
AUTOMOTIVE ELECTRONIC CONTENT PER VEHICLE
N421.016rd-bom
$ 4,000
2020 Veh icle Productio n: 69 3.9 2.5 0.8 0
Electronics Value per Vehicle: $2,400 $4,092 $4,626 $7,318 $8,785
$ 3,500 Most of the Most ofthe Niche
market is here growth is here
$3,0 76
Oth er
$3,000
Com pone nts
$2,690
Component Content per Vehicle
PCB
$ 2,500
Con necto rs/
Cables
(exc. Wire Harness)
$ 2,000
Passives
$1,6 58
$1,497 Adv anced
$ 1,500
Sen sors
$ 1,000
$815 Power Semi
$500
ICs and Other
Sem icond ucto rs
$0
Volume ICE Mass Market Luxury Segment Luxury Segment Luxury Segment
Segments EV L2+ Automation EV L2+ Automati on EV L3 Autom ation
M Units
120 kc421.330rd-adasW
Sensor Integration
Level 3-5
100 +2 LR Radar Level 2+
80 with SR Lidar?
The Great
+2 SR Radar Unknown
+1 to 2 LR Radar
60
Level 1
40
1 to 10 Camera Modules
0 to 2 SR Radar Modules
Level 0
0 to 12 Ultrasonic Sensors
20 (With ADAS)
Level 0
0 (No ADAS)
2019 2020 2021 2022 2023 2024 20 25 2026 2027 2028 2029 2030
ADAS ELECTRONICS MARKET
$Bn
kc421.330rd-adas
$25
Off-Sensor Processing
LR Lidar
SR Lidar $21Bn
$20 LR Radar
SR Radar
Camera
$15
$13Bn
$12Bn 1M Units
69M
67M
$5
297M Units
189M 177M
$0
2019 2020 2021 2022 2023 2024 2025
ADVANCED PACKAGING
FLIP CHIP PACKAGING – OVERVIEW
• Flip chip in package continues to be a fast growth solution; 10% CAAGR to 2025
• TCB has become an important solution for select high-end CPU andAP
– Only used at high end, as has been done for years by Intel and Qualcomm
– HiSilicon and MediaTek have adopted as well, but HiSilicon demand has evaporated
• As companies move to sub-7nm nodes, bump pitch will continue to limit the viability of
mass reflow assembly processes
– Use of large and/or thin die and packages exaggerates the need for warpage control
– Use of Embedded Trace Substrates (ETS) also pushes companies to look at
improved assembly processes
– Only notable TCB equipment installation at Intel, Amkor, SPIL, and other OSATs, but
companies reluctant to expand without growing demand
APPLE M1 PROCESSOR
A
• 360µm thick TSMC 5nm M1 processor die (A)
– Effective bump pitch 80-90µm (B) with Laser Assisted B
Bonding (LAB)
– 80µm standoff after assembly
– Underfill (C), TIM (D), heat spreader (E) F
G F
E
H
F I
I
K K
E
A D
B C
G G F
I
K
Photos source: Prismark/Binghamton University 0221.193mvc
© Prismark Partners LLC ½ 29
TCB MARKET PERSPECTIVES
• TCB equipment sales from ASM to Intel have picked up in last few years
– Mainly due to Intel adding capacity to support growth and EMIB transition
– Intel installed quite a few TCB tools in Oregon, and may eventually be bigger than China, but still
<100 tools
– Vietnam is the main TCB site now, and Malaysia is significant as well
– Intel New Mexico site will be mostly mid-end, but actually still unknown. Photonic module
assembly already done at that site
– ASM makes the tool for chip to wafer for FOVEROS
– LAB is not a suggested path according to ASM, which worked along with many others and came to
the conclusion that solder joint integrity problems of LAB cannot be resolved
621.261bp
Korea
– ASM also working with TSMC on 70mm interposer using TCB bonding
© Prismark Partners LLC ½ 30
AMD RYZEN 5800H PACKAGE
• 25 x 35mm FCBGA
– 4-2-4 Layer count using SAP/ABF
– 1.3mm mounted height
– Corner bond for warpage control
• 2.5D / Si Interposer
– Current Users and Products: AMD GPU, Nvidia GPU, Xilinx (FPGA), Google (TPU),
Intel (Networking), Broadcom (Networking), HiSilicon (Networking / CPU), Fujitsu
(CPU / AI), NEC (CPU / AI), Enflame (CPU / AI), and Baidu (CPU / AI)
– Potential Future Users: Any leading-edge CPU / GPU / AI, but expect most growth
from above companies
– Current Manufacturers and Trade Names: TSMC CoWoS, Samsung I-Cube, but also
notable support from SPIL, ASE, JCET, and Amkor
– Potential Manufacturers and Trade Names: Most OSATs use 2.5D / Si Interposer
– 2020 Market Size: 5M Packages, but only a few customers (AMD, Nvidia,
Google/Broadcom) with >100K units per month
SUMMARY OF HIGH-DENSITY PACKAGES (2)
• FO-MCM (Chip-First)
– Current Users and Products: MediaTek/Nephos and HiSilicon Networking components
– Potential Future Users: Any company using 2.5D today plus Apple
– Current Manufacturers and Trade Names: TSMC InFO_oS, ASE FOCoS/aFOCoS
– Potential Manufacturers and Trade Names: SPIL, JCET XDFOI
– 2020 Market Size: 3.5M Packages
• FO-MCM (Chip-Last)
– Current Users and Products: None
– Potential Future Users: Apple, AMD, Nvidia, Broadcom, MediaTek, and more
– Potential Manufacturers and Trade Names: Amkor SWIFT, JCET 2.5DFOI, TSMC
CoWoS-R, ASE FOCoS, SPIL
SAMSUNG 2.5D RDL INTERPOSER PACKAGE
Source: Samsung
HYBRID BONDING
• Face-to-Face (F2F) or face-to back (F2B) bonding using Cu-Cu hybrid bonding has been
viewed as the next-generation flip chip for over a decade
– Advantages of pitch, bandwidth, and power consumption are clear
• AMD announced use of Cu-Cu hybrid bonding with TSV for next generation Ryzen CPU
– “Direct Copper-Copper Bond with L3 Cache stacked over L2 on die cache”
– "TSV for chip to chip communication" TSV to access back/top of logic die to the memory (F2B)
– First product will be "7nm Zen 3-based Ryzen processors…production end of 2021”
Source: AMD
INTEL PACKAGE TRENDS
Source: Intel
HYBRID BONDING – EQUIPMENT AND PROCESSING
• Equipment Solutions
– EVG is already entrenched with Xperi DBI process used in volume for image sensors.
EVG provides equipment for die preparation and bonding
– EVG and ASMPT announced joint development to co-develop equipment and process
for next generation (e.g. logic and/or memory) hybrid bonding
– BESI and Applied Materials with recent partnership
– Suss and SET development partnerships
Material / Process
Package Type Examples Leading Developers Opportunities
eWLB, M-series, RDL, Liquid or Granular
FO-Small Die PLP ASE/SPIL, Amkor, JCET, NEPES Compression Mold
Embedded Bridge EMIB, FO-EB, Intel, SPIL, TSMC RDL, Underfill, Substrates
InFO_LSI
InFO_OS, FOCoS,
FO-MCM SWIFT TSMC, ASE/SPIL,JCET, Amkor Microbump, RDL, Underfill
M Packages
Package Leading Design Houses
Type Examples Applications 2020 2019 2020 2025 Comments
Qualcomm, MediaTek,
eWLB, M-series, Low end RDL
FO-Small Die PMIC, TrX, Codec Samsung, NXP, Infineon, 650 660 1,500
PLP needs
others
Dominated by
FO-POP InFO_PoP Mobile AP Apple 246 250 400
Apple/TSMC
CPU, GPU, FPGA, Intel EMIB
EMIB, FO-EB,
Bridge Chip Networking Intel, others? 2 2.5 200+ gaining
InFO_LSI
Processor/ASIC traction
Notable
Networking
FO-MCM FOCoS, InFO_oS HiSilicon, MTK/Nephos 4 3.5 15 interest,
Processor/ASIC
yield issue
Nvidia, Google, XilinX,
GPU, Networking, Best solution,
2.5D CoWoS Broadcom, AMD, Intel, 4 5 12
ASIC, AI, CPU high cost
HiSilicon, Fujitsu, NEC
CPU chiplet + I/O Intel, Samsung, and
3D Logic Foveros, SoIC 0 5 100++ Early stages
die others (AMD) with TSMC
421.428d
© Prismark Partners LLC ½ 47
SILICON PHOTONICS ADOPTION
• Silicon Photonics has been discussed for decades, and in the past 2-3 years has become
a notable business
– 2019: 3M transceiver using SiPh (25% of the 100G+),
– 2020: 4M+ transceiver using SiPh with increasing number of players beyond Intel and
Cisco with competitive offerings
– 2025: >20 million transceiver units using SiPh (>50% of the 100G+)
• Known players offering SiPh (with attempt to put largest players first):
– Intel, Cisco/Luxtera, Cisco/Acacia, Inphi, Caliopa/Huawei, Juniper, Finisar/II-VI,
Rockley, Ciena, Broadcom, Mellanox/Nvidia, Skorpios, Infinera, Hisense Broadband,
ColorChip, Ranovus, Elenion (Molex, Jabil, Alibaba), Accelink Technology, Gigalight,
Broadex Technologies, and Zhongji Innolight
• Dozens of other players including consortia (Fraunhofer, AIM, IMEC, Shanghai Industrial
µTechnology Research Institute, etc.), chip suppliers (TSMC, ST, MACOM, Hamamatsu,
etc.), and EMS/OSAT working with the above
SUBSTRATE
MARKET DEVELOPMENT
PACKAGE SUBSTRATE MARKET
$Bn
N921.081bp-submkt
$18
FCCSP/FC-BOC Module $16.2Bn
$16 FCPGA/LGA/BGA WB PBGA/CSP
$2.45 Bn
$14
$13.0Bn
+27% $1.70 Bn $2.78 Bn
$12
$10.2Bn
$10 $2.62 Bn
+25% $1.26Bn
$8.64Bn $3.25 Bn
$0.96Bn
$8.14B n
$8 $7.55Bn $2.19Bn
$1.04Bn
$0.93Bn $2.63 Bn
$2.5Bn
$6 $1.98Bn
$2.05Bn $2.11Bn
$1.0Bn
$4 $1.80Bn
$1.67Bn $7.72Bn
$4.61Bn $6.05 Bn
$4.2Bn
$2 $2.90Bn $3.32Bn
$0
2011 2018 2019 2020 2021E 2025F
SUBSTRATE MARKET: WHAT CHANGED?
• Several factors lead to strong growth in 2020, and will continue in 2021-2025
– Increased system unit demand
– Larger and more complex packages
– Higher selling prices (for the same product)
• Selling prices for all substrates, but notably FCBGA have increased
– Prices paid for same substrate last year can be 20-30% higher
– Customers have been rumored to offer paying higher prices just to secure capacity
2021 PACKAGE SUBSTRATE MARKET
• “Supply Shortage” has been a frequently used term in semiconductors during 2021
– Starting from 2019, larger and more complex packages using chiplets have pushed
the limits or current substrate capabilities, resulting in low yields
– These low yield, high layer, large packages require significant capacity allocation
– Select advanced package substrate equipment such as steppers, laser drilling, and
advanced plating tools have had long lead times since Q2 2020
– FCBGA suppliers have raised prices and/or have turned down orders
– Lead times to major customers have been reported as long as 12 months in 1H 2021
– Semiconductor companies continue to look for alternative sources for FCBGA
substrates: Zhen Ding, Founder ACCESS, Daeduck, Korea Circuit, Chip AI, Shennan
plus at least two other PCB/substrate players eyeing the FCBGA market, often driven
by customer requests
APPLICATION DRIVERS OF SUBSTRATE MARKET
Subtractive or
Digital Modules 8 – 30mm Wire bond 2–6 30 – 75µm
thin core build-up
Note: 2.5D, FO-MCM, and EMIB constructions will continue to use FCBGA substrates
FCBGA SUBSTRATE MARKET
2019-
Process Typical Layer 2021
Package Types Technology L/S (µm) Count 2019 2020 2021F CAAGR
FCBGA/LGA (MPU ,GPU) SAP 8-12 6-20+ $3.3 $4.6 $5.8 32%
RYZEN 5800H – SUBSTRATE
M Units CAAGR
kc321.081bp-device type vol 2020-2025
2,000
5.2%
Leading Edge CPU FPGA Leading Edge CPU/
1,726M GPU/ASIC/AI 82.1%
(Embedded Bridge)
High-end ASIC/FPGA/AI/Auto (2.5D, FOMCM) 23%
17.1%
(35mm-50mm) Gaming GPU 5.2%
1,500 1,429M Server CPU (Std. and Adv.) (>50mm) -5%
1,338M (35mm-55mm, >65mm)
1,000
Chipset, (PC, server and Gaming) 5.1%
ASIC/FPGA/AI/Auto 6.6%
500
Networking/Gaming/Consumer
6.2%
0
FCBGA SUBSTRATE VALUE BY DEVICE TYPE
$M CAAGR
9,000 k c321.081bp -device typ e 2020-2025
10.8%
8,000 $7,720M
7,000
Leading Edge C PU FPGA
(Embedd ed Bridge) 76.3%
6,000 $5,767M
Leading Edge CPU/GPU/ASIC/A I
(2.5D ,FOMCM) 25%
5,000 $4,616M
High-end ASIC/FPGA/AI/Auto
(35mm-50mm) 14.7%
4,000 Gaming GPU (>50mm) 7%
$3,318M Server CPU (Std. and Adv.) -9.5%
(35mm-55mm, >65mm)
3,000 Desktop/Notebbok CPU 0.5%
• TSMC N7 Enhanced
– ~22x16mm die
– 15.3Bn transistors
• Baidu Kunlun
Source: MediaTek
Intel EMIB Package Connecting GPU to HBM using Embedded Silicon Bridge in Substrate
FCCSP SUBSTRATE MARKET
2019-
Process Typical Layer 2021
Package Types Technology L/S (µm) Count 2019 2020 2021F CAAGR
• FCCSP substrates are mostly used in mobile phones, tablets, and other portable electronics
for device packaging, such as:
– Mobile phone and tablet AP
– Mobile phone and tablet baseband
– PMIC and transceiver
– Processors forportable and wearable electronics
– Others
• For the past few years, FCCSP substrate volume demand has continued to increase, but
market value has declined since 2014, due to:
– Layercount reduction
– Body size shrinkage
– Emerging technologies, like WLCSP and FO-WLP, to replace FCCSP packages for AP,
PMIC, baseband, etc.
– Cryptocurrency mining ASIC helped to stabilize the FCCSP substrate market in 2018
• 5G smartphones introduced in 2019 improved demand for FCCSP substrates
– Future FCCSP substrate growth will be mostly driven by 5G smartphones, wearables,
and other smart consumer electronics
• Advanced 3D-TSV packaging can also use FCCSP substrates
• Modified semi-additive processing and embedded trace substrate (ETS) technologies are
widely used to achieve fine line and space in FCCSP substrates
SAMSUNG EXYNOS 2100 – BUMPS AND SUBSTRATE
• Wire bonding is the oldest packaging technology but is still widely used, especially for
leadframe packages.
• In the past 10 years, wire bonding technology transitioned from Au wire bonding to Cu wire in
order to reduce packaging materials costs.
• Today, the usage of wire bond PBGA packaging technology is gradually being replaced by
other packaging technologies, such as WB CSP, FCBGA, FCCSP, or WLCSP package in
order to reduce cost, shrink the form factor, or to accommodate higher I/Os
• Some logic devices used in computer, consumer, automotive, or industrial applications
continue to use WB PBGA, but demand is declining
• Leading WB PBGA substrate suppliers include Unimicron, Nan Ya PCB, ASE Material,
TCI, LG Innotek, and others
• WB PBGA substrates are used by OSAT companies, such as ASE, SPIL, Amkor, JCET,
etc., and the semiconductor device suppliers include STMicro, Via, TI, Infineon, etc.
• MGC is the leading substrate material supplier
NAND PLUS CONTROLLER – WIRE BOND
*Major users also include OSATs: ASE, Amkor, SPIL, STATS ChipPAC, and PowerTech
2019-
Process Typical Layer 2021
Package Types Technology L/S (µm) Count 2019 2020 2021F CAAGR
mSAP
FC, WB Modules (RF, SiP) 25-50 2-7 $1.0 $1.3 $1.5 21%
Subtractive
SiP/MODULE FORECAST
2020 2025F
Product / Package Type (Bn Units) (Bn Units) Fabless/IDM OSAT/Module
PA-Centric RF SiP 5.3 6.0 Broadcom, Murata, Qorvo, Amkor, ASE, HEG, Inari,
Filter-Centric RF SiP 2.6 4.8 Skyworks, RF360 JCET/STATS, ShunSin,
AiP 0.08 0.8 Qualcomm, Apple ASE/SPIL, Amkor,JCET/STATS
Connectivity SiP Apple, Broadcom, MediaTek, Dialog, ALPS, AcSiP, ASE/USI, Murata,
1.5 2.1
(Bluetooth/WLAN) Qualcomm, TI, Samsung SEMCO, Taiyo Yuden
FC GaAs PA
620.6/241mvc
TFP SAW Filter
Stacked IPD Die and 4L HDI
c
v
m
0
3
4
/
2
5
1
.
0
2
0
1
Photos source: Prismark/Binghamton University D
1120.152/430mvc
PACKAGE SUBSTRATE SUPPLY BASE
SAP Share
Ibiden 24%
2020
Unimicron 20%
Shinko 17%
Subtractive Nan Ya PCB 13%
$2.60 AT&S 6%
WB BGA/CSP SEMCO 5%
$1.80 FC BGA/
LGA/PGA Kyocera 5%
$4.60 Kinsus 5%
Toppan Printing 3%
Module
$0.80 mSAP/ETS Share
SAP
$4.60 Simmtech 18%
Module SEMCO 17%
$0.40 Unimicron 16%
LG Innotek 12%
GDDR/ Daeduck Group 10%
LPDDR/
NAND Kinsus 10%
$0.95 Others 17%
e
bas
pply
1bp-su
.08
221
MSAP/ETS FCCSP kc0 Subtractive Share
$3.00 $1.70 ASE Material, Nan Ya, 10-12%
Unimicron each
Shennan, SIMMTECH,
Daeduck, SEMCO 5-10%
each
TOTAL: $10.2Bn Korea Circuit, Kinsus
PACKAGE SUBSTRATE SUPPLIER REVENUES
2020E /
(US$M) 2019 2019 / 2018 2020E 2019 Product Focus
Unimicron $1,340 15% $1,635 22% FCBGA, FCCSP, Wire Bond, Module
Ibiden $977 9% $1,240 27% FCBGA, FCCSP
SEMCO $889 31% $1,092 23% FCBGA, FCCSP, Wire Bond, Module
Nan Ya PCB $691 14% $945 37% FCBGA, FCCSP, Wire Bond, Module
Shinko $655 5% $876 34% FCBGA, FCCSP
Simmtech $617 -4% $728 18% FCCSP, Memory
Kinsus $525 -8% $669 27% FCBGA, FCCSP, Module
Daeduck $434 3% $450 4% Memory
LG Innotek $315 6% $382 21% FCCSP, Memory
AT&S $169 41% $300 77% FCBGA
ASE Material $253 -6% $282 11% Wire Bond
Kyocera $271 0% $281 3% FCBGA
Shennan Circuit $169 19% $211 25% Wire Bond, Module
Korea Circuits $113 -9% $178 58% Wire Bond
Toppan Printing $102 14% $136 33% FCBGA
Global Market $8,239 8% $10,188 25%
PACKAGE SUBSTRATE REVENUES: TOP 15 SUPPLIERS
2020/ Q2 Q2 2021/ H1 2021/ Q2 2021/
2020
Company 2019 2021 Q1 2021 H1 2020 2020 Avg.
Unimicron $1,635 22% $513 12% 24% 26%
Ibiden $1,240 27% $427 10% 50% 38%
Nan Ya PCB $945 37% $339 21% 52% 43%
SEMCO $1,092 23% $275 12% 3% 1%
Shinko $876 34% $271 11% 27% 24%
Kinsus $669 27% $234 24% 36% 40%
Simmtech $720 17% $221 14% 18% 23%
Daeduck Group $449 3% $138 11% 23% 23%
LG Innotek $382 21% $120 0% 42% 26%
AT&S $315 86% $108 4% 82% 37%
ASE Material $282 11% $86 14% 22% 22%
Kyocera $281 3% $81 3% 25% 15%
Shennan Circuit $223 32% $65 16% 9% 17%
Young Poong Group $159 41% $54 22% 30% 36%
Zhen Ding $92 52% $43 27% 101% 87%
Total $9,360 25% $2,976 13% 31% 27%
Strong YoY growth in 2020 and 2021 for most every player
FCBGA driving a large portion for those in BOLD
FCBGA SUBSTRATE EXPANSION
Company Production Location Investment Plan
$1.2Bn in Ogaki Central, started production in late 2020. $1.6Bn
Ibiden Ogaki Central, Ogaki, and Gama, Japan investment in Gama by 2023
Shanying, Yangmei, and Hsinfeng, >$1.5Bn in 4 years, Yangmei by end 2021, Shangying expansion in 2021.
Unimicron
Taiwan; and Suzhou, China Suzhou, Hsinfeng, and other factories to strengthen non-Intel products
Shinko Takaoka, Wakaho, and Arai, Japan Invested $500M and started FCBGA production at Takaoka in 1H 2021
SEMCO Daejeon and Busan, Korea >$380M CAPEX to add 20 to 30% of FCCSP, FCBGA, and AiP
Fujitsu (FICT) Nagano, Japan Large size FCBGA substrates as expansion plan for FY2021
Korea Circuit Ansan, Korea Expand from 1,000 to 4,000m 2/month capacity in 2021
Access Zhuhai, Nantong, China Invest and expand FCBGA production in Nantong
$1.0Bn to establish FCBGA production capacity with 200M units annual
Shennan Circuit Kuangzhou, China capacity
Xin Ai Nanjing, China Total FCBGA substrate investment plan is $1.5Bn
Zhen Ding Technology Shenzhen No.2 and Qinhuangdao $1.5Bn to add FCCSP, FCBGA, and AiP substrate capacity,
FPC MARKET OVERVIEW
FPC MARKET GROWTH
$M Growth Rate %
$16,000 kc521.039skc-fpctrend
40%
$15,000 2020-2025
CAAGR 4.2%
$14,000
30%
$13,000
$12,000
$11,000 20%
$10,000
$9,000
$8,000 10%
$7,000
$6,000
0%
$5,000
$4,000
$3,000 -10 %
$2,000
$1,000
0 -20 %
2003 2004 2005 2006 200 7 2008 2009 2010 2011 2012 2013 2014 2015 2016 2017 2018 2019 2020 2021E 2025F
Strong growth from 2003 to 2004 due to cell phone and display applications
Substantial capacity expansion in 2004 and 2005 led to severe competition and ASP erosion
Continued growth of FPC, driven by computer, communication and display applications
Very strong growth in 2017 due to the adoption of high value-added rigid/flex for OLED displays
FPC demand weakening in 2018 and 2019 due to stagnant smartphone market
FPC PCB MARKET APPLICATION
2019 2020 2025F
Industrial Industrial Military/Aerospace Industrial Medical Military/Aerospace
1.5% MedicalMilitary/Aerospace 1.4% Medical 2.7% 1.4% 2.5%
2.6% 1.4%
1.5% 1.4%
Automotive PCs Automotive PCs Automotive PCs
6.6% 18.8% 5.8% 21.0% 6.4% 19.6%
Server/ Server/
Consumer Data Consumer Server/ Consumer Data
15.0% Storage 14.5% Data 14.1% Storage
Wireless 4.1% Wireless Storage
4.1%
Infrastructure Infrastructure 4.2% Wireless
Oth er Infrastructure Other
0.6% 0.5% Oth er
Computer 0.5% Comput er
Wir ed 4.6% Wir ed Computer 4.0%
Infrastructure Infrastructure 4.6%
kt
cm
-fp
1.1% 1.1%
kc
Wired
4s
04
Infrastruc ture
1.
52
kc
1.0%
Mobile Phones Mobile Phones Mobile Phones
43.5% 42.8% 45.0%
• Currently, the FPC market has a few important changes and developments:
1. Broader adoption of low-loss materials to fabricate multilayer FPC circuits, such as
LCP, modified PI, Fluoro Polymer film (FPF), PI (MPI)/FPF film, Pi film with Fluoro
Polymer Power (FPP)
2. Wider adoption of rigid flex circuits in various applications
3. Transparent PI film for OLED display and LED display
• LCP or other low-loss materials are used to fabricate FPC for the following applications:
– Antenna (Apple iPhones)
– Antenna feedline (mmWave antenna module or AiP)
– Antenna circuits for other electronics applications, such as tablets, wearables, etc.
– ADAS radar sensor rigid board
• FPC technology challenges:
– Higher routing throughput (pico laser?)
– Laser via and reliability
– ML FPCs using low-loss materials
• Photosensitive liquid soldermask is only used in selective areas, such as fine pitch
GROWTH OF FPC MARKET BY TECHNOLOGY
(VALUE)
2020/ CAAGR
($M) 2015 2018 2019 2020 2019 2025F 2020E-2025F
March 2021
XIAOMI REDMI K30 ULTRA 5G - FLEX
Sub-6GHZ only: No mmWave AiP module; only MID antenna at top and bottom of phone used
APPLE IPHONE 12 PRO – FLEX
Front Camera/FaceID/
5G mmWave Antenna Speaker/Mic/Sensor
Flex (4) Lidar Flex
Flex
OLED Display
5G mmWave Battery Flex
Flex
Feedline Flex
Wireless Charging/NFC/
Taptic Engine Flex
Button Flex
APPLE iPHONE 11 PRO - ANTENNA FPC
RF
Connector
F
Taptic Engine
Connector
B B B B A
A A
D D
© Prismark Partners LLC
APPLE IPHONE 12 5G mmWAVE ANTENNA
• Three 5G mmWave Antenna
- 1 AiP antenna/transceiver module B
- 2 passive antenna
C
Compared to competitors:
AiP module similar but smaller • Side-Facing AiP Antenna/Transceiver Module (C)
– 4x1 dual-polarized antenna
Other antenna are unique designs – 16L anylayer substrate
– Transceiver and power devices in AiP module
– Connects to rear PCB with low-loss flex circuit
APPLE IPHONE 12 PRO 5G mmWAVE AIP FEEDLINE FLEX
Camera Flex
Flash Flex
USB Port Flex
Main board to
display flex
Display/Touch
PCB
Source: eBay
½ 90
RIGID-FLEX CIRCUIT DEMAND
• Apple is one of the largest users of rigid-flex circuits. The company has used rigid flex for
OLED displays, touch panel interconnect, battery management, AirPods, iPad displays,
and other applications.
• At the peak, Apple alone procured over $1.0Bn of rigid-flex circuits.
• Apple, on the other hand, has dropped demand for rigid flex in multiple applications, such
as the OLED touch panel interconnect circuits.
• Recently, Apple is promoting SiP+FPC to replace rigid flex in multiple applications,
including:
– AirPods Pro in 2019
– iPhone OLED display in 2020
– iPhone battery management in 2021
• For the next 5 years, OLED displays for smartphones are likely to be the key driver of rigid-
flex circuits. Even though Apple has changed some designs to SiP + FPC, Samsung,
Huawei, and other Chinese smartphone OEMs are still interested in rigid- flex circuits for
OLED displays, especially for folding and flip displays.
• Rigid-flex circuit fabrication typically involves mechanical through hole or HDI technologies.
Due to higher interconnect density requirements, HDI build-up layer in the rigid portion has
become a common feature of rigid-flex circuits.
• Additionally, rigid flex circuits are also used in smartphone and tablet systems for improved
reliability.
• Rigid-flex circuits have also become a popular solution for camera modules used in
smartphones, tablets, and automotive applications.
SAMSUNG GALAXY S9
Connector to
Main PCB
SiP
CoF with
Display Driver 2 Flex Circuits
SiP – 1 for OLED display Connector to
Main PCB
– 1 for touch panel
– Each have 1 SiP and 1
connector to main PCB
– No other components
APPLE IPHONE 12 PRO DISPLAY FLEX
• OLED Display Flex
– 4L PI flex
– SiP mounted onto flex
Driver PI Flex SiP
CoF
F E
C C
D
B
H
K Q
ML G I N
O P
Source: Prismark/Binghamton University
R
SAMSUNG GALAXY BUDS
6 2
8
4
5
2020-2025
($M) 2018 2019 2020 2021F 2025F CAAGR
CAAGR
(US$M) 2012 2013 2014 2015 2016 2017 2018 2019 2020 2015-2020
Zhen Ding $1,073 $1,398 $1,730 $2,049 $1,991 $2,967 $3,234 $3,164 $3,490 11%
Nippon Mektron $2,770 $2,693 $3,154 $3,591 $3,212 $3,323 $2,856 $2,555 $2,594 -6%
Dongshan Precision $869 $710 $632 $637 $495 $946 $1,369 $1,739 $2,283 29%
Fujikura $218 $381 $563 $819 $824 $1,099 $1,154 $939 $1,023 5%
FLEXium $376 $459 $430 $570 $595 $853 $884 $840 $1,022 12%
Compeq $195 $254 $209 $476 $544 $731 $725 $853 $875 13%
Young Poong Group $687 $904 $885 $648 $668 $1,253 $729 $836 $872 6%
BH Co $201 $316 $280 $321 $322 $603 $694 $559 $617 14%
Sumitomo Electric
Industries (SEI) $1,184 $1,318 $1,279 $1,616 $1,099 $1,134 $945 $735 $568 -19%
Career Technology $485 $455 $531 $495 $434 $426 $513 $551 $547 2%
Nitto Denko $523 $526 $654 $497 $381 $383 $386 $370 $482 -1%
Si Flex $471 $366 $477 $611 $473 $463 $416 3%
SEMCO $176 $196 $274 $204 $196 $389 $422 $352 $412 15%
Kinwong $47 $60 $93 $147 $148 $195 $226 $326 $366 20%
Unimicron $269 $258 $244 $286 $224 $279 $365 $358 $352 4%
Total $9,073 $9,926 $11,429 $12,720 $11,610 $15,192 $14,976 $14,641 $15,918 5%
THANK YOU!