Professional Documents
Culture Documents
com 3
Semiconductors
F/V & V/F Converters & VCOs . . . . . . . . . . . . . . . 435 Timers ........................................... 570
Filters. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 436 Transistor Arrays . . . . . . . . . . . . . . . . . . . . . . . . . . 571
Gate Drivers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 466 Video & Audio ICs. . . . . . . . . . . . . . . . . . . . . . . . . . 572
Graphic / Image Processors & Sensors . . . . . . 194 Voltage References & Regulators . . . . . . . . . . . 586
Supplier Abbreviations
210491
4000 CMOS
4000 CMOS
4000 CMOS offers low power consumption, high noise immunity and operates over 3V to 15V (18V max.).
413385
Price Each
Mftrs. List No. Mftr. Pins Pkg Function Order Code 1+ 10+ 100+ 250+ 500+
CD4001BE TI 14 DIP Quad 2 Input NOR Gate 110-6096
CD4001BM TI 14 SOIC CMOS Quad 2-Input NOR Gate 112-1596●
HEF4001BP NXP 14 DIP Quad 2 I/p NOR Gate 384-434●
HEF4001BT NXP 14 SOIC Dual 2 Input NAND Gate (Driver) 108-5277●
MC14001BCPG ON 14 DIP Quad 2-Input NOR Gate 966-5455●
MC14001BDG ON 14 SOIC Quad 2-Input NOR Gate 966-6303●
MC14001UBDG ON 14 SOIC Quad 2 Input NOR Gate 119-1820●
HEF4002BP NXP 14 DIP Dual 4 Input NOR Gate 384-471●
HEF4002BT NXP 14 SOIC Dual 4 Input NOR Gate 108-5278●
CD4007UBE TI 14 DIP CMOS Dual Complementary Pair Plus Inverter 112-1597●
HCF4007UBEY ST 14 DIP Dual Complementary Pair plus Inverter 975-5543●
MC14007UBCPG ON 14 DIP 4000 CMOS 966-4556●
MC14007UBDG ON 14 SOIC Dual Comparator Pair Plus Inverter 966-5110●
MC14008BCPG ON 16 DIP 4bit Full Adder 966-5757●
HCF4010M013TR ST 16 SOIC LogIC HEX BUFFER/CONVERTERS 128-8446●
3
4 farnell.com element14.com
4
farnell.com element14.com 5
Price Each
Mftrs. List No. Mftr. Pins Pkg Function Order Code 1+ 10+ 100+ 250+ 500+
HEF4047BT NXP 14 SOIC Monostable/Astable Multivibrator 108-5284●
CD4049UBE TI 16 DIP Hex Inverting Buffer 110-6106●
CD4049UBNSR TI 16 SOP Hex Buffer / Converter 162-0999●
HEF4049BP NXP 16 DIP Hex Inverting Buffer 385-281●
HEF4049BT NXP 16 SOIC Hex Inverting Buffer 120-1290●
MC14049BCPG ON 16 DIP 4000 CMOS 966-4670●
MC14049UBCPG ON 16 DIP 4000 CMOS 966-4688●
MC14049UBDG ON 16 SOIC Hex Inverting Buffer 966-5170●
CD4050BE TI 16 DIP Hex Buffer 110-6108
HCF4050BEY ST 16 DIP Hex Buffer 109-4194●
HEF4050BP NXP 16 DIP Hex Buffer 385-311●
HEF4050BT NXP 16 SOIC Hex Buffer 108-5285●
MC14050BCPG ON 16 DIP Hex Buffer 966-5552●
MC14050BDG ON 16 SOIC Hex Buffer 966-6397●
HEF4051BT NXP 16 SOIC Single 8 Channel Multiplexer 120-1291●
HEF4053BT NXP 16 SOIC Triple 2 Channel Multiplexer 120-1292●
CD4051BE TI 16 DIP Single 8 Channel Multiplexer 110-6109●
CD4051BM TI 16 SOIC Single 8-Channel AnaLog Multiplexer/Demultiplexer, 4000 CMOSLogic 838-8970●
HCF4051BEY ST 16 DIP Single 8 Channel Multiplexer 975-5551●
HEF4051BP NXP 16 DIP Single 8 Chan. Mux 385-347●
HEF4051BT NXP 16 SOIC Single 8 Channel Multiplexer 120-1291●
MC14051BCPG ON 16 DIP AnaLog Multiplexers/Demultiplexers 966-5684●
MC14051BDG ON 16 SOIC AnaLog Multiplexers/Demultiplexers 966-6400●
CD4052BE TI 16 DIP Differential 4 Channel Multiplexer 958-9538●
Semiconductors
HCF4052BEY ST 16 DIP Differential 4 Channel Multiplexer 109-4195●
HEF4052BP NXP 16 DIP Differential 4 Channel Multiplexer 385-360●
HEF4052BT NXP 16 SOIC Differential 4 Channel Multiplexer 108-5286●
MC14052BCPG ON 16 DIP AnaLog Multiplexers/Demultiplexers 966-5692●
CD4052BPWR TI 16 TSSOP Differential 4-Channel Analog Multiplexer/Demultiplexer 162-1016●
CD4053BE TI 16 DIP Triple 2 Channel Multiplexer 110-6110●
CD4053BM TI 16 SOIC Triple 2-Channel AnaLog Multiplexer/Demultiplexer, 4000Logic 838-8989●
HEF4053BT NXP 16 SOIC Triple 2 Channel Multiplexer 120-1292●
MC14052BDG ON 16 SOIC AnaLog Multiplexers/Demultiplexers 966-6419●
MC14053BCPG ON 16 DIP 4000 CMOS 966-4696●
MC14053BDG ON 16 SOIC AnaLog Multiplexers/Demultiplexers 966-6427●
HEF4059BP NXP 24 DIP Programmable Divide-by-N Counter 385-402●
CD4060BE TI 16 DIP 14 Stage Ripple Carry Binary Counter 110-6111● 1
HCF4060BEY ST 16 DIP 14 Stage Ripple Carry Binary Counter 120-6492●
HEF4060BP NXP 16 DIP 14 Stage Ripple Carry Binary Counter 385-438●
HEF4060BT NXP 16 SOIC 14 Stage Ripple Carry Binary Counter 120-1294●
413419
Price Each
Mftrs. List No. Mftr. Pins Pkg Function Order Code 1+ 10+ 100+ 250+ 500+
CD4066BE TI 14 DIP Quad Bi-Lateral Switch 110-6112●
HEF4066BP NXP 14 DIP Quad Bi-Lateral Switch 385-475●
HEF4066BT NXP 14 SOIC Quad Bi-Lateral Switch 108-5287●
MC14066BCPG ON 14 DIP 4000 CMOS 966-4700●
MC14066BDG ON 14 SOIC Quad AnaLog Switch/Quad Multiplexer 966-6443●
HEF4067BP NXP 24 DIP 16 Channel AnaLogue Multiplexer/Demultiplexer 385-499●
MC14067BDWG ON 24 SOIC 4000 Series CMOSLogIC (SO) 966-4882●
HEF4068BP NXP 14 DIP 8 Input NAND Gate 385-505●
CD4069UBE TI 14 DIP Hex Inverter 110-6113●
HCF4069UBEY ST 14 DIP Hex Inverter 975-5560●
HEF4069UBP NXP 14 DIP Hex Inverter 385-530●
HEF4069UBT NXP 14 SOIC Hex Inverter 120-1295●
MC14069UBCPG ON 14 DIP Hex Inverter 966-5579●
MC14069UBDG ON 14 SOIC Hex Inverter 966-6451●
CD4070BE TI 14 DIP Quad Exclusive OR Gate 110-6114●
CD4070BM TI 14 SOIC Quad EXOR Gate, 4000 CMOSLogic 838-8997●
HEF4070BP NXP 14 DIP Quad Exclusive OR Gate 385-566●
HEF4070BT NXP 14 SOIC Quad Exclusive OR Gate 108-5288●
MC14070BCPG ON 14 DIP Quad XOR Gate 966-5714●
MC14070BDG ON 14 SOIC Quad XOR Gate 966-6460●
CD4071BE TI 14 DIP Quad 2 Input OR Gate 110-6115●
HEF4071BP NXP 14 DIP Quad 2 Input OR Gate 385-591●
HEF4071BT NXP 14 SOIC Quad 2 Input OR Gate 108-5289●
MC14071BCPG ON 14 DIP Quad 2-Input OR Gate 966-5587●
MC14071BDG ON 14 SOIC Quad 2-Input OR Gate 966-6478●
HEF4072BP NXP 14 DIP Dual 4 Input OR Gate 385-610●
HEF4073BP NXP 14 DIP Triple 3 Input AND Gate 385-633●
MC14073BCPG ON 14 DIP 4000 CMOS 966-4726●
CD4073BE TI 14 DIP CMOS Triple 3-Input AND Gate 112-1603●
HEF4075BP NXP 14 DIP Triple 3 Input OR Gate 385-657●
MC14076BCPG ON 16 DIP 4-Bit D-Type Register With Three-State Outputs 966-6630●
CD4077BM TI 14 SOIC CMOS Quad Exclusive-NOR Gate 112-1604●
HCF4077BEY ST 14 DIP Quad Exclusive NOR Gate 109-4199●
HEF4077BP NXP 14 DIP Quad Exclusive NOR Gate 385-694●
MC14077BDG ON 14 SOIC Quad Exclusive NOR Gate 966-6486●
5
6 farnell.com element14.com
6
farnell.com element14.com 7
Price Each
Mftrs. List No. Mftr. Pins Pkg Function Order Code 1+ 10+ 100+ 250+ 500+
HEF4543BP NXP 16 DIP BCD to 7 Segment Latch/Decoder/Driver for LCDs 386-390●
MC14543BCPG ON 16 DIP 4000 CMOS 966-4815●
MC14543BDG ON 16 SOIC 4000 Series CMOSLogIC (SO) 966-4939●
MC14551BCPG ON 16 DIP Quad 2-Channel AnaLog Multiplexer/Demultiplexer 966-5072●
MC14551BDG ON 16 SOIC Quad 2-Channel AnaLog Multiplexer/Demultiplexer 966-5242●
HEF4555BP NXP 16 DIP Dual Binary-to-1 of 4 Decoder (Active High Outputs) 386-431●
MC14555BCPG ON 16 DIP Dual Binary to 1-of-4 Decoder/Demultiplexer 966-5277●
MC14555BDG ON 16 SOIC Dual Binary to 1-of-4 Decoder/Demultiplexer 966-5250●
HCF4556BEY ST 16 DIP Dual Binary-to-1 of 4 Decoder/Demultiplexer (Inverting) 975-5594●
MC14556BCPG ON 16 DIP Logic CMOS Decoder/Demultiplexer 1-4 966-4823●
MC14569BCPG ON 16 DIP Programmable Dual Binary/BCD Counter 119-1831●
MC14572UBCPG ON 16 DIP Hex Gate 966-5080●
MC14584BCPG ON 14 DIP Hex Schmitt Trigger 966-5099●
MC14584BDG ON 14 SOIC Hex Schmitt Trigger 966-5102●
HCF4585BEY ST 16 DIP 4 Bit mAgnitude Comparator 312-0284▲
HEF4585BP NXP 16 DIP 4 Bit mAgnitude Comparator 386-522●
MC14585BCPG ON 16 DIP 4000 CMOS 966-4831●
MC14585BDG ON 16 SOIC 4 Bit mAgnitude Comparator 119-1839●
HCF40103BEY ST 16 DIP 8 Bit Synchronous Binary Down Counter 975-5888●
CD40106BE TI 14 DIP Hex Schmitt Trigger 110-6097
CD40106BM TI 14 SOIC Hex Schmitt Trigger 958-9520●
HCF40106BEY ST 14 DIP Hex Schmitt Trigger 975-5608●
HEF40106BP NXP 14 DIP Hex Schmitt Trigger 386-662●
HEF40106BT NXP 14 SOIC Hex Schmitt Trigger 120-1301●
Semiconductors
CD40147BE TI 16 DIP 10-to-4-Line BCD Prority Encoder, 4000 CMOSLogic 386-704●
CD40174BE TI 16 DIP Hex D Flip/Flop 959-4540●
HEF40244BP NXP 20 DIP Octal Buffers With Tri-State Outputs 386-820●
CD40257BE TI 16 DIP CMOS Quad 2-Line-to-1-Line Data Selector/Multiplexer 112-1600●
7
8 farnell.com element14.com
ed. By bringing all these features together into a single product line, the GAL family is ideally suited to replace TTL random logic, low-density gate arrays, and all other programmable logic.
The GAL family offers the benefits of reduced system cost, product size and power requirements, as well as higher reliability and greater simplified system design.
210582
210923
P’gation Supply
Delay Current Price Each
Mftr. Description (ns)max (mA)max Mftrs. List No. Order Code 1+ 10+ 100+
16LV8 LAT CMOS EEPLD, Low Voltage 3.3V, 16 i/p, 8 prog. o/pLogic mAcrocells . . . . . . . 8 70 GAL16LV8D-5LJN 969-9686●
16V8 LAT CMOS EEPLD, Low Power, 16 i/p, 8 prog., o/pLogic mAcrocells . . . . . . . . . . . 7.5 115 GAL16V8D-7LJN 969-9732●
16V8 LAT CMOS EEPLD, Low Power, 16 i/p, 8 prog., o/pLogic mAcrocells.. . . . . . . . . . . 7.5 115 GAL16V8D-7LPN 969-9740●
16V8 LAT CMOS EEPLD - 16 i/p, 8 prog. o/pLogic mAcrocells. . . . . . . . . . . . . . . . . . . . . 10 115 GAL16V8D-10LPN 110-1471●
16V8 LAT CMOS EEPLD - 16 i/p, 8 prog. o/pLogic mAcrocells. . . . . . . . . . . . . . . . . . . . . 15 90 GAL16V8D-15LJN 969-9708●
16V8 LAT CMOS EEPLD, Quarter Power, 16 i/p, 8 prog., o/pLogic mAcrocells . . . . . . . . . 15 55 GAL16V8D-15QJN 969-9783●
16V8 LAT CMOS EEPLD, Quarter Power, 16 i/p, 8 prog., o/pLogic mAcrocells . . . . . . . . . 25 55 GAL16V8D-25QJN 969-9775●
16V8 LAT GAL16V8 compatible CMOS, Zero Standby Power, Erasable PLD . . . . . . . . . . . 15 100 GAL16V8Z-15QP 271-652
20RA10 LAT CMOS EEPLD, High Speed Asynchronous, 20 i/p, 8 prog. o/pLogic mAcrocells 15 100 GAL20RA10B-15LP 332-7413
20RA10 LAT CMOS EEPLD, High Speed Asynchronous, 20 i/p, 8 prog. o/pLogic mAcrocells 20 100 GAL20RA10B-20LP 332-7425
20V8 LAT CMOS EEPLD, 20 i/p, 8 prog. o/pLogic mAcrocells, Quarter Power . . . . . . . . . 25 55 GAL20V8B-25QP 332-7462
20V8 LAT CMOS EEPLD, 20 i/p, 8 prog. o/pLogic mAcrocells . . . . . . . . . . . . . . . . . . . . . 15 90 GAL20V8B-15LJN 110-1465●
20V8 LAT CMOS EEPLD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25 90 GAL20V8B-25LJN 110-1469●
20V8 LAT CMOS EEPLD, 20 i/p, 8 prog. o/pLogic mAcrocells, Quarter Power . . . . . . . . . 25 55 GAL20V8B-25QP 332-7462
20V8 LAT CMOS EEPLD, 20 i/p, 8 prog. o/pLogic mAcrocells, Quarter Power . . . . . . . . . 25 55 GAL20V8B-25QP 332-7462
20V8B LAT E2 CMOS PLD Generic Array Logic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5 90 GAL20V8B-25LPN 135-9296●
20V8C LAT E2CMOS PLD Generic Array Logic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7.5 115 GAL20V8C-7LJN 157-1922●
22V10 LAT E2CMOS PLD Generic Array Logic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4 140 GAL22V10D-4LJN 157-1923●
22V10 LAT E2CMOS PLD Generic Array Logic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5 140 GAL22V10D-5LJN 157-1924●
22V10 LAT CMOS EEPLD, Low Power, 22 i/p, 10 prog., o/pLogic mAcrocells . . . . . . . . . . 7.5 140 GAL22V10D-7LJN 969-9805●
22V10 LAT CMOS EEPLD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15 130 GAL22V10D-15LJN 969-9635●
22V10 LAT CMOS EEPLD - 22 i/p, 10 prog. o/pLogic mAcrocells. . . . . . . . . . . . . . . . . . . . 15 130 GAL22V10D-15LPN 969-9643●
22V10 LAT CMOS EEPLD, Quarter Power, 22 i/p, 10 prog., o/pLogic mAcrocells. . . . . . . . 15 55 GAL22V10D-15QPN 969-9821●
22V10 LAT CMOS EEPLD - 22 i/p, 10 prog. o/pLogic mAcrocells. . . . . . . . . . . . . . . . . . . . 25 130 GAL22V10D-25LPN 969-9651●
22V10 LAT CMOS EEPLD, Quarter Power, 22 i/p, 10 prog., o/pLogic mAcrocells . . . . . . . . 25 55 GAL22V10D-25QJN 969-9813●
22V10 LAT CMOS EEPLD - 22 i/p, 10 prog. o/pLogic mAcrocells. . . . . . . . . . . . . . . . . . . . 25 55 GAL22V10D-25QPN 969-9660●
8
farnell.com element14.com 9
Semiconductors
The ATF22V10CQZ provides a zero power CMOS PLD solution with 5V operating voltages, powering down automatically to the zero power-mode through Atmel’s patented Input Transition De-
tection (ITD) circuitry when the device is idle, offering zero (25 μA worst case standby power.
502135
1
NanoBoard 3000 Desktop NanoBoard NB2
Altium’s NanoBoard 3000 provides the Altium’s Desktop NanoBoard NB2 is
perfect entry-point to explore the world of a unique, reconfigurable hardware
12-401-DB46
9
10 farnell.com element14.com
The Altera Quartus II design software 12-404-PB02 Mass Storage Peripheral Board 171-4424
provides a complete, multiplatform de- 12-404-PB03 USB / IrDA / Ethernet Peripheral Board 171-4425
sign environment that easily adapts to
your specific design needs. It is a com-
prehensive environment for system-on-a-programmable- TROUBLESHOOTING TIPS
chip (SOPC) design. The Quartus II software includes solu-
tions for all phases of FPGA and CPLD design. In addition, Chat online to one of our
the Quartus II software allows you to use the Quartus II graphical user interface and com- technical engineers at farnell.com
Semiconductors
mand-line interface for each phase of the design flow. You can use one of these interfaces
for the entire flow, or you can use different options at different phases.
662287
ACEX™ 1K FPGAs
ACEX 1K devices provide a die-efficient, low-cost architecture by combining look-up table architecture with embedded array blocks. LUT-
based logic provides optimized performance and efficiency for data-path, register intensive, mathematical, or digital signal processing de-
signs, while EABs implement RAM, ROM, dual-port RAM, or first-in first-out (FIFO) functions. These elements make ACEX 1K suitable for
complex logic functions and memory functions such as digital signal processing, wide data-path manipulation, data transformation and
1 microcontrollers, as required in high-performance communications applications.
503997
Integrated Circuits & Development Kits
10
farnell.com element14.com 11
Semiconductors
programmed and erased up to 100 times.
The MAX 7000 family provides programmable speed/power optimisation. Speed-critical portions of a design can run at high speed/full power, while the re-
maining portions run at reduced speed/low power. MAX 7000E and MAX 7000S devices also provide an option that reduces the slew rate of the output buff-
ers, minimizing noise transients when non-speed-critical signals are switching.
503927
11
12 farnell.com element14.com
Altera’s MAX姞 II Development Kit comes with a complete design environment. The kit en-
ables users to evaluate the MAX II feature set or begin prototyping a design prior to receiv-
Semiconductors
Altera’s MAX姞 II Development Kit comes with a complete design environment. The kit en- ing custom hardware.
ables users to evaluate the MAX II feature set or begin prototyping a design prior to receiv- Leap Electronics design board is programmable so the operation can be changed using
ing custom hardware. It includes all software, cables, and accessories needed to ensure only software alterations, instead of the constant design and manufacturing of small cir-
an easy and productive evaluation of the MAX II CPLD. cuits using a number of logical devices on a bread board.
This value pack allows the user to Weigh Costs against features, benefits and perform-
Contents:
ance.
Ì MAX II Development Board Ì Cables and accessories 498742
Ì Quartus® II Web Edition design software Ì Reference designs and demos for MAX II Mftrs. List No. Order Code Price Each
478358
MAX-CPLD PROMO 152-6458●
Mftrs List No. Price Each
List No. Order Code 1+
DK-MAXII-1270N 142-8132●
cations such as bus bridging, I/O expansion, power-on reset (POR) and sequencing control, and device configuration control.
503960
12
farnell.com element14.com 13
510464
t
Pins/ Logic Typical Equivalent Equivalent UFM Size PD Operating
Package Elements Macrocells Macrocell Range (bits) I/O Pins (ns) Temperature Mftrs. List No. Order Code Price Each
68/MBGA 240 192 128 to 240 8,192 54 7.5 0 - 85°C EPM240ZM68C7N 160-2343●
68/MBGA 240 192 128 to 240 8,192 54 7.5 0 - 85°C EPM240ZM68C6N 160-2344●
100/MBGA 240 192 128 to 240 8,192 80 7.5 0 - 85°C EPM240ZM100C7N 160-2345●
100/MBGA 240 192 128 to 240 8,192 80 7.5 0 - 85°C EPM240ZM100C6N 160-2346●
100/MBGA 570 440 240 to 570 8,192 76 9 0 - 85°C EPM570ZM100C7N 160-2347●
100/MBGA 570 440 240 to 570 8,192 76 9 0 - 85°C EPM570ZM100C6N 160-2348●
Semiconductors
144/MBGA 570 440 240 to 570 8,192 116 9 0 - 85°C EPM570ZM144C7N 160-2349●
256/MBGA 570 440 240 to 570 8,192 160 9 0 - 85°C EPM570ZM256C6N 160-2352●
MAX V CPLDs
The MAX姞 V is well suited for common CPLD applications such as interface bridging (i.e. bus bridging), where control ir
data is buffered by the MAX device. Additionally this includes I/O voltage translation. Suitable also for system configuration
where the design has a MAX device sitting on the board next to an FPGA which controls the configuration. Cost effective and efficient to run along side a multi-
purpose device. Lastly MAX devices are able to handle all power-up sequencing control signals (non-volatile logic) and are able to control the reset release and
chip selects in a low-cost, customizable solution, whilst being able to tweak the power-up sequences in prototyping whilst needing a programmable logic device
to perform this.
659771
FPT-3 plus Logic Circuit Design Board Arria GX FPGA Development Kit
CPLD / FPGA Hardware & Software Development Unit This development kit delivers a complete en-
vironment for the development and testing of
Ì Latest CPLD / FPGA Development System designs implementing high-speed serial in-
Ì Develop hardware circuits using Circuit Graphics terfaces in Arria GX FPGAs. It is built on a
and VHDL PCIe form-factor card and targets the devel-
Ì Support Altera CPLD MAX700S devices opment of designs utilizing PCI Express x1
Ì System clock 1.8432MHz and x4, Gigabit Ethernet, and/or Serial Rap-
Ì 1250 Logic Gates & 64 LCs idIO姞 (SRIO) protocols.
Ì 8 LED, a buzzer & 2 digit 7 segement output dis-
plays
Ì 8 logic DIP switches and 4 sets of negative pulse
press button Kit Includes: Ì PCI Express Development Kit, Arria GX
475227
Ì Arria GX development board Edition CD ROM
Ì Quartus姞 II Web Edition design software Ì Cable and accessories
Mftrs. List No. Order Code Price Each 504452
CPLD/FPGA Logic Circuit Design Board FPT-3-PLUS 137-8694● Mftrs. List No. Description Order Code Price Each
DK-DEV-1AGX60N Arria GX FPGA Development Kit 156-0818●
FREE GLOBAL LEGISLATION SUPPORT
RoHS, REACH, WEEE, ErP, Batteries IMPROVED BACK ORDER DELIVERY
Directive - latest updates, free white
papers and live online Q&A at Receive email updates with the exact status, due
date and despatch information of all your back
element14.com/legislation order items farnell.com
13
14 farnell.com element14.com
Arria II GX FPGAs
The Arria姞 II device family is designed specifically for ease-of-use. The cost-optimized, 40-nm device family architecture features a low-power, programmable
logic engine and streamlined transceivers and I/Os. Common interfaces, such as the Physical Interface for PCI Express® (PCIe®), Ethernet, and DDR3 memory
are easily implemented in your design with the Quartus® II software, the SOPC Builder design software, and a broad library of hard and soft intellectual property
(IP) solutions from Altera. The Arria II device family makes designing for applications requiring transceivers operating at up to 6.375 Gbps fast and easy.
Semiconductors
659774
503908
14
farnell.com element14.com 15
Semiconductors
504447
503882 1
Pins/ Logic M4K RAM Total Embedded Operating
Device Package Elements Blocks (128x36 Bits) RAM bits Multipliers PLLs I/O Pins Temperature Mftrs. List No. Order Code Price Each
TROUBLESHOOTING TIPS
Chat online to one of our
technical engineers at farnell.com
15
16 farnell.com element14.com
Kit Includes:
Ì Cyclone III development board Ì One USB type B connector
Ì Cyclone III EP3C120F780 FPGA Ì Three HSMC debug cards Kit Includes: Ì SD-Card Reader (USB 2.0)
Ì Power cord with plug adapters (US, UK, EU) Ì Complete Design Suite DVD Ì Nios II Embedded Evaluation Board Ì SD-Card Flash
Ì Two HSMC connectors Ì Development Kit, CD-ROM Ì Nios II Embedded Evaluation Kit CD-ROM Ì USB Cable
519892
Ì Altera Complete Design Suite DVD Ì DC power supply
1 Mftrs. List No. Description Order Code Price Each 504449
DK-DEV-3C120N Cyclone III FPGA Development Kit . . . . . . 163-5106● Mftrs. List No. Description Order Code Price Each
DK-N2EVAL-3C25N Nios II Embedded Evaluation Kit, Cyclone III Edition 156-0814●
Integrated Circuits & Development Kits
519035
Description Mftrs. List No. Connetor Type A Connetor Type B Order Code Price Each
ByteBlaste™ II download cable PL-BYTEBLASTER2N 25 Pin Male Header Parallel 10 Pin Female Plug 163-1973●
USB-Blaste™ download cable PL-USB-BLASTER-RCN USB Universal Plug 10 Pin Female Plug 163-1975●
503894
16
farnell.com element14.com 17
Semiconductors
3C120F780 780/FBGA 119,088 3,888 288 4 20 531 0°C to +85°C EP3C120F780C8N 163-5080●
17
18 farnell.com element14.com
Cyclone IV GX Transceiver Starter Kit Ì Develop and test PCI Express® Gen1 x1, x2, x4 endpoint designs using the Cyclone IV
GX PCI Express hard intellectual property (IP) and PCI-SIG®-compliant board
Ì Develop and test multi-lane protocol bridging designs using on-board DDR2 memory
and through its expansion capability, the high-speed mezzanine card (HSMC) connec-
tors. There are over 30 different HSMCs available through Altera partners and support-
Semiconductors
Ì Measure the FPGA’s low power consumption Ì Loopback and debug HSMCs
605352 Ì Power adaptor and cables
659974
Mftrs List No. Description Order Code Price Each
DK-START-4CGX15N Cyclone IV GX Transceiver Starter Kit 179-7528● Mftrs. List No. Description Order Code Price Each
DK-DEV-4CGX150N Cyclone IV GX FPGA Development Kit 210-1790●
Mftrs. List No. Pins/Pkg Number of Block I/Os Transceiver Voltage Supply Temperature Order Code Price Each
Logic Blocks/Elements RAM Lines Channels Range
Stratix
EP1S10F484C7N 484/FBGA 10000 921 kb 335 0 1.425V à 1.575V 0°C to +85°C 154-9494●
EP1S20F780C7N 780/FBGA 20000 1669 kb 586 0 1.425V to 1.575V 0°C to +85°C 154-9495●
EP1S25F780C6N 780/FBGA 25000 1945 kb 597 0 1.425V to 1.575V 0°C to +85°C 154-9496●
EP1S25F672C7N 672/FBGA 25,660 1945 kb 473 0 1.425V to 1.575V 0°C to +85°C 163-7227●
EP1S30F780C7N 780/FBGA 30000 3317 kb 597 0 1.425V to 1.575V 0°C to +85°C 154-9497●
Stratix II
EP2S15F672C3N 672/FBGA 15000 419 kb 366 0 1.15V to 1.25V 0°C to +85°C 154-9486●
EP2S15F484C3N 484/FBGA 15000 419 kb 342 0 1.15V to 1.25V 0°C to +85°C 163-7232●
EP2S15F484C5N 484/FBGA 15000 419 kb 342 0 1.15V to 1.25V 0°C to +85°C 163-7233●
EP2S30F484C3N 484/FBGA 30000 1370 kb 342 0 1.15V to 1.25V 0°C to +85°C 154-9485●
EP2S30F672C3N 672/FBGA 30000 1370 kb 500 0 1.15V to 1.25V 0°C to +85°C 154-9487●
EP2S30F672C5N 672/FBGA 30000 1370 kb 500 0 1.15V to 1.25V 0°C to +85°C 154-9489●
EP2S30F484C5N 484/FBGA 30000 1370 kb 342 0 1.15V to 1.25V 0°C to +85°C 163-7236●
EP2S60F1020C3N 1020/FBGA 60,440 2544 kb 718 0 1.15V to 1.25V 0°C to +85°C 163-7237●
18
farnell.com element14.com 19
Mftrs. List No. Pins/Pkg Number of Block I/Os Transceiver Voltage Supply Temperature Order Code Price Each
Logic Blocks/Elements RAM Lines Channels Range
Stratix II
EP2S60F1020C5N 1020/FBGA 60,440 2544 kb 718 0 1.15V to 1.25V 0°C to +85°C 163-7238●
EP2S90F1020C5N 1020/FBGA 90,960 4520 kb 758 0 1.15V to 1.25V 0°C to +85°C 163-7239●
EP2S180F1020C3N 1020/FBGA 179,400 9383 kb 742 0 1.15V to 1.25V 0°C to +85°C 163-7234●
EP2S180F1508C5N 1508/FBGA 179,400 9383 kb 1170 0 1.15V to 1.25V 0°C to +85°C 163-7235●
Stratix III
EP3SL150F1152C4N 1152/FBGA 142500 6390 kb 736 8 1.05V to 1.15V 0°C to +85°C 163-7245●
Stratix GX, GX II
EP1SGX10CF672C6N 672/FBGA 10,570 920 kb 362 4 1.425V to 1.575V 0°C to +85°C 163-7229●
EP1SGX25CF672C7N 672/FBGA 25000 1945 kb 455 4 1.425V to 1.575V 0°C to +85°C 154-9484●
EP2SGX30DF780C3N 780/FBGA 30000 1370 kb 361 8 1.15V to 1.25V 0°C to +85°C 154-9490●
EP2SGX30CF780C5N 780/FBGA 33,880 1370 kb 361 8 1.15V to 1.25V 0°C to +85°C 163-7242●
EP2SGX60CF780C5N 780/FBGA 60000 2544 kb 364 4 1.15V to 1.25V 0°C to +85°C 154-9491●
EP2SGX60DF780C5N 780/FBGA 60000 2544 kb 364 8 1.15V to 1.25V 0°C to +85°C 154-9493●
EP2SGX60EF1152C5N 1152/FBGA 60,440 2544 kb 534 8 1.15V to 1.25V 0°C to +85°C 163-7243●
EP2SGX90FF1508C5N 1508/FBGA 90,960 4520 kb 650 8 1.15V to 1.25V 0°C to +85°C 163-7244●
EP2SGX130GF1508C4N 1508/FBGA 132,540 6748 kb 361 8 1.15V to 1.25V 0°C to +85°C 163-7240●
EP1SGX40GF1020C6N 1020/FBGA 41,250 3423 kb 624 20 1.425V to 1.575V 0°C to +85°C 163-7231●
Semiconductors
These flash memory devices serially configure Stratix姞 III, Stratix II GX, and Stratix II FPGAs, Arria™ GXFPGAs, and the Cyclone姞 series FPGAs using the active serial (AS) configuration
scheme.
Features: Ì Re-programmable memory with more than 100,000 erase/program cycles
Ì Low cost, low-pin count, and non-volatile memory Ì Write protection support for memory sectors using status register bits
Ì Low current during configuration and near-zero standby mode current Ì In-system programming support with SRunner software driver
Ì Enables the Nios姞 processor to access unused flash memory through AS memory Ì In-system programming support with USB Blaster™, EthernetBlaster™, or ByteBlaster™ II down-
interface load cables
504000
Features:
Ì Encapsulated innovative FPGA-based acceleration firmware Ì iMPACT and ChipScope™ tool support in ISE姞 software v6.3.03i (Windows only)
Ì True plug-and-play Ì Compatible with Windows and Linux operating systems
Ì Bus-powered USB device (no power supply required) Ì Supports Boundary Scan and Slave-Serial configuration modes
Ì Automatically senses and adapts to target I/O voltage Ì Backwards compatibility
Ì Firmware downloadable over cable 515236
Ì Target power status LED Mftrs. List No. Description Order Code Price Each
Ì CE and FCC compliant HW-USB-IIG Platform USB Cable II 164-9384●
Ì Compatible with target voltages in the range of +1.5 VDC to +5 VDC
19
20 farnell.com element14.com
1 CoolRunner™-II CPLDs
CoolRunner-II CPLDs deliver the high speed and ease of use associated with the XC9500/XL/XV CPLD family with the extremely low power versatility of the XPLA3
family in a single CPLD. This means that the exact same parts can be used for high-speed data communications/computing systems and leading edge portable products, with the added bene-
fit of In System Programming. Low power consumption and high-speed operation are combined into a single family that is easy to use and cost effective. Clocking techniques and other pow-
Integrated Circuits & Development Kits
Price Each
Mftr. Pins Pins Description Mftrs. List No. Order Code 1+ 10+ 100+
9536 XLX 44 PLCC In-system Programmable Flash CPLD, 15ns, 100MHz. . . . . . . . . . . . . . . . PLCC XC9536-15PCG44C 119-3226●
9536 XLX 44 VQFP In-system Programmable Flash CPLD, 15ns, 100MHz . . . . . . . . . . . . . . . . VQFP XC9536-15VQG44C 119-3227●
9536 XLX 44 PLCC In-system Programmable Flash CPLD, 10ns, 100MHz, 3.3V (IND TEMP). . PLCC XC9536XL-10PCG44C 119-3228●
9536 XLX 64 VQFP In-system Programmable Flash CPLD, 10ns, 100MHz, 3.3V (IND TEMP). . VQFP XC9536XL-10VQG64C 119-3229●
9572 XLX 44 PLCC In-system Programmable CPLD, 15ns, 125MHz . . . . . . . . . . . . . . . . . . . . . . . . . XC9572-15PCG44C 119-3230●
9572 XLX 84 LCC In-system Programmable CPLD, 15ns, 125MHz . . . . . . . . . . . . . . . . . . . . . . . . . XC9572-15PCG84C 119-3231●
20
farnell.com element14.com 21
Price Each
Mftr. Pins Pins Description Mftrs. List No. Order Code 1+ 10+ 100+
9572 XLX 44 PLCC In-system Programmable CPLD, 10ns, 125MHz 3.3V . . . . . . . . . . . . . . . . . . . . . XC9572XL-10PCG44C 119-3232●
9572 XLX 100 TQFP In-system Programmable CPLD, 10ns, 125MHz 3.3V . . . . . . . . . . . . . . . . . . . . . XC9572XL-10TQG100C 119-3233●
9572 XLX 44 VQFP In-system Programmable CPLD, 10ns, 3.3V . . . . . . . . . . . . . . . . . . . . . . . . . . . . XC9572XL-10VQG44C 160-5849●
95144 XLX 100 TQFP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . XC95144XL-10TQG100C 177-6144●
95144 XLX 144 TQFP In-system Programmable CPLD, 10ns, 3.3V . . . . . . . . . . . . . . . . . . . . . . . . . . . . XC95144XL-10TQG144C 160-5846●
95288 XLX 256 BGA In-system Programmable CPLD, 10ns, 3.3V . . . . . . . . . . . . . . . . . . . . . . . . . . . . XC95288XL-10BGG256C 160-5848●
The Virtex姞-6 family provides the newest, most advanced features in the FPGA market. Virtex-6 FPGAs are the programmable silicon foundation for Targeted Design Plat-
forms that deliver integrated software and hardware components to enable designers to focus on innovation as soon as their development cycle begins. Using the third-
generation ASMBL™ (Advanced Silicon Modular Block) columnbased architecture, the Virtex-6 family contains multiple distinct sub-families.
Virtex姞-6 LXT - High-performance logic with advanced serial connectivity
635952
Semiconductors
Virtex姞-6 FPGA Broadcast Connectivity Kit The Virtex姞-6 FPGA Embedded Kit is based on the Virtex-6 LX240T FPGA, this kit contains
an extensible development board and the key Tools & IP needed for embedded develop-
Quickly evaluate and ment. The Reference Designs and software/hardware tutorials provided with this kit will
integrate SD/HD/3G- give a jump-start to your development. If you are a software developer, you can now get
SDI, AES3 Audio and started in a familiar Eclipse IDE without running any FPGA hardware design tools.
other interfaces into Contents:
next-generation broadcast designs. The Vir- Ì ROHS compliant ML605 Base Board in- Ì Cables & Power
tex姞-6 FPGA Broadcast Connectivity Kit in- cluding the XC6VLX240T-1FFG1156 Ì Targeted Reference Design
tegrates the high performance of the Vir- FPGA Ì Memory
tex-6 FPGA family, IP building blocks, de- Ì ISE姞 Design Suite: Embedded and Logic Ì High-Performance I/O Peripherals
sign tools, reference designs, and a serial Editions Ì Infrastructure IP
digital/audio development board. Developed specifically to address the rapidly evolving re- Ì Ecosystem OS/RTOS Support Ì USB Flash Drive with all reference de-
quirements in the broadcast market for greater bandwidth, improved jitter performance and Ì Documentation signs, demos, and documents 1
lower power consumption, the Virtex-6 FPGA Broadcast Connectivity Kit includes a serial Features:
digital audio and video connectivity FPGA mezzanine card (FMC) Ì Targeted Reference Design - MicroBlaze Processor Sub-System
Contents: Ì Includes all the development tools needed to support Embedded Hardware & Software
21
22 farnell.com element14.com
Programmable Logic Devices - continued Virtex-5 LXT FPGA ML505 Evaluation Platform
FMC XM105 Debug Card - continued The Virtex姞-5 LXT ML505
is a general purpose FPGA and RocketIO™ GTP
development board.
one FMC LPC and one FMC HPC interface. The FMC XM105 Debug Card contains a FMC
HPC connector which mates with LPC or HPC FMC-supported board connectors. Ì Provides feature-rich general purpose evalua-
Contents: Features: tion and development platform
Ì FMC XM105 Debug Card Ì VITA 57.1 FMC HPC connector Ì Includes on-board memory and industry stan-
Ì Welcome letter Ì 40 Single Ended I/O (20 Pairs) on the LPC Pins dard connectivity interfaces
Ì Four mounting screws Ì 80 Single Ended I/O (40 Pairs) on the HPC Pins Ì Delivers a versatile development platform for
Ì Two standoffs Ì Mictor connector 38 pin female Mictor connector embedded applications
Ì Small Phillips screwdriver to se- Ì 16 and 12 additional LPC Single Ended I/O 551161
cure the FMC debug board to Ì 12 additional HPC Single Ended I/O Mftrs. List No. Description Order Code Price Each
the carrier board Ì FMC JTAG 9-pin header HW-V5-ML505-UNI-G ML505 Evaluation Platform 176-2512●
Ì PC with Internet access to Ì Clocking
download documentation, board Ì Power Good LEDS
files, and schematics Virtex-5 LXT FPGA Gigabit Ethernet
660833
Development Kit
Order Code Price Each
HW-FMC-XM105-G 187-6154● The Virtex姞-5 LXT FPGA Gigabit Ethernet
Development Kit supports 10/100 Mbps and
1 Gbps speeds. This complete development
Virtex-6 FPGA ML605 Evaluation Kit kit enables you to rapidly create and eval-
uate designs for Ethernet applications.
The Virtex姞-6 FPGA
ML605 Evaluation Kit provides a development
environment for system designs that demand
high-performance, serial connectivity and ad-
vanced memory interfacing. The ML605 is
Semiconductors
ly priced, the ML506 offers users the ability Virtex姞-5 LX50 FPGA device. Supported by industry
to create DSP based and high speed serial standard interfaces and connectors, ML501 is a versatile development platform for multiple
designs utilizing the Virtex-5 FPGA DSP48E applications.
slices and RocketIO™ GTP transceivers. A The ML555 for PCI-Express姞 supports PCIe®/PCI-X™/PCI™. This complete development
variety of on-board memories and industry kit passed PCI-SIG compliance for PCI Express v1.1 and enables you to rapidly create and
standard connectivity interfaces add to the evaluate designs using PCI Express, PCI-X and PCI interfaces.
526270
ML506’s ability to serve as a versatile de-
velopment platform for embedded applications. Mftrs. List No. Description Order Code Price Each
551162
HW-V5-ML507-UNI-G ML507 Evaluation Platform 167-1116●
Mftrs. List No. Description Order Code Price Each HW-V5-ML501-UNI-G VIRTEX-5 Development Kit 160-5853●
HW-V5-ML506-UNI-G XtremeDSP Development Platform 176-2513● HW-V5-ML555-G VIRTEX-5 Development Kit for PCI I/F 160-5828●
22
farnell.com element14.com 23
Semiconductors
The Spartan姞-3AN Starter Kit provides out-of-the-box functionality to quickly evaluate device features and
implement designs. This kit includes an evaluation board with 10/100 Ethernet PHY, 64 Mbytes of DDR2
SDRAM, 4 Mbit Platform Flash, two 16Mbit SPI serial Flash, ADC and DAC circuitry, a 2x16 LCD display,
connectors supporting high-speed I/O standards, and more.
The Spartan姞-3A Starter Kit delivers instant access to Spartan-3A FPGA device features such as SUS-
PEND power-saving mode, high-speed I/O options, DDR2 SDRAM memory interface, commodity flash
configuration support, and FPGA/IP protection using Device DNA Security.
23
24 farnell.com element14.com
Price Each
Mftrs. List No. Order Code 1+
Semiconductors
210-203 206-1851●
24
farnell.com element14.com 25
Semiconductors
Pins/Pkg Slices Logic Cells Flip-Flops Distributed RAM (18K bits each) Block RAM Tiles Pins Mftrs. List No. Order Code Price Each
Spartan-6 LX
144/QFP 600 3,840 4,800 75Kbits 12 216 2 102 XC6SLX4-2TQG144C 187-6221●
144/TQFP 600 3,840 4,800 75Kbits 12 216 2 102 XC6SLX4-2TQG144I 187-6222●
324/BGA 1,430 9,152 11,440 90Kbits 32 576 2 200 XC6SLX9-2CSG324C 187-6224●
324/BGA 1,430 9,152 11,440 90Kbits 32 576 2 200 XC6SLX9-2CSG324I 187-6225●
256/BGA 1,430 9,152 11,440 90Kbits 32 576 2 186 XC6SLX9-2FTG256C 187-6226●
256/BGA 1,430 9,152 11,440 90Kbits 32 576 2 186 XC6SLX9-2FTG256I 187-6227●
144/QFP 1,430 9,152 11,440 90Kbits 32 576 2 102 XC6SLX9-2TQG144C 187-6228●
144/QFP 1,430 9,152 11,440 90Kbits 32 576 2 102 XC6SLX9-2TQG144I 187-6229●
324/BGA 2,278 14,579 18,224 136Kbits 32 576 2 232 XC6SLX16-2CSG324I 187-6230●
256/BGA 2,278 14,579 18,224 136Kbits 32 576 2 186 XC6SLX16-2FTG256C 187-6231●
256/BGA 2,278 14,579 18,224 136Kbits 32 576 2 186 XC6SLX16-2FTG256I 187-6232●
324/CSBGA 2,278 14,579 18,224 136Kbits 32 576 2 232 XC6SLX16-2CSG324C 176-2495●
1
256/BGA 3,758 24,051 30,064 229Kbits 52 936 2 186 XC6SLX25-2FTG256C 187-6238●
256/BGA 3,758 24,051 30,064 229Kbits 52 936 2 186 XC6SLX25-2FTG256I 187-6239●
The Spartan姞-6 FPGA SP601 Evaluation Kit is an ideal entry-level development environment for evaluating the Spartan-6 family. This kit delivers all the ba-
sic components of the Xilinx Base Targeted Design Platform in one package. It provides a flexible environment for system design and provides customers
reference design and examples on how to leverage features such as the integrated memory interface core. It includes an industry-standard FMC (FPGA
Mezzanine Card) connector for future scaling and customization to specific applications and markets.
551167
25
26 farnell.com element14.com
660820 Contents:
Order Code Price Each Ì KC705 base board with the Kintex-7 XC7K325T-2FFG900CES FPGA
Ì Full-seat ISE姞 Design Suite Logic Edition, device-locked for the
DK-S6-CONN-G 187-6147●
XC7K325T-2FFG900CES FPGA
Ì Reference and example designs and demonstrations
Spartan姞-6 FPGA Embedded Kit Ì Board design files
Ì Documentation, including a step-by-step Getting Started Guide
Based on the Spartan姞-6 Ì USB cables, Ethernet cable, and universal power supply
LX45T FPGA, the Spar- Ì AMS evaluation card
tan-6 FPGA Embedded Kit 662299
Ì Targeted Reference Design - MicroBlaze Processor Ì Boards tion Kit gives designers an easy starting
Sub-System Ì Tools and IP point for evaluating and leveraging devices
Ì Includes all the development tools needed to support Ì Targeted references de- that deliver breakthrough performance, ca-
Embedded Hardware & Software Development signs and demos pacity, and power efficiency. Out of the box,
Ì In-depth step-by-step Hardware and Software Tutorials Ì Documentation this platform speeds time to market for the
Ì Strong Ecosystem support Ì Cables and adapters full-range of Virtex-7 FPGA-based applica-
660824 tions including advanced systems for wired
Order Code Price Each and wireless communications, aerospace and defense, medical, and broadcasting mar-
DK-S6-EMBD-G 187-6148● kets. The highly flexible kit combines fully integrated hardware, software, and IP with pre-
verified reference designs that maximize productivity and let designers immediately focus
on their unique project requirements.
Spartan姞-6 FPGA SP605 Evaluation Kit Contents:
The Spartan姞-6 FPGA SP605 Ì VC707 evaluation board with the Vintex-7 XC7VX485T-2FFG1761CES FPGA
Evaluation Kit delivers all the basic Ì Full-seat ISE Design Suite Logic Edition, device-locked for the Virtex-7
components of hardware, design XC7VX485T-2FFG1761CES FPGA
tools, IP, and reference designs Ì Reference and example designs and demonstrations
enabling development right out of the box. This kit pro- Ì Board design files
vides a flexible environment for system design and pro- Ì Documentation, including a step-by-step Getting Started Guide
vides pre-verified reference design and examples on Ì USB cables, Ethernet cable, and universal power supply
662300
how to leverage features such as high-speed serial transceivers, PCI Express姞, DVI, and/or
Order Code Price Each
DDR3. This kit includes an industry-standard FMC (FPGA Mezzanine Card) connector for
future scaling and customization to specific applications and markets. EK-V7-VC707-G 211-3929●
The IGLOO family of flash FPGAs, based on a 130-nm flash process, offers the lowest power FPGA, a single-chip solution,
small footprint packages, reprogrammability, and an abundance of advanced features.
The Flash*Freeze technology used in IGLOO nano devices enables entering and exiting an ultra-low power mode that con-
sumes nanoPower while retaining SRAM and register data. Flash*Freeze technology simplifies power management through I/O
and clock management with rapid recovery to operation mode.
Ì Low power Ì In-System programming (ISP) and security Ì Clock Conditioning Circuit (CCC) and PLL
Ì Small footprint Ì High-Performance routing hierarchy Ì Embedded Memory
Ì Reprogrammable Flash Technology Ì Advanced I/Os Ì Enhanced Temp range. -20°C to +70°C
660039
26
farnell.com element14.com 27
Semiconductors
Pkg / Pins LUTs (K) Voltage I/Os Grade Temperature Mftrs. List No. Order Code 1+ 10+ 100+
FPBGA / 256 12 1.2 193 -5 0 - 85°C LFE2-12E-5FN256C 157-1964●
TQFP / 144 12 1.2 93 -5 0 - 85°C LFE2-12E-5TN144C 157-1966●
FPBGA / 256 12 1.2 193 -6 0 - 85°C LFE2-12E-6FN256C 157-1967●
PQFP / 208 12 1.2 131 -6 0 - 85°C LFE2-12E-6QN208C 157-1968●
TQFP / 144 12 1.2 93 -6 0 - 85°C LFE2-12E-6TN144C 157-1969●
FPBGA / 484 20 1.2 331 -5 0 - 85°C LFE2-20E-5FN484C 157-1970●
PQFP / 208 20 1.2 131 -5 0 - 85°C LFE2-20E-5QN208C 157-1971●
FPBGA / 672 20 1.2 402 -6 0 - 85°C LFE2-20E-6FN672C 157-1972●
PQFP / 208 20 1.2 131 -6 0 - 85°C LFE2-20E-6QN208C 157-1973●
FPBGA / 484 35 1.2 331 -5 0 - 85°C LFE2-35E-5FN484C 157-1974●
FPBGA / 484 50 1.2 339 -5 0 - 85°C LFE2-50E-5FN484C 157-1975●
TQFP / 144 6 1.2 90 -5 0 - 85°C LFE2-6E-5TN144C 157-1976● 1
TQFP / 144 6 1.2 90 -6 0 - 85°C LFE2-6E-6TN144C 157-1978●
FPBGA / 900 70 1.2 583 -5 0 - 85°C LFE2-70E-5FN900C 157-1979●
FPBGA / 900 100 1.2 416 -5 0 - 85°C LFE2M100E-5FN900C 157-1980●
LatticeECP3 Versa FPGA Evaluation Board HDR-60 Base Board FPGA Evaluation Kit
The LatticeECP3 Versa Evaluation Board al- The HDR-60 Base Board
lows designers to investigate and experiment provides a low-cost evalua-
with the features of the LatticeECP3 Field- tion and demonstration plat-
Programmable Gate Array form to evaluate, test and
Ì Half-length PCI Express form-factor debug image signal processing user designs or
Ì Electrical testing of one full-duplex SERDES channel via SMA IP, including High Dynamic Range (HDR) cores
connections targeted for the LatticeECP3™-70 FPGA
Ì USB-B connection for UART and device programming
Ì Two RJ45 interfaces to 10/100/1000 Ethernet to GMII Ì SPI serial Flash device included for low-cost, non-volatile configuration storage
Ì On-board Boot Flash - 64M Serial SPI Flash Ì DDR2 SDRAM: 16-bit data over a 32M address space
Ì DDR3-1333 memory components (64Mb/x16) Ì Tri-speed (10/100/1000 Mbit) Ethernet PHY with RJ-45
Ì 14-segment alpha-numeric display Ì Built-in USB 2.0 download to LatticeECP3
662551
Ì HiSPi and parallel video data path connections with selectable VCCIO (1.8V/2.5V/3.3V)
Mftrs. Price Each 662552
List No. Order Code 1+ Mftrs. Price Each
LFE3-35EA-VERSA-EVN 206-4274● List No. Order Code 1+
LFE3-70EA-HDR60-DKN 206-4275●
27
28 farnell.com element14.com
The MachXO Mini Development Kit is an easy to use, low-cost platform to accelerate the evaluation of MachXO PLDs. The kit features the MachXO
LCMXO2280 device, 2 Mbit SPI Flash and 1 Mbit SRAM memory, a temperature sensor, an expansion header for I2C and SPI interfaces, and sev-
Semiconductors
534247
Supply Speed
Pkg / Pins LUTs Voltage I/Os Grade Temperature 1+ 10+ 100+
TQFP / 100 256 1.2 78 -3 0 - 85°C LCMXO256E-3TN100C 157-1954●
TQFP / 100 256 1.2 78 -4 0 - 85°C LCMXO256E-4TN100C 157-1955●
TQFP / 100 256 1.8V/2.5V/3.3V 78 -3 0 - 85°C LCMXO256C-3TN100C 157-1951●
TQFP / 100 256 1.8V/2.5V/3.3V 78 -4 0 - 85°C LCMXO256C-4TN100C 157-1952●
TQFP / 144 640 1.2 113 -3 0 - 85°C LCMXO640E-3TN144C 157-1961●
FTBGA / 256 640 1.2 159 -3 0 - 85°C LCMXO640E-3FTN256C 157-1960●
FTBGA / 256 640 1.2 159 -4 0 - 85°C LCMXO640E-4FTN256C 157-1962●
TQFP 640 1.2 74 -5 0 - 85°C LCMXO640E-5TN100C 129-1834●
TQFP / 144 640 1.8V/2.5V/3.3V 113 -3 0 - 85°C LCMXO640C-3TN144C 157-1957●
FTBGA / 256 640 1.8V/2.5V/3.3V 159 -3 0 - 85°C LCMXO640C-3FTN256C 157-1956●
TQFP / 144 640 1.8V/2.5V/3.3V 113 -4 0 - 85°C LCMXO640C-4TN144C 157-1959●
FTBGA / 256 640 1.8V/2.5V/3.3V 159 -4 0 - 85°C LCMXO640C-4FTN256C 157-1958●
TQFP / 144 1200 1.2 113 -3 0 - 85°C LCMXO1200E-3TN144C 157-1939●
FTBGA / 256 1200 1.2 211 -3 0 - 85°C LCMXO1200E-3FTN256C 157-1938●
TQFP / 144 1200 1.2 113 -4 0 - 85°C LCMXO1200E-4TN144C 157-1942●
TQFP / 144 1200 1.8V/2.5V/3.3V 113 -5 0 - 85°C LCMXO1200C-5TN144C 129-1829●
TQFP / 144 2280 1.2 113 -3 0 - 85°C LCMXO2280E-3TN144C 157-1948●
FTBGA / 256 2280 1.2 211 -3 0 - 85°C LCMXO2280E-3FTN256C 157-1947●
TQFP / 144 2280 1.2 113 -4 0 - 85°C LCMXO2280E-4TN144C 157-1950●
FTBGA / 256 2280 1.2 211 -4 0 - 85°C LCMXO2280E-4FTN256C 157-1949●
TQFP / 144 2280 1.8V/2.5V/3.3V 113 -3 0 - 85°C LCMXO2280C-3TN144C 157-1944●
FTBGA / 256 2280 1.8V/2.5V/3.3V 211 -3 0 - 85°C LCMXO2280C-3FTN256C 157-1943●
TQFP 2280 1.8V/2.5V/3.3V 73 -5 0 - 85°C LCMXO2280C-5TN100C 129-1831●
28
farnell.com element14.com 29
This board features a MachXO2 PLD with a 1.2V core supply. The PLD is packaged in a 132-ball csBGA which provides a migration path to
devices ranging from 640 LUTs to 4340 LUTs. The board is designed for density migration, allowing a lower density MachXO2 device to be
assembled on the board.
Ì Current sensor circuits using Delta-Sigma ADC
Ì LCD driven with PWM analog output circuitry 662553
Ì Expansion header for JTAG, SPI, I2C and PLD I/Os Mftrs. Price Each
Ì 4 capacitive touch sense buttons List No. Order Code 1+
Ì Standard USB cable for device programming LCMXO2-1200ZE-P1-EVN 206-4276●
Ì RS-232/USB and JTAG/USB interface
ispMACH 4000V/B
SuperFAST Low Power, 400MHz, 1.8mW
The high performance ispMACH 4000 family offers a SuperFAST CPLD solution. The ispMACH 4000 family includes 3.3, 2.5 volt power supply versions, designated the ispMACH 4000V and
ispMACH 4000B devices, respectively. The ispMACH 4000 devices couple industry leading speed performance with the lowest dynamic power consumption available while supporting I/O
standards between 3.3 and 2.5 volts.
The ispMACH 4000 family offers densities ranging from 32 to 256 macrocells. There are multiple density-I/O combinations in Thin Quad Flat Pack (TQFP) packages ranging from 44 to 100
pins.
The devices offer ease of design by enhanced macrocells with individual clock, reset, preset and clock enable controls, wide input gating for fast counters, state machines and address decod-
ers. System integration is aided by hot-socketing, open drain capability, input pull-up, pull-down or bus-keeper, 3.3V PCI compatibility, IEEE 1149.1 boundary scan testability, In-System Pro-
grammability using IEEE 1532 interface.
239716
Frequency Pins/
Macrocells I/Os tPD (ns) (MHz, max) Vcc (V) Package Mftrs. List No. Price Each
4032 32 30 7.5 400 3.3 44/TQFP LC4032V-75TN44C Mftrs. List No. Order Code 1+ 10+ 100+
4064 64 30 7.5 400 3.3 44/TQFP LC4064V-75TN44C
LC4032V-75TN44C 969-9899●
4128 128 64 7.5 333 3.3 100/TQFP LC4128V-75TN100C
Semiconductors
4256 256 64 7.5 322 3.3 100/TQFP LC4256V-75TN100C LC4064V-75TN44C 969-9902●
LC4128V-75TN100C 969-9910●
LC4256V-75TN100C 969-9929●
451228
1
MACH - Macro Array CMOS High-Density
The MACH姞 4 family from Lattice offers an exceptionally flexible architecture and delivers a superior CPLD solution of easy-to-use silicon products and software tools. The
ispLEVER is the complete design environment for the latest Lattice programmable logic products. It includes a comprehensive set of powerful tools for all design tasks, in-
cluding project management, IP integration, design planning, place and route, in-system logic analysis, and more. The software is provided on CD-ROM and DVD for Win-
dows and includes a USB Download Cable.
508184
Platform Support: Includes:
Ì Windows: 2000 / XP Ì One year of software Mftrs. Price Each
Device Support: maintenance updates List No. Descirption Order Code 1+
Ì All the latest Lattice programmable logic families Ì USB Cable LS-HDL-BASE-PC-N ispLEVER Base Version 157-2006●
534250
29
30 farnell.com element14.com
Mftrs. Pins/ CPLD Programmable Trip Points Power-off Number of FET ADC I2C Price Each
List No. Pkg Macrocells Comparators per Input Detection Outputs Drivers Resolution Support Order Code 1+ 10+ 100+
ISPPAC-POWR1014A-01TN48I 48/TQFP 24 20 368 75mV 14 2 10 Bit ✓ 173-5242●
ISPPAC-POWR1014-01TN148I 48/TQFP 24 20 368 75mV 14 2 – – 157-1931●
LA-ISPPAC-POWR1014-01TN48E 48/TQFP 24 20 368 75mV 14 2 – – 157-1932●
LA-ISPPAC-POWR1014A-01TN48E 48/TQFP 24 20 368 75mV 14 2 10 Bit ✓ 157-1933●
The ispClock 5620 Development Kit includes everything the designer needs to quickly configure and evaluate the ispClock5620A on a fully assembled print-
ed-circuit board. The four-layer board supports a 100-pin TQFP package, a header for user I/O and a JTAG programming cable connector. SMA connectors
are installed to provide high-signal integrity access to selected high-speed I/O signals.
Includes: 508186
Ì ispClock5620A Evaluation Board Mftrs. Price Each
Ì ispDOWNLOAD Cable List No. Descirption Order Code 1+
Ì AC Adapter PAC-SYSCLK5620AV ispClock 5620A Development Kit 157-2007
Ì User Documentation
Ì E-Blocks Switch board Ì ECIO 40 pin USB programmable device Ì Professional version of Flowcode 3
Ì E-Blocks Relay board
516721
Static RAMs
PowerSaver™ Low Power Asynchronous SRAM
Ultra Low Power CMOS Static RAM
The PowerSaver™ Low Power Asynchronous SRAMs are highspeed, static RAMs It is fabricated using ISSI’s high-performance CMOS technology.
This highly reliable process coupled with innovative
circuit design techniques, yields high-performance and low power consumption devices.
Features:
Ì High-speed access time Ì Single power supply (2.5V-3.6V) Ì Three state outputs
Ì CMOS low power operation Ì Fully static operation: no clock or refresh required Ì Data control for upper and lower bytes
Ì TTL compatible interface levels
625893
30
farnell.com element14.com 31
Semiconductors
CYP 28 SOIC 256Kbit 32K x 8bit 4.5V to 5.5V CY62256NLL-70SNXC 209-7987●
CYP 28 DIP 256Kbit 32K x 8bit 4.5V to 5.5V CY7C199CN-15PXC 209-7992●
CYP 28 SOJ 256Kbit 32K x 8bit 4.5V to 5.5V CY7C199D-10VXI 209-7994●
CYP 100 TQFP 256Kbit 16K x 16 3V to 3.6V CY7C026AV-25AXI 211-5439
1Mb 1Mb 1Mb
REN 32 SOP 1Mbit 128K x 8 4.5 to 5.5 M5M51008DFP-70H#BT 883-0207●
IDT 44 SOJ 1Mbit 64K x 16 4.5 to 5.5 IDT71016S20YG 121-8011●
IDT 32 SOJ 1Mbit 128K x 8 4.5 to 5.5 IDT71024S12YG 108-1515●
BSI 32 STSOP 1Mbit 128K x 8 2.4 to 5.5 BS62LV1027STIP55 148-8931●
BSI 32 TSOP 1Mbit 128K x 8 2.4 to 5.5 BS62LV1027TIP55 148-8932●
CYP 32 SOJ 1Mbit 128K x 8 3 to 3.6 CY7C1019DV33-10VXI 165-0074●
CYP 44 TSOP 1Mbit 64K x 16 2.2 to 3.6 CY62126EV30LL-45ZSXI 165-0059●
ALL 32 PDIP 1Mbit 128K x 8 2.7 to 5.5 AS6C1008-55PCN 156-2896● 1
ALL 32 SOP 1Mbit 128K x 8 2.7 to 5.5 AS6C1008-55SIN 156-2898●
ALL 32 TSOP-1 1Mbit 128K x 8 2.7 to 5.5 AS6C1008-55TIN 156-2899●
ALL 32 SOJ 1Mbit 128K x 8 4.5 to 5.5 AS7C1024B-12JCN 156-2908●
31
32 farnell.com element14.com
32
farnell.com element14.com 33
484450
Semiconductors
Pins/ Pkg Temperature Time Description Mftrs. List No. Order Code 1+ 10+ 100+ 250+ 500+
Asynchronous
71116 44/TSOP-2 0 to 70°C 12ns 1Mb (64K x 16) Asynchronous SRAM . . . . . . . . . . . . . . . . . . . . . . . . . . . GS71116AGP-12 144-7515●
72108 36/TSOP-2 -40 to 85°C 12ns 2Mb (256K x 8) Asynchronous SRAM . . . . . . . . . . . . . . . . . . . . . . . . . . . GS72108AGP-12I 144-7517●
72116 44/TSOP-2 -40 to 85°C 10ns 2Mb (128K x 16) Asynchronous SRAM . . . . . . . . . . . . . . . . . . . . . . . . . . GS72116AGP-10I 144-7519●
74108 44/TSOP-2 -40 to 85°C 10ns 4Mb (512K x 8) Asynchronous SRAM . . . . . . . . . . . . . . . . . . . . . . . . . . . GS74108AGP-10I 144-7522●
74116 44/TSOP-2 0 to 70°C 12ns 4Mb (256K x 16) Asynchronous SRAM . . . . . . . . . . . . . . . . . . . . . . . . . . GS74116AGP-12 144-7523●
74116 44/TSOP-2 -40 to 85°C 10ns 4Mb (256K x 16) Asynchronous SRAM . . . . . . . . . . . . . . . . . . . . . . . . . . GS74116AGP-10I 144-7524●
Synchronous
840 100/TQFP 0 to 70°C - 4Mb (128K x 36) Synchronous NBT SRAM, 166MHz Cycle Frequency . . . GS840Z36AGT-166 144-7528●
842 119/BGA 0 to 70°C - 4Mb (128K x 36) Synchronous NBT SRAM, 150MHz Cycle Frequency . . . GS842Z36AGB-150 144-7529●
Price Each
Mftr. Pins Pkg Description Mftrs. List No. Order Code 1+ 10+ 100+
8K
71V30 IDT 64 TQFP Dual Port SRAM, 8K . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . IDT71V30L25TFG 168-8284●
16K
7132 IDT 52 PLCC Dual Port SRAM, 16K . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . IDT7132LA20JG 168-8283●
32K
7133 IDT 68 PLCC 32K (2K x 16) CMOS Dual-Port SRAM, 35ns acc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . IDT7133SA35JG 121-8009●
64K
70V24 IDT 100 TQFP Dual Port SRAM, 64K . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . IDT70V24L15PFG 168-8282●
Price Each
Mftr. Pins Pkg Description Mftrs. List No. Order Code 1+ 10+ 100+
7201 IDT 32 PLCC 512 x 9 CMOS FIFO, 35ns acc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . IDT7201LA35JG 121-8005●
7202 IDT 32 PLCC 1K x 9 CMOS FIFO, 35ns acc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . IDT7202LA35JG 121-8006●
72221 IDT 32 TQFP 1K x 9 CMOS SYNC FIFO, 15ns acc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . IDT72221L15PFG 121-7995●
7204 IDT 28 SOIC 4K x 9 CMOS ASYNC FIFO, 12ns acc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . IDT7204L12SOG 108-1527●
7204 IDT 32 PLCC 4K x 9 CMOS FIFO, 35ns acc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . IDT7204L35JG 121-8007●
7205 IDT 32 PLCC 4K x 9 CMOS SYNC FIFO, 20ns acc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . IDT7205L20JG 121-7997●
72V261 IDT 64 TQFP 16K x 9bit SYNCHRONOUS FIFO Memory . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . IDT72V261LA10PFG 168-8721●
33
34 farnell.com element14.com
Price Each
PinsPkg Description Mftrs. List No. Order Code 1+ 10+ 100+ 250+ 500+
4 4 SOIC SNAPHAT® battery for the M48Z08/18 range . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M4Z28-BR00SH1 688-344
4 2 SOIC SNAPHAT® lithium battery (130mAh) for ZEROPOWER® products and NVRAM controllers . . M4Z32-BR00SH1 334-2268
4 4 SNAPHAT3V 48 mAh Battery Operated Crystal . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M4T28-BR12SH1 146-7820●
4 4 SOIC TIMEKEEPER® SNAPHAT® (Battery & Crystal) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M4T32-BR12SH6 139-1519●
4 4 SNAPHATSNAPHAT® lithium battery & Crystal (130mAh) for TIMEKEEPER® products and TIMEKEEP- M4T32-BR12SH1
ER® controllers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 146-7740●
4128 SO 512-Bit (64b × 8) Serial RTC and NVRAM supervisor, 2.7 to 3.2V, I²C Bus compatible, 44 M41ST85WMX6
Bytes of general purpose RAM, Century register, Embedded Crystal, IND TEMP. . . . . . . . . . . . 122-4436●
4128 SO 1280-Bit (160 x 8) Secure Serial RTC and NVRAM Supervisor, 2.7 to 3.6V With TAmper De- M41ST87WMX6
tection, IND TEMP. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 122-4437●
418 SOIC Serial access TIMEKEEPER® Real-time Clock/Calendar, 2.0 to 5.5V, I2C Bus compatible, M41T00M6E
Y2K compliant, IND TEMP. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 988-2634●
418 SOIC 512-Bit (64b × 8) Serial access TIMEKEEPER® SRAM, 2.0 to 5.5V, I2C Bus compatible, 56 M41T11M6E
Bytes of general purpose RAM, Century register, IND TEMP . . . . . . . . . . . . . . . . . . . . . . . . . . 988-2642●
4128 SOIC 512-Bit (64b × 8) Serial access TIMEKEEPER® SRAM, 2.0 to 5.5V, I2C Bus compatible, 56 M41T11MH6E
Bytes of general purpose RAM, Century register, IND TEMP (requires SNAPHAT® Battery &
Crystal) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 975-5810●
Semiconductors
418 SOIC 512-Bit (64b × 8) Serial access TIMEKEEPER® SRAM, I2C Bus compatible, 56 Bytes of M41T56M6E
general purpose RAM, Century register, 5.0V ± 10%, IND TEMP. . . . . . . . . . . . . . . . . . . . . . . 988-2650●
418 SOIC RTC W/ANALog CALIBRATION,RST,I2C . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M41T82RM6E 129-2455●
4116 SOIC 512-Bit (64 x 8) Serial RTC, 44 bytes of general purpose RAM , 2.7 to 5.5V, I²C Bus compat- M41T94MQ6E
ible, IND TEMP. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 109-4273●
4824 DIP 16K (2K × 8) TIMEKEEPER® SRAM - 70ns, 5V +10%/-5% . . . . . . . . . . . . . . . . . . . . . . . . . M48T02-70PC1 975-5764●
4824 DIP 16K (2K × 8) TIMEKEEPER® SRAM, 150ns acc . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M48T02-150PC1 975-6388●
4828 DIP 64K (8K × 8) TIMEKEEPER® SRAM, 100ns acc . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M48T08-100PC1 975-6396●
4828 SOH 64 KBit (8 Kb x 8) TIMEKEEPER® SRAM - 100ns, 5V. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M48T08Y-10MH1E 122-4443●
4824 DIP 16K (2K × 8) TIMEKEEPER® SRAM, 150ns acc., Vpfd <4.5V . . . . . . . . . . . . . . . . . . . . . . . . M48T12-150PC1 975-6523●
4824 PCDIP 16 KBit (2 Kb x 8) TIMEKEEPER® SRAM - 100ns, 5V. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M48T12-70PC1 122-4444●
4828 DIP 64K (8K × 8) TIMEKEEPER® SRAM, 100ns acc., Vpfd <4.5V . . . . . . . . . . . . . . . . . . . . . . . . M48T18-100PC1 975-6558●
4828 DIP SRAM Timekeeper, 64K (8K x 8bit) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M48T18-150PC1 146-7734●
1 4828 DIP SRAM Timekeeper, 256K (32K x 8bit) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M48T35-70PC1 146-7735●
4828 PCDIP NVRAM Timekeeper, CAPHAT. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M48T35AV-10PC1 157-6955●
4828 SOIC 256k (32K × 8) TIMEKEEPER® SRAM-70ns, vpfd<4.5v . . . . . . . . . . . . . . . . . . . . . . . . . . . . M48T35Y-70MH1E 988-2936●
Integrated Circuits & Development Kits
4828 DIP 256K (32K × 8) TIMEKEEPER® SRAM, 70ns acc., Vpfd <4.5V . . . . . . . . . . . . . . . . . . . . . . . M48T35Y-70PC1 975-6574●
4844 SOIC 256K (32K × 8) TIMEKEEPER® SRAM - 70ns, 5V ± 10%, Watchdog, Reset O/P, Alarms, M48T37Y-70MH1E
Battery Low flag, Wake-up, Century register, (requires SNAPHAT® Battery & Crystal) . . . . . . . 988-2626●
4828 PCDIP NVRAM Timekeeper, CAPHAT. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M48T58-70PC1 157-6957●
4828 SOIC 64K (8K × 8) TIMEKEEPER® SRAM - 70ns, 5V ± 10% (requires SNAPHAT® battery & M48T58Y-70MH1E
crystal). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 988-2600●
4828 DIP 64K (8K × 8) TIMEKEEPER® SRAM - 70ns, 5V ± 10%. . . . . . . . . . . . . . . . . . . . . . . . . . . . . M48T58Y-70PC1 975-5772●
4844 SOIC TIMEKEEPER® Controller for up to 8 x 512K LPSRAMs, 70ns, 5V ± 10%,Watchdog, Reset M48T201Y-70MH1E
O/P, Alarms, Battery Low flag, Square Wave O/P, Century register (requires SNAPHAT® Bat-
tery and Crystal) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 115-9688●
4832 DIP 4M (512K × 8) TIMEKEEPER® SRAM - 70ns, 5V ± 10% (integral Battery & Crystal). . . . . . . M48T512Y-70PM1 975-5802●
4824 DIP 16K (2K × 8) ZEROPower® SRAM - 150ns Access. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M48Z02-150PC1 975-6400●
4824 DIP 16K (2K × 8) ZEROPower® SRAM - 70ns, 5V +10%/-5%. . . . . . . . . . . . . . . . . . . . . . . . . . . M48Z02-70PC1 975-5748●
4828 DIP 64K (8K × 8) ZEROPower® SRAM - 100ns Access VCC=4.75V to 5.5V. . . . . . . . . . . . . . . . M48Z08-100PC1 975-6418●
4828 DIP 64K (8K × 8) ZEROPower® SRAM - 100ns Access VCC=4.5V to 5.5V. . . . . . . . . . . . . . . . . M48Z18-100PC1 975-6426●
4828 SOIC 256K (32K × 8) SNAPHAT® SRAM - 70ns, 5V ± 10% (requires battery). . . . . . . . . . . . . . . . M48Z35Y-70MH1E 988-2588●
4828 DIP 256K (32K × 8) ZEROPower® SRAM - 70ns, 5V ± 10%. . . . . . . . . . . . . . . . . . . . . . . . . . . . M48Z35Y-70PC1 975-5756●
4828 PCDIP NVRAM Zeropower, 256K . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M48Z35-70PC1 146-7739●
4828 DIP 64K (8K × 8) ZEROPower® CMOS SRAM - 70ns Access, VPFD <4.75V . . . . . . . . . . . . . . . . M48Z58-70PC1 975-6590●
4828 DIP 64K (8K × 8) ZEROPower® CMOS SRAM - 70ns Access, VPFD <4.5V . . . . . . . . . . . . . . . . . M48Z58Y-70PC1 975-6604●
4824 PCDIP 16KB NVRAM, 5V . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M48Z12-150PC1 160-7930●
4828 PCDIP 64K NVRAM Timekeeper. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M48T08-150PC1 146-7733●
Price Each
Part No. Pins Pkg Description Mftrs. List No. Order Code 1+ 10+ 100+
1225 28 DIP 64K (8K × 8) NonVolatile CMOS RAM - 150ns Access . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DS1225Y-150+ 122-4208●
1243 28 DIP 64k NV SRAM with Phantom Clock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DS1243Y-120+ 137-9805●
1245 32 DIP ................................................................................. DS1245Y-70+ 211-4741●
1643 28 DIP 64K NVRAM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DS1643-100+ 125-6272●
34
farnell.com element14.com 35
Non-Volatile RAMs
Each GR Series non-volatile RAM is a pin compatible drop-in replacement for a normal static RAM device. Data is protected by an automatic write inhibit function dur-
ing power loss. The power down circuit is referenced at 4.5V. At this point the device is write protected and the memory contents are retained by the lithium power source. The rapid power
down of 10μs and low standby power ensure no special considerations are required when using these devices. Data can be retained for up to 10 years.
The ‘H’ suffix indicates an extended temperature range of -40°C to +85°C.
222123
Semiconductors
SOIC 32 256Kbit 32K x 8bit 25 -40°C to +85°C CY14B256LA-SZ25XI 211-5441
SOIC 32 256Kbit 32K x 8bit 45 -40°C to +85°C CY14E256LA-SZ45XI 211-5442●
1 Mbit
SOIC 32 1Mbit 128K x 8 35 0°C to +70°C CY14B101L-SZ35XC 166-1356●
SOIC 32 1Mbit 128K x 8 45 0°C to +70°C CY14B101L-SZ45XC 166-1357●
SSOP 48 1Mbit 128K x 8 45 -40°C to +85°C CY14B101K-SP45XI 166-1359●
FRAM
Ferroelectric RAM (FRAM) is a RAM based device that uses the ferroelectric effect for a storage mechanism. This is a completely different mechanism than the one used by other non-volatile
memories, which use floating gate technology. The ferroelectric effect is the ability of a material to store an electric polarisation in the absence of an applied electric field.
The advantage of using this technology is that devices can be produced as pin-for-pin replacements for current memory devices but with the following new features:
Ì Number of write cycles increased from 100,000 to 10,000,000,000 (10 billion) Ì New PCB design is not necessary as the new parts are ’drop in’ replacements.
Ì Write speed is the same as read speed (NoDelay™) Ì Can be used as RAM with the added advantage of being non-volatile (NV-RAM), battery
Ì Higher noise immunity as the data does not have to remain stable for the usual 10 milli- back-up not being required.
seconds write period.
227405
35
36 farnell.com element14.com
Dynamic RAMs
Synchronous DRAM
The A43Lx616A seires of synchronous high data rate Dynamic RAM is fabricated with AMIC’s high performance CMOS technology.
Synchronous design allows precise cycle control with the use of system clock. I/O transactions are possible on every clock cycle. Range of operating
frequencies, programmable latencies allows the same device to be useful for a variety of high bandwidth, high performance memory system applica-
tions.
636210
Synchronous DRAM
The Hynix H57V2562GTR Synchronous DRAM is 268,435,456bit CMOS Synchronous DRAM, ideally suited for the consumer memory applications which requires large
memory density and high bandwidth. It is organized as 4banks of 4,194,304 x 16 I/O.
Features:
Ì Standard SDRAM Protocol Ì All device pins are Ì Low Voltage interface to reduce I/O power Ì Programmable Burst Length and Burst Type
Ì Internal 4bank operation compatible with Ì 8,192 Refresh cycles / 64ms - 1, 2, 4, 8 or full page for Sequential Burst
Ì Power Supply Voltage : VDD = LVTTL interface Ì Programmable CAS latency of 2 or 3 - 1, 2, 4 or 8 for Interleave Burst
3.3V, VDDQ = 3.3V
633635
54/TSOPII 256 Mbit 16M x 16 3.3V 133MHz 0°C to +70°C H57V2562GTR-75C 190-7383●
SDRAM
Micron has four generations of Single Data Rate (SDR) Synchronous DRAMs (SDRAMs) in full production. The SDRAM family consists of 64 Megabit
(64Mb), 128Mb, 256Mb, and 512Mb devices. These devices are configured as quad-bank devices.
Micron姞 SDRAM includes 64ms refresh and Self Refresh and operate with a fully synchronous interface at 3.3V.
339721
48LC2 86/TSOP 64MB (512K x 32 x 4 banks) Synchronous DRAM, CL = 3, 5.5ns acc . . . . . . . . . . . . . . .0.3V MT48LC2M32B2P-6:G 121-6282●
48LC32 54/TSOP 256M (8M x 8 x 4 banks) Synchronous DRAM, CL = 2, 5.4ns acc . . . . . . . . . . . . . . . . . .0.3V MT48LC32M8A2P-7E:D 121-6283●
48LC8 54/TSOP 64MB (1M x 16 x 4 banks) Synchronous DRAM, CL = 2, 5.4ns acc. . . . . . . . . . . . . . . . .0.3V MT48LC4M16A2P-7E:G:G 121-6285●
48LC4 86/TSOP 128MB (1M x 32 x 4 banks) Synchronous DRAM, CL = 3, 5.5ns acc. . . . . . . . . . . . . . . .0.3V MT48LC4M32B2P-6:G 121-6286●
48LC8 54/TSOP 128M (2M x 16 x 4 banks) Synchronous DRAM, CL = 3, 5.4ns acc . . . . . . . . . . . . . . . . .0.3V MT48LC8M16A2P-75:G 121-6287●
48LC8 54/TSOP 128M (2M x 16 x 4 banks) Synchronous DRAM, CL = 2, 5.4ns acc . . . . . . . . . . . . . . . . .0.3V MT48LC8M16A2P-7E:G 121-6288●
36
farnell.com element14.com 37
DDR SDRAM
Hynix is offering a family of network-centric memory products that meet the special requirements of telecommunications and network switching appli-
cations. DRAM components offered include DDR, DDR2 and DDR3 in a wide range of densities, configurations and modular form factors. DDR, DDR2
and DDR3 SDRAMs are available for high-end consumer applications requiring higher data transfer rates.
634356
Semiconductors
DDR SDRAM
66/TSOPII 512 Mbit 32M x 16 2.5V DDR 400 0°C to +70°C H5DU5162ETR-E3C 196-1598●
DDR2 SDRAM
/ DDR2 400
84/FBGA 512 Mbit 32M x 16 1.8V DDR2 400 0°C to +85°C H5PS5162FFR-S6C 190-7387●
84/FBGA 512 Mbit 32M x 16bit 1.8V DDR3 1333 0°C to +95°C H5PS5162GFR-S6C 207-8288●
60/FBGA 1 Gbit 128M x 8 1.8V DDR2 400 0°C to +85°C H5PS1G83EFR-S6C 190-7388●
60/FBGA 1 Gbit 128M x 8bit 1.8V DDR2 400 0°C to +85°C H5PS1G83JFR-S6C 207-8785●
84/FBGA 1 Gbit 64M x 16 1.8V DDR2 400 0°C to +85°C H5PS1G63EFR-S6C 190-7389●
84/FBGA 1 Gbit 64M x 16 1.8V DDR2 400 0°C to +95°C H5PS1G63JFR-S5C 211-4749●
DDR3 SDRAM
96/FBGA 1 Gbit 64M x 16 1.5V DDR3 1333 0°C to +85°C H5TQ1G63BFR-H9C 190-7390●
96/FBGA 2 Gbit 128M x 16 1.5V DDR3 1333 0°C to +85°C H5TQ2G63BFR-H9C 190-7391●
96/FBGA 2 GB 128M x 16 1.5V DDR 1886 0°C to +85°C H5TQ2G63DFR-RDC 214-7274●
1
96/FBGA 4 GB 256M x 16 1.5V DDR 1333 0°C to +85°C H5TQ4G63MFR-H9C 214-7275●
Price Each
Pins Pkg Size Configuration V Freq. Temp Mftrs. List No. Order Code 1+ 10+ 100+
54 FBGA 128Mbit 4 BLK(2M x 16) 1.8V 133MHz 0°C to +70°C M52D128168A-7.5BG 162-3166●
90 VFBGA 32Mbit 2 BLK(512K x 32) 1.8V 166MHz -40°C to +85°C M52D32321A-7.5BIG 162-9123●
54 TSOPII 128Mbit 4 BLK(2M x 16) 2.5V 133MHz 0°C to +70°C M52S128168A-7.5TG 162-3169●
54 FBGA 128Mbit 4 BLK(2M x 16) 2.5V 133MHz 0°C to +70°C M52S128168A-7.5BG 162-3170●
90 FBGA 128Mbit 4 BLK(1M x 32) 2.5V 143MHz 0°C to +70°C M52S128324A-7BG 162-3174●
90 VFBGA 32Mbit 2 BLK(512K x 32) 2.5V 166MHz -40°C to +85°C M52S32321A-6BIG 162-9122●
Price Each
Mftr. Pins Pkg Description Mftrs. List No. Order Code 1+ 10+ 100+ 250+ 500+
48bit
2502 MAX 3 TSOC 48-Bit Node Address Chip DS2502P-E48+ 137-9833●
64K
27W101 ST 32 PLCC 1Mb (128K × 8) CMOS OTP PROM - 80ns Access, Low Voltage M27W101-80K6 109-4271●
256K
256 ATM 32 PLCC 256K (32K × 8) CMOS OTP EPROM - 70ns Access AT27C256R-70JU 109-5779●
256 ATM 28 DIP 256K (32K × 8) CMOS OTP EPROM - 70ns Access AT27C256R-70PU 109-5781●
27C256 ST 28 DIP 256K (32K × 8) CMOS EPROM - 100ns Access, 12.75V VPP M27C256B-10F1. 302-5147▲
27C256 ST 28 DIP 256K (32K × 8) CMOS EPROM - 150ns Access, 12.75V VPP M27C256B-15F1 112-5431●
512K
27C512 ATM 32 PLCC 512Kb (64Kx8) OTP EPROM - 45ns Access AT27C512R-45JU 145-5027●
27C512 ATM 28 PDIP 512Kb (64Kx8) OTP EPROM - 45ns Access AT27C512R-45PU 145-5028●
27C512 ST 32 PLCC 512k (64K × 8) CMOS OTP PROM, 90ns Access, 12.75 V VPP M27C512-90C1 121-8315●
27C512 ST 28 DIP 512k (64k × 8) CMOS EPROM 90ns Access, 12.75V VPP M27C512-90F6 109-4264●
27C512 ST 28 DIP 512K (64K × 8) CMOS EPROM - 150ns Access, 12.75V VPP M27C512-15F1 394-713▲
1Mb
27C010 ATM 32 PLCC 1Mb (128K × 8) OTP EPROM - 45ns Access AT27C010-45JU 145-5018●
27C010 ATM 32 PDIP 1Mb (128K × 8) OTP EPROM - 45ns Access AT27C010-45PU 145-5019●
27C1001 ST 32 DIP 1Mb (128K × 8) CMOS EPROM - 120ns Access, 12.75V VPP M27C1001-12F1 113-2006●
27C1001 ST 32 FDIPW 1Mb (128K × 8) CMOS EPROM - 35ns Access M27C1001-15F1 133-4080●
27W101 ST 32 PLCC 1Mb (128K × 8) CMOS OTP PROM - 80ns Access, Low Voltage M27W101-80K6 109-4271●
37
38 farnell.com element14.com
523156
523157
UNI/O EEPROM
1-Wire Interface
These devices support the single I/O UNI/O™ serial bus. By using Manchester encoding techniques, the clock and data are combined into a single, serial bit stream (SCIO), where the clock
signal is extracted by the receiver to correctly decode the timing and value of each bit.
Low-voltage design permits operation down to 1.8V (for 11AAXXX devices), with standby and active currents of only 1 uA and 1 mA, respectively.
508741
38
farnell.com element14.com 39
EEPROM - 2 WIRE
Semiconductors
660150
EEPROM - 3 WIRE
660148
39
40 farnell.com element14.com
523182
1 Kbit
24AA01 MCHIP 8/MSOP 1Kbit 128 x 8 1.7V to 5.5V -40°C to +85°C 24AA01-I/MS 133-1265●
24AA01 MCHIP 8/DIP 1Kbit 128 x 8 1.7V to 5.5V -40°C to +85°C 24AA01-I/P 133-1266●
24AA01 MCHIP 8/SOIC 1Kbit 128 x 8 1.7V to 5.5V -40°C to +85°C 24AA01-I/SN 133-1268●
24AA01 MCHIP 5/SOT-23 1Kbit 128 x 8 1.7V to 5.5V -40°C to +85°C 24AA01T-I/OT 133-1269●
24AA1025 MCHIP 8/SOIC 1Mbit 128 x 8 1.7V to 5.5V -40°C to +85°C 24AA1025-I/SM 157-9569●
24C01 ATM 8/DIP 1Kbit 128 x 8 1.8V to 5.5V -40°C to +85°C AT24C01B-PU 136-2637●
24C01 ATM 8/SOIC 1Kbit 128 x 8 1.8V to 5.5V -40°C to +85°C AT24C01BN-SH-B 136-2638●
24C01 ST 8/DIP 1Kbit 128 x 8 2.5V to 5.5V -40°C to +85°C M24C01-WBN6P 988-2782●
24C01 ST 8/SOIC 1Kbit 128 x 8 2.5V to 5.5V -40°C to +85°C M24C01-WMN6P 988-2790●
24L01 ROHM 8/SSOP 1Kbit 128 x 8 1.8V to 5.5V -40°C to +85°C BR24L01AFV-WE2 162-0317●
24L01 ROHM 8/SOP 1Kbit 128 x 8 1.8V to 5.5V -40°C to +85°C BR24L01AF-WE2 162-0318●
24LC01 MCHIP 5/SC-70 1Kbit 128 x 8 2.5V to 5.5V -40°C to +85°C 24LC01BT-I/LT 170-6291●
24LC01 MCHIP 8/SOIC 1Kbit 128 x 8 2.5V to 5.5V 0°C to +70°C 24LC01B/SN 975-7872●
24LC01 MCHIP 5/SOT-23 1Kbit 128 x 8 2.5V to 5.5V -40°C to +85°C 24LC01BT-I/OT 975-7880●
24LC01 MCHIP 8/DIP 1Kbit 128 x 8 2.5V to 5.5V 0°C to +70°C 24LC01B/P 120-0025●
24LC01 MCHIP 8/SOIC 1Kbit 128 x 8 2.5V to 5.5V -40°C to +85°C 24LC01B-I/SN 129-6569●
24LC01 MCHIP 8/MSOP 1Kbit 128 x 8 2.5V to 5.5V -40°C to +85°C 24LC01B-I/MS 133-1315●
24LC01 MCHIP 8/DIP 1Kbit 128 x 8 2.5V to 5.5V -40°C to +85°C 24LC01B-I/P 155-6159●
24LC01 MCHIP 8/MSOP 1Kbit 128 x 8 2.5V to 5.5V -40°C to +85°C 24LC01BH-I/MS 175-5844●
24LC01 MCHIP 8/DIP 1Kbit 128 x 8 2.5V to 5.5V -40°C to +85°C 24LC01BH-I/P 175-5846●
24LC01 MCHIP 8/SOIC 1Kbit 128 x 8 2.5V to 5.5V -40°C to +85°C 24LC01BH-I/SN 175-5847●
24LC01 MCHIP 8/TSSOP 1Kbit 128 x 8 2.5V to 5.5V -40°C to +85°C 24LC01BH-I/ST 175-5848●
24LC01 MCHIP 8/TSSOP 1Kbit 128 x 8 2.5V to 5.5V 0°C to +70°C 24LC01B/ST 175-5849●
24LC014 MCHIP 8/DIP 1Kbit 128 x 8 2.5V to 5.5V -40°C to +85°C 24LC014-I/P 133-1313●
24LC014 MCHIP 8/SOIC 1Kbit 128 x 8 2.5V to 5.5V -40°C to +85°C 24LC014-I/SN 133-1314●
2 Kbit
24AA02 MCHIP 8/MSOP 2Kbit 256 x 8 1.7V to 5.5V -40°C to +85°C 24AA02-I/MS 133-1270●
24AA02 MCHIP 8/DIP 2Kbit 256 x 8 1.7V to 5.5V -40°C to +85°C 24AA02-I/P 133-1271●
24AA02 MCHIP 8/SOIC 2Kbit 256 x 8 1.7V to 5.5V -40°C to +85°C 24AA02-I/SN 133-1272●
24AA02 MCHIP 5/SOT-23 2Kbit 256 x 8 1.7V to 5.5V -40°C to +85°C 24AA02T-I/OT 133-1273●
24C02 ATM 8/SOIC 2Kbit 256 x 8 1.7V to 5.5V -40°C to +85°C AT24C02C-SSHM-B 197-1995●
24C02 ON 8/SOIC 2Kbit 256 x 8 2.5V to 5.5V -40°C to +125°C CAV24C02WE-GT3 197-2300●
24C02 ON 8/SOIC 2Kbit 256 x 8 1.7V to 5.5V -40°C to +85°C CAT24C02WI-G 163-1134●
24C02 MCHIP 8/MSOP 2Kbit 256 x 8 4.5V to 5.5V -40°C to +85°C 24C02C-I/MS 175-5842●
24C02 MCHIP 8/DIP 2Kbit 256 x 8 4.5V to 5.5V -40°C to +85°C 24C02C-I/P 175-5843●
24C02 ST 8/DFN 2Kbit 256 x 8 1.8V to 5.5V -40°C to +85°C M24C02-RMB6TG 167-1316●
24C02 ST 8/SOIC 2Kbit 256 x 8 2.5V to 5.5V -40°C to +85°C M24C02-WMN6P 988-2804●
24C02 ST 8/DIP 2Kbit 256 x 8 2.5V to 5.5V -40°C to +85°C M24C02-WBN6P 109-4256●
24C02 MCHIP 8/SOIC 2Kbit 256 x 8 4.5V to 5.5V -40°C to +85°C 24C02C-I/SN 108-4339●
24C02 MCHIP 8/DIP 2Kbit 256 x 8 4.5V to 5.5V -40°C to +85°C 24C02C/P 129-6567●
24C02 MCHIP 8/SOIC 2Kbit 256 x 8 4.5V to 5.5V 0°C to +70°C 24C02C/SN 160-7552●
24HC02 ATM 8/DIP 2Kbit 256 x 8 1.8V to 5.5V -40°C to +85°C AT24HC02B-PU 136-2640●
40
farnell.com element14.com 41
Semiconductors
24C04 ST 8/DFN 4Kbit 512 x 8 1.8V to 5.5V -40°C to +85°C M24C04-RMB6TG 167-1314●
24C04 ST 8/SOIC 4Kbit 512 x 8 2.5V to 5.5V -40°C to +85°C M24C04-WMN6P 988-2812●
24C04 ST 8/DIP 4Kbit 512 x 8 2.5V to 5.5V -40°C to +85°C M24C04-WBN6P 109-4258●
24L04 ROHM 8/SOP 4Kbit 512 x 8 1.8V to 5.5V -40°C to +85°C BR24L04F-WE2 162-0324●
24LC04 MCHIP 8/SOIC 4Kbit 2 BLK (256 x 8) 2.5V to 5.5V 0°C to +70°C 24LC04B/SN 108-4244●
24LC04 MCHIP 8/DIP 4Kbit 2 BLK (256 x 8) 2.5V to 5.5V -40°C to +85°C 24LC04B-I/P 119-6811●
24LC04 MCHIP 8/DIP 4Kbit 2 BLK (256 x 8) 2.5V to 5.5V 0°C to +70°C 24LC04B/P 119-6848●
24LC04 MCHIP 8/MSOP 4Kbit 2 BLK (256 x 8) 2.5V to 5.5V -40°C to +85°C 24LC04B-I/MS 133-1320●
24LC04 MCHIP 5/SOT-23 4Kbit 2 BLK (256 x 8) 2.5V to 5.5V -40°C to +85°C 24LC04BT-I/OT 155-6161●
8 Kbit
24AA08 MCHIP 8/SOIC 8Kbit 4 BLK (256 x 8) 1.7V to 5.5V -40°C to +85°C 24AA08T-I/SN 157-9568●
24AA08 MCHIP 8/MSOP 8Kbit 4 BLK (256 x 8) 1.7V to 5.5V -40°C to +85°C 24AA08-I/MS 133-1278●
24AA08 MCHIP 8/SOIC 8Kbit 4 BLK (256 x 8) 1.7V to 5.5V -40°C to +85°C 24AA08-I/SN 133-1282● 1
24AA08 MCHIP 5/SOT-23 8Kbit 4 BLK (256 x 8) 1.7V to 5.5V -40°C to +85°C 24AA08T-I/OT 133-1283●
24C08 ON 8/SOIC 8Kbit 1K x 8 2.5V to 5.5V -40°C to +125°C CAV24C08WE-GT3 197-2304●
24C08 ATM 8/DIP 8Kbit 1K x 8 1.8V to 5.5V -40°C to +85°C AT24C08B-PU 136-2644●
41
42 farnell.com element14.com
24C64 ATM 8/SOIC 64Kbit 8K x 8 1.7V to 5.5V -40°C to +85°C AT24C64D-SSHM-B 197-2009●
24C64 ON 8/SOIC 64Kbit 8K x 8 2.5V to 5.5V -40°C to +125°C CAV24C64WE-GT3 197-2307●
24C64 ATM 8/DIP 64Kbit 8K x 8 1.8V to 3.6V -40°C to +85°C AT24C64C-PU 136-2657●
24C64 ATM 8/SOIC 64Kbit 8K x 8 1.8V to 3.6V -40°C to +85°C AT24C64CN-SH-B 136-2658●
24C64 ON 8/SOIC 64Kbit 8K x 8 1.7V to 5.5V -40°C to +85°C CAT24C64WI-G 163-1140●
24C64 ST 8/SOIC 64Kbit 8K x 8 1.7V to 5.5V -40°C to +85°C M24C64-WMN6P 988-2685●
24C64 ST 8/DIP 64Kbit 8K x 8 2.5V to 5.5V -40°C to +85°C M24C64-WBN6P 109-4259●
24C65 MCHIP 8/SOIC 64Kbit 8K x 8 4.5V to 6V 0°C to +70°C 24C65/SM 146-7721●
24C65 MCHIP 8/DIP 64Kbit 8K x 8 4.5V to 6V 0°C to +70°C 24C65/P 975-7821●
24C65 MCHIP 8/DIP 64Kbit 8K x 8 4.5V to 6V -40°C to +85°C 24C65-I/P 975-7830●
24C65 MCHIP 8/SOIC 64Kbit 8K x 8 4.5V to 6V 0°C to +70°C 24C65T/SM 975-7848●
24C65 MCHIP 8/SOIC 64Kbit 8K x 8 4.5V to 6V -40°C to +85°C 24C65T-I/SM 121-2682●
1 24L64 ROHM 8/SOP 64Kbit 8K x 8 1.8V to 5.5V -40°C to +85°C BR24L64F-WE2 162-0331●
24LC64 MCHIP 5/SOT-23 64Kbit 8K x 8 2.5V to 5.5V -40°C to +85°C 24LC64T-I/OT 170-0992●
24LC64 MCHIP 8/DIP 64Kbit 8K x 8 2.5V to 5.5V -40°C to +85°C 24LC64-I/P 975-8054●
24LC64 MCHIP 8/SOIC 64Kbit 8K x 8 2.5V to 5.5V -40°C to +85°C 24LC64-I/SM 975-8062●
Integrated Circuits & Development Kits
24LC64 MCHIP 8/SOIC 64Kbit 8K x 8 2.5V to 5.5V -40°C to +85°C 24LC64-I/SN 975-8070●
24LC64 MCHIP 8/MSOP 64Kbit 8K x 8 2.5V to 5.5V -40°C to +85°C 24LC64F-I/MS 175-5854●
24LC64 MCHIP 8/DIP 64Kbit 8K x 8 2.5V to 5.5V -40°C to +85°C 24LC64F-I/P 175-5855●
24LC64 MCHIP 8/SOIC 64Kbit 8K x 8 2.5V to 5.5V -40°C to +85°C 24LC64F-I/SN 175-5856●
24LC64 MCHIP 8/TSSOP 64Kbit 8K x 8 2.5V to 5.5V -40°C to +85°C 24LC64F-I/ST 175-5858●
24LC64 MCHIP 8/TSSOP 64Kbit 8K x 8 2.5V to 5.5V -40°C to +85°C 24LC64-I/ST 129-6582●
24LC64 MCHIP 8/SOIC 64Kbit 8K x 8 2.5V to 5.5V -40°C to +85°C 24LC64T-I/SN 129-6583●
24LC64 MCHIP 8/MSOP 64Kbit 8K x 8 2.5V to 5.5V -40°C to +85°C 24LC64-I/MS 133-1335●
24LC64 MCHIP 8/DFN 64Kbit 8K x 8 2.5V to 5.5V -40°C to +85°C 24LC64T-I/MC 133-1336●
24LC65 MCHIP 8/DIP 64Kbit 8K x 8 2.5V to 6V 0°C to +70°C 24LC65/P 975-8089●
24LC65 MCHIP 8/SOIC 64Kbit 8K x 8 2.5V to 6V 0°C to +70°C 24LC65/SM 975-8097●
24LC65 MCHIP 8/SOIC 64Kbit 8K x 8 2.5V to 6V -40°C to +85°C 24LC65-I/SM 160-7553●
24LC65 MCHIP 8/DIP 64Kbit 8K x 8 2.5V to 6V -40°C to +85°C 24LC65-I/P 120-0024●
128 Kbit
24128 ST 8/DFN 128Kbit 16K x 8 1.7V to 5.5V -40°C to +85°C M24128-BFMB6TG 167-1309●
24128 ST 8/SOIC 128Kbit 16K x 8 2.5V to 5.5V -40°C to +85°C M24128-BWMN6P 122-4409●
24AA128 MCHIP 8/CS 128Kbit 16K x 8 1.7V to 5.5V -40°C to +85°C 24AA128T-I/CS15K 170-1609●
24AA128 MCHIP 8/MSOP 128Kbit 16K x 8 1.8V to 5.5V -40°C to +85°C 24AA128-I/MS 133-1285●
24C128 ATM 8/SOIC 128Kbit 16K x 8 1.7V to 5.5V -40°C to +85°C AT24C128C-SSHM-B 197-1996●
24C128 ATM 8/TSSOP 128Kbit 16K x 8 1.7V to 5.5V -40°C to +85°C AT24C128C-XHM-B 197-1997●
24C128 ATM 8/SOIC 128Kbit 16K x 8 1.8V to 5.5V -40°C to +85°C AT24C128BN-SH-B 136-2649●
24C128 ON 8/SOIC 128Kbit 16K x 8 1.8V to 5.5V -40°C to +85°C CAT24C128WI-G 163-1141●
24LC128 MCHIP 8/DIP 128Kbit 16K x 8 2.5V to 5.5V -40°C to +85°C 24LC128-I/P 975-7929●
24LC128 MCHIP 8/SOIC 128Kbit 16K x 8 2.5V to 5.5V -40°C to +85°C 24LC128-I/SN 975-7937●
24LC128 MCHIP 8/TSSOP 128Kbit 16K x 8 2.5V to 5.5V -40°C to +85°C 24LC128-I/ST 129-6573●
24LC128 MCHIP 8/SOIC 128Kbit 16K x 8 2.5V to 5.5V -40°C to +85°C 24LC128T-I/SN 129-6574●
24LC128 MCHIP 8/MSOP 128Kbit 16K x 8 2.5V to 5.5V -40°C to +85°C 24LC128-I/MS 133-1325●
24WC128 ON 8/SOIC 128Kbit 16K x 8 1.8V to 6V -40°C to +85°C CAT24WC128WI 880-3471●
256 Kbit
24256 ST 8/SOIC 256Kbit 32K x 8 -40°C to +85°C M24256-BWMN6P 122-4411●
24AA256 MCHIP 8/SOIJ 256Kbit 32K x 8 1.7V to 5.5V -40°C to +85°C 24AA256T-I/SM 157-9570●
24AA256 MCHIP 8/DFN 256Kbit 32K x 8 1.7V to 5.5V -40°C to +85°C 24AA256-I/MF 133-1291●
24AA256 MCHIP 8/MSOP 256Kbit 32K x 8 1.7V to 5.5V -40°C to +85°C 24AA256-I/MS 133-1293●
24AA256 MCHIP 8/DIP 256Kbit 32K x 8 1.7V to 5.5V -40°C to +85°C 24AA256-I/P 133-1294●
24AA256 MCHIP 8/SOIC 256Kbit 32K x 8 1.7V to 5.5V -40°C to +85°C 24AA256-I/SN 133-1295●
24C256 ATM 8/SOIC 256Kbit 32K x 8 1.7V to 5.5V -40°C to +85°C AT24C256C-SSHL-B 197-2001●
24C256 ON 8/SOIC 256Kbit 32K x 8 1.8V to 5.5V -40°C to +85°C CAT24C256XI 163-1143●
24FC256 MCHIP 8/DIP 256Kbit 32K x 8 1.7V to 5.5V -40°C to +85°C 24FC256-I/P 157-9571●
24FC256 MCHIP 8/SOIC 256Kbit 32K x 8 1.7V to 5.5V -40°C to +85°C 24FC256-I/SN 157-9572●
24FC256 MCHIP 8/SOIC 256Kbit 32K x 8 2.5V to 5.5V -40°C to +125°C 24LC256-E/SN 157-9573●
24LC256 MCHIP 8/DIP 256Kbit 32K x 8 2.5V to 5.5V -40°C to +85°C 24LC256-I/P 152-3918●
24LC256 MCHIP 8/DIP 256Kbit 32K x 8 2.5V to 5.5V -40°C to +85°C 24LC256-I/P 975-7970●
42
farnell.com element14.com 43
Semiconductors
24LC512 MCHIP 8/SOIC 512Kbit 64K x 8 2.5V to 5.5V -40°C to +85°C 24LC512-I/SM 975-8038●
24LC512 MCHIP 8/DFN 512Kbit 64K x 8 2.5V to 5.5V -40°C to +85°C 24LC512-I/MF 133-1333●
24FC512 MCHIP 8/SOIC 512Kbit 64K x 8 1.7V to 5.5V -40°C to +85°C 24FC512-I/SM 143-9744●
24FC512 MCHIP 8/DIP 512Kbit 64K x 8 1.7V to 5.5V -40°C to +85°C 24FC512-I/P 146-7723●
24LC512 MCHIP 8/SOIC 512Kbit 64K x 8 2.5V to 5.5V -40°C to +125°C 24LC512-E/SM 157-9574●
24LC515 MCHIP 8/SOIC 512Kbit 64K x 8 2.5V to 5.5V -40°C to +85°C 24LC515-I/SM 975-8046●
1 Mbit
24AA1025 MCHIP 8/DIP 1Mbit 128K x 8 1.7V to 5.5V -40°C to +85°C 24AA1025-I/P 146-7720●
24C1024 ATM 8/SOIC 1Mbit 128K x 8 1.8V to 5.5V -40°C to +85°C AT24C1024BN-SH-B 163-6610●
24C1024 ATM 8/DIP 1Mbit 128K x 8 1.8V to 3.6V -40°C to +85°C AT24C1024B-PU 163-6611●
24C1024 ATM 8/SOIC-W 1Mbit 128K x 8 1.8V to 5.5V -40°C to +85°C AT24C1024BW-SH-B 163-6612●
24C1024 ATM 8/DIP 1Mbit 128K x 8 1.8V to 5.5V -40°C to +85°C AT24C1024B-PU25 136-2646●
24C1024 ATM 8/SOIC 1Mbit 128K x 8 1.8V to 5.5V -40°C to +85°C AT24C1024BW-SH25-B 136-2647● 1
24C1024 ATM 8/SOIC 1Mbit 128K x 8 2.5V to 5.5V -40°C to +85°C AT24C1024BN-SH25-B 145-5012●
24FC1025 MCHIP 8/SOIC 1Mbit 128K x 8 2.5V to 5.5V -40°C to +85°C 24FC1025-I/SM 129-6568●
24LC1025 MCHIP 8/DIP 1Mbit 128K x 8 2.5V to 5.5V -40°C to +85°C 24LC1025-I/P 129-6572●
523189
43
44 farnell.com element14.com
93LC56 MCHIP SOIC 8 2Kbit 128 x 16 2.5 to 5.5 0 to +70 93LC56B/SN 975-8240●
93LC56 MCHIP SOIC 8 2Kbit 128 x 16 2.5 to 5.5 -40 to +85 93LC56B-I/SN 975-8259●
93C56 ST SOIC 8 2Kbit 256 x 8 / 128 x 16 2.5 to 5.5 -40 to +85 M93C56-WMN6P 988-2448●
93LC56 MCHIP DIP 8 2Kbit 128 x 16 2.5 to 5.5 0 to +70 93LC56B/P 108-4250●
93LC56 MCHIP SOIC 8 2Kbit 256 x 8 / 128 x 16 2.5 to 5.5 0 to +70 93LC56/SN 120-0028●
93LC56 MCHIP DIP 8 2Kbit 256 x 8 2.5 to 5.5 0 to +70 93LC56A/P 121-2671●
93LC56 MCHIP SOIC 8 2Kbit 256 x 8 2.5 to 5.5 0 to +70 93LC56A/SN 121-2672●
93LC56 MCHIP SOIC 8 2Kbit 256 x 8 2.5 to 5.5 -40 to +85 93LC56A-I/SN 133-1465●
93LC56 MCHIP DIP 8 2Kbit 128 x 16 2.5 to 6 -40 to +85 93LC56B-I/P 146-7725●
93LC56 MCHIP PDIP 8 2Kbit 256 x 8 / 128 x 16 2.5 to 5.5 -40 to +85 93LC56C-I/P 155-6157●
93LC56 MCHIP SOIC 8 2Kbit 256 x 8 / 128 x 16 2.5 to 5.5 -40 to +85 93LC56C-I/SN 155-6166●
4 Kb
1 93AA66 MCHIP SOIC 8 4Kbit 512 x 8 1.8 to 5.5 -40 to +85 93AA66A-I/SN 133-1432●
93AA66 MCHIP SOT-23 6 4Kbit 512 x 8 1.8 to 5.5 -40 to +85 93AA66AT-I/OT 133-1433●
93AA66 MCHIP MSOP 8 4Kbit 256 x 16 1.8 to 5.5 -40 to +85 93AA66B-I/MS 133-1434●
93AA66 MCHIP SOIC 8 4Kbit 256 x 16 1.8 to 5.5 -40 to +85 93AA66B-I/SN 133-1436●
Integrated Circuits & Development Kits
93AA66 MCHIP SOIC 8 4Kbit 512 x 8 / 256 x 16 1.8 to 5.5 -40 to +85 93AA66C-I/SN 133-1441●
93L66 ROHM SOP 8 4Kbit 256 x 16 1.8 to 5.5 -40 to +85 BR93L66RF-WE2 162-0337●
93C66 CAT SOIC 8 4Kbit 512 x 8 / 256 x 16 1.8 to 5.5 -40 to +85 CAT93C66VI-G 163-1149●
93C66 ST DFN 8 4Kbit 512K x 8 1.8 to 5.5 -40 to +85 M93C66-RMB6TG 167-1324●
93LC66 MCHIP DIP 8 4Kbit 512 x 8 2.5 to 5.5 0 to +70 93LC66A/P 975-8267●
93LC66 MCHIP SOIC 8 4Kbit 512 x 8 2.5 to 5.5 0 to +70 93LC66A/SN 975-8275●
93LC66 MCHIP DIP 8 4Kbit 256 x 16 2.5 to 5.5 0 to +70 93LC66B/P 975-8283●
93LC66 MCHIP SOIC 8 4Kbit 256 x 16 2.5 to 5.5 0 to +70 93LC66B/SN 975-8291●
93LC66 MCHIP SOIC 8 4Kbit 256 x 16 2.5 to 5.5 -40 to +85 93LC66B-I/SN 975-8305●
93C66 ST SOIC 8 4Kbit 512 x 8 / 256 x 16 2.5 to 5.5 -40 to +85 M93C66-WMN6P 988-2758●
93LC66 MCHIP SOIC 8 4Kbit 512 x 8 / 256 x 16 2.5 to 5.5 -40 to +85 93LC66C-I/SN 120-0437●
93LC66 MCHIP SOIC 8 4Kbit 512 x 8 / 256 x 16 2.5 to 5.5 -40 to +85 93LC66/SN 129-6586●
93LC66 MCHIP MSOP 8 4Kbit 512 x 8 2.5 to 5.5 -40 to +85 93LC66A-I/MS 133-1469●
93LC66 MCHIP PDIP 8 4Kbit 512 x 8 2.5 to 5.5 -40 to +85 93LC66A-I/P 133-1470●
93LC66 MCHIP SOIC 8 4Kbit 512 x 8 2.5 to 5.5 -40 to +85 93LC66A-I/SN 133-1471●
93LC66 MCHIP MSOP 8 4Kbit 256 x 16 2.5 to 5.5 -40 to +85 93LC66B-I/MS 133-1472●
93LC66 MCHIP PDIP 8 4Kbit 256 x 16 2.5 to 5.5 -40 to +85 93LC66B-I/P 155-6167●
93LC66 MCHIP SOT-23 6 4Kbit 512 x 8 / 256 x 16 2.5 to 5.5 -40 to +85 93LC66BT-I/OT 155-6168●
93LC66 MCHIP PDIP 8 4Kbit 256 x 16 2.5 to 5.5 -40 to +85 93LC66C-I/P 155-6169●
96C66C MCHIP DIP 8 4Kbit 512K x 8bit 4.5V to 5.5V -40°C to +85°C to 93C66C-I/P 209-8002●
8 Kb
93AA76 MCHIP SOT-23 6 8Kbit 1K x 8 1.8 to 5.5 -40 to +85 93AA76AT-I/OT 133-1443●
93AA76 MCHIP MSOP 8 8Kbit 1K x 8 / 512 x 16 1.8 to 5.5 -40 to +85 93AA76C-I/MS 133-1445●
93AA76 MCHIP SOIC 8 8Kbit 1K x 8 / 512 x 16 1.8 to 5.5 -40 to +85 93AA76C-I/SN 133-1447●
93C76 SEI SOP 8 8Kbit 512 x 16 1.8 to 5.5 -40 to +85 S-93C76ADFJ-TB-G 160-6983●
16 Kb 32
93AA86 MCHIP SOT-23 6 16Kbit 1K x 16 1.8 to 5.5 -40 to +85 93AA86BT-I/OT 133-1451●
93AA86 MCHIP MSOP 8 16Kbit 2K x 8 / 1K x 16 1.8 to 5.5 -40 to +85 93AA86C-I/MS 133-1452●
93AA86 MCHIP SOIC 8 16Kbit 2K x 8 / 1K x 16 1.8 to 5.5 -40 to +85 93AA86C-I/SN 133-1455●
93C86 ST DIP 8 16Kbit 2K x 8 / 1K x 16 2.5 to 5.5 -40 to +85 M93C86-WBN6P 988-2693●
93C86 ST SOIC 8 16Kbit 2K x 8 / 1K x 16 2.5 to 5.5 -40 to +85 M93C86-WMN6P 988-2707●
93LC86 MCHIP SOIC 8 16Kbit 2K x 8 / 1K x 16 2.5 to 5.5 -40 to +85 93LC86-I/SN 119-6821●
93LC86 MCHIP DIP 8 16Kbit 2K x 8 / 1K x 16 2.5 to 5.5 -40 to +85 93LC86C-I/P 119-6824●
93LC86 MCHIP DIP 8 16Kbit 2K x 8 / 1K x 16 2.5 to 5.5 0 to +70 93LC86/P 129-6587●
93LC86 MCHIP SOT-23 6 16Kbit 1K x 16 2.5 to 5.5 -40 to +85 93LC86BT-I/OT 155-6172●
93LC86 MCHIP SOIC 8 16Kbit 2K x 8 / 1K x 16 2.5 to 5.5 -40 to +85 93LC86C-I/SN 155-6173●
44
farnell.com element14.com 45
EEPROM - SPI
210473
Semiconductors
95020 ST SOIC 8 2Kbit 256 x 8 2.5 to 5.5 -40 to +85 M95020-WMN6P 121-8168●
25LC020 MCHIPPDIP 8 2Kbit 256 x 8 2.5 to 5.5 -40 to +85 25LC020A-I/P 133-1381●
25C020 SEI SOP 8 2Kbit 256Word x 8bit1.6V to 5.5V to -40°C to +85°C to S-25C020A0I-J8T1U 207-5328●
4 Kb
25040 ATM TSSOP 8 4Kbit 512 x 8 1.8V to 5.5V -40°C to +85°C AT25040B-XHL-B 197-2011●
25AA040 MCHIPMSOP 8 4Kbit 512 x 8 1.8 to 5.5 -40 to +85 25AA040A-I/MS 133-1345●
25AA040 MCHIPPDIP 8 4Kbit 512 x 8 1.8 to 5.5 -40 to +85 25AA040A-I/P 133-1347●
25AA040 MCHIPSOIC 8 4Kbit 512 x 8 1.8 to 5.5 -40 to +85 25AA040A-I/SN 133-1348●
25AA040 MCHIPSOT-236 4Kbit 512 x 8 1.8 to 5.5 -40 to +85 25AA040AT-I/OT 133-1349●
95040 ST DFN 8 4Kbit 512K x 8 1.8 to 5.5 -40 to +85 M95040-RMB6TG 167-1322●
25LC040 MCHIPDIP 8 4Kbit 512 x 8 2.5 to 5.5 0 to +70 25LC040/P 975-8100●
25LC040 MCHIPSOIC 8 4Kbit 512 x 8 2.5 to 5.5 -40 to +85 25LC040-I/SN 975-8119●
25LC040
95040
MCHIPSOIC 8
ST SOIC 8
4Kbit
4Kbit
512 x 8
512 x 8
2.5 to 5.5
2.5 to 5.5
0 to +70
-40 to +85
25LC040/SN
M95040-WMN6P
108-4247●
121-8169●
1
25LC040 MCHIPPDIP 8 4Kbit 512 x 8 2.5 to 5.5 -40 to +85 25LC040A-I/P 133-1386●
25LC040 MCHIPSOIC 8 4Kbit 512 x 8 2.5 to 5.5 -40 to +85 25LC040A-I/SN 133-1387●
45
46 farnell.com element14.com
25C128 SEI SOP 8 128Kbit 16K x 8bit 1.6V to 5.5V to -40°C to +85°C to S-25C128A0I-J8T1U3 207-5334●
256 Kb
25AA256 MCHIPDFN 8 256Kbit 32K x 8 1.8 to 5.5 -40 to +85 25AA256-I/MF 133-1366●
25AA256 MCHIPPDIP 8 256Kbit 32K x 8 1.8 to 5.5 -40 to +85 25AA256-I/P 133-1367●
25AA256 MCHIPSOIC 8 256Kbit 32K x 8 1.8 to 5.5 -40 to +85 25AA256-I/SN 133-1368●
25AA256 MCHIPTSSOP 8 256Kbit 32K x 8 1.8 to 5.5 -40 to +85 25AA256-I/ST 133-1369●
25256 CAT TSSOP 8 256Kbit 32K x 8 1.8 to 5.5 -40 to +85 CAT25256YI-G 163-1133●
25256 CAT SOIC 8 256Kbit 32K x 8 1.8 to 5.5 -40 to +85 CAT25256XI 166-7070●
95256 ST SO 8 256Kbit 32K x 8 2.5 to 5.5 -40 to +85 M95256-WMN6P 109-9712●
25LC256 MCHIPSOIC 8 256Kbit 32K x 8 2.5 to 5.5 -40 to +85 25LC256-I/SN 129-6584●
25LC256 MCHIPPDIP 8 256Kbit 32K x 8 2.5 to 5.5 -40 to +85 25LC256-I/P 133-1398●
25C256 SEI SOP 8 256Kbit 32K x 8bit 1.6V to 5.5V to -40°C to +85°C to S-25C256A0I-J8T1U4 207-5335●
1 512 Kb
25512 ATM TSSOP 8 512Kbit 64K x 8 1.8V to 5.5V -40°C to +85°C AT25512-TH-B 197-2012●
25LC512 MCHIPPDIP 8 512Kbit 64K x 8 1.8 to 5.5 -40 to +85 25LC512-I/P 155-6152●
25LC512 MCHIPSOIC 8 512Kbit 64K x 8 1.8 to 5.5 -40 to +85 25LC512-I/SN 155-6153●
Integrated Circuits & Development Kits
The Serial SuperFlash姞 Kit 1 allows evaluation of SST Serial Flash Devices which are made using the SST SuperFlash姞
technology. The Serial SuperFlash姞 Kit 1 contains three Serial Flash PICtail™ Plus Daughter Boards. The Serial Flash PICtail
Plus Daughter Board is designed to interface with PICtail Plus connector found on Explorer 16 development board.
Ì 8-pin header provides easy access to Serial Flash pins for probing and evaluation
Ì 3-pin header provides easy access for external power supply and power measure-
ment capability 662662
Ì Plugs into PICtail™ Plus connector of
Order Code Price Each
Explorer 16 development board
AC243005-1 212-5008●
46
farnell.com element14.com 47
311805
Semiconductors
Pins Package Size Voltage Temperature Mftrs. List No. Order Code 1+ 10+ 100+
S25FL032P 8 SOIC 32Mbit 2.7V to 3.6V -40°C to +85°C S25FL032P0XMFI010 197-2441●
S25FL032P 8 SOIC 32Mbit 2.7V to 3.6V -40°C to +85°C S25FL032P0XMFI011 197-2442●
S25FL032P 8 SOIC 32Mbit 2.7V to 3.6V -40°C to +85°C S25FL032P0XMFI013 197-2444●
S25FL064P 16 SOIC 64Mbit 2.7V to 3.6V -40°C to +85°C S25FL064P0XMFI001 197-2445●
S25FL129P 16 SOIC 128Mbit 2.7V to 3.6V -40°C to +85°C S25FL129P0XMFI001 197-2446●
S25FL129P 16 SOIC 128Mbit 2.7V to 3.6V -40°C to +85°C S25FL129P0XMFI003 197-2447●
The Spansion S29GL-P are Mirrorbit姞 Flash products fabricated on 90 nm process technology. These devices offer a fast page access time of
25 ns with a corresponding random access time as fast as 90 ns.
They feature a Write Buffer that allows a maximum of 32 words/64 bytes to be programmed in one operation, resulting in faster effective pro-
gramming time than standard programming algorithms. This makes these devices ideal for today’s embedded applications that require higher
density, better performance and lower power consumption.
Features:
Ì Single 3V read/program/erase (2.7-3.6 V) Ì Uniform 64 Kword/128 Kbyte Sector Architecture
Ì Enhanced VersatileI/O™ control - S29GL01GP: One thousand twenty-four sectors
Ì 90 nm MirrorBit process technology - S29GL512P: Five hundred twelve sectors
Ì 8-word/16-byte page read buffer - S29GL256P: Two hundred fifty-six sectors
Ì 32-word/64-byte write buffer reduces overall programming - S29GL128P: One hundred twenty-eight sectors
Ì time for multiple-word updates Ì 100,000 erase cycles per sector typical
Ì Secured Silicon Sector region Ì 20-year data retention typical
47
48 farnell.com element14.com
DataFlash devices are ideal for both non-volatile code and data storage requirements. They are perfectly suited for digital voice, image, and data storage applications where small physical size
and low power consumption is required.
Features:
Ì Available in densities from 1 Mbits to 64 Mbits (simple upgrade path) Ì 1 - 4 Mbits: 264 bytes
Ì Simple SPI Serial Interface requiring only 7 pins to interface to host processor Ì 16 - 32 Mbits: 528 bytes
Semiconductors
48
farnell.com element14.com 49
Price Each
Pins/Package Description Mftrs. List No. Order Code 1+ 10+ 100+
25P05 8/SOIC 512K (64K × 8) Serial Flash Memory -SPI interface (IND TEMP) . . . . . . . . . . . . . . . . . . . . M25P05-AVMN6P 988-2855●
45PE10 8/SO 1Mb (128k × 8) Serial Flash Memory -SPI interface (IND TEMP) . . . . . . . . . . . . . . . . . . . . M45PE10-VMN6P 109-9702●
25P20 8/VDFPN 2Mb (256K x 8) Serial Flash Memory - SPI Interface (IND TEMP) . . . . . . . . . . . . . . . . . . . . M25P20-VMP6G 109-9665●
45PE20 8/SO 2Mb (256k × 8) Serial Flash Memory -SPI interface (IND TEMP) . . . . . . . . . . . . . . . . . . . . M45PE20-VMN6P 109-9704●
45PE20 8/VDFPN 2Mb (256k × 8) Serial Flash Memory -SPI interface (IND TEMP) . . . . . . . . . . . . . . . . . . . . M45PE20-VMP6G 109-9705●
45PE40 8/VDFPN 4Mb (512k × 8) Serial Flash Memory -SPI interface (IND TEMP) . . . . . . . . . . . . . . . . . . . . M45PE40-VMP6G 109-9706●
25P80 8/VFQFPN 8Mb (1Mb × 8) Serial Flash Memory -SPI interface (IND TEMP) . . . . . . . . . . . . . . . . . . . . M25P80-VMP6G 109-9669●
25P80 8/WSOIC 8Mb (1M × 8) Serial Flash Memory -SPI interface (IND TEMP) . . . . . . . . . . . . . . . . . . . . . M25P80-VMW6G 122-4415●
45PE80 8/VFQFPN 8Mb (1Mb × 8) Serial Flash Memory -SPI interface (IND TEMP) . . . . . . . . . . . . . . . . . . . . M45PE80-VMP6G 109-9708●
25P16 8/VDFPN 16Mb (2Mb × 8) Serial Flash Memory - SPI Interface (IND TEMP). . . . . . . . . . . . . . . . . . . M25P16-VME6G 109-9664●
25P16 16/SOIC 16Mb (2Mb × 8) Serial Flash Memory - SPI Interface (IND TEMP). . . . . . . . . . . . . . . . . . . M25P16-VMF6P 866-1316●
25P32 8/VDFPN 32Mb (4Mb × 8) Serial Flash Memory - SPI Interface (IND TEMP). . . . . . . . . . . . . . . . . . . M25P32-VME6G 109-9666●
Semiconductors
SST Serial Flash Memory
With SST serial flash, less is definitely more. Lower pin count means less space, smaller PCBs, reduced system costs and lower power consumption. With three product families, you are
sure to find the right combination of cost, performance and interface to meet your serial flash needs. The 25 Series SPI family is among the industry’s lowest power 3.0V and 1.8V products.
The award-winning 26 Series SQI family provides all the small size and low power advantages of serial flash with performance rivaling that of parallel flash. Our 49 Series LPC products are
ideal for embedded CPU applications that support the Firmware Hub interface.
479913
49
50 farnell.com element14.com
25L016 16/SOIC 16Mbit 16M x 1 100MHz 0°C to +70°C 2.7V to 3.6V A25L016N-F 190-7084●
25L016 8/SOP 16Mbit 16M x 1 100MHz -40°C to +85°C 2.7V to 3.6V A25L016M-F 169-7529●
25L032 8/SOP 32Mbit 4M x 1 100MHz -40°C to +85°C 2.7V to 3.6V A25L032AM-F 211-5450●
25L032 8/DIP 32Mbit 32M x 1 100MHz 0°C to +70°C 2.7V to 3.6V A25L032-F 190-7085●
25L032 8/SOIC 32Mbit 32M x 1 100MHz 0°C to +70°C 2.7V to 3.6V A25L032M-F 190-7087●
25L032 16/SOIC 32Mbit 32M x 1 100MHz 0°C to +70°C 2.7V to 3.6V A25L032N-F 190-7088●
25LQ032 8/DIP 32Mbit 32M x 1 100MHz 0°C to +70°C 2.7V to 3.6V A25LQ032-F 190-7089●
25LQ032 8/SOIC 32Mbit 32M x 1 100MHz 0°C to +70°C 2.7V to 3.6V A25LQ032M-F 190-7090●
25LQ032 16/SOIC 32Mbit 32M x 1 100MHz 0°C to +70°C 2.7V to 3.6V A25LQ032N-F 190-7091●
8/SOIC 2Mbit 100MHz -40°C to +85°C 2.7V to 3.6V A25L020M-UF 207-9667●
Memory blocks can be protected from programming or erasure to prevent accidental overwriting of data.
Features:
Ì Fast access time Ì 100,000 Program/Erase cycles
Ì Fast programming time 10μs by byte/16μs by word typical Ì Electronic Signature
Ì Block, Multi-Block and Chip Erase Ì Single 5 Volt supply for Program/Erase/Read
Ì Multi-block Protection/Temporary Unprotection modes
211027
Based on SuperFlash姞 technology, the SST parallel flash collection features products with high performance, superior reliability, low power consumption and small sector size. Our MPF™
(Multi-Purpose Flash) products, including MPF+ and Advanced MPF+, provide fast read and program times with features such as Erase-Suspend/Erase-Resume, Boot Block, Security ID,
Hardware Reset and heightened protection features. The MTP™ (Many-Time Programmable) family combines the erase capability of flash with the cost effectiveness of EPROM/OTP memory,
while SSF™ (Small-Sector Flash) is ideal for applications requiring fine data granularity. The multi-bank architecture and Read-while-Write operations of the CSF™ Concurrent SuperFlash) se-
ries make it ideal for the wireless industry.
479814
50
farnell.com element14.com 51
Semiconductors
32/TSOP x8 MPF 4.5V to 5.5V 4Mbit 70ns -40°C to +85°C SST39SF040-70-4I-WHE 182-9982●
32/LCC x8 MPF 2.7V to 3.6V 4Mbit 70ns -40°C to +85°C SST39VF040-70-4I-NHE. 187-1952●
32/TSOP x8 MPF 2.7V to 3.6V 4Mbit 70ns -40°C to +85°C SST39VF040-70-4I-WHE. 187-1953●
32/LCC x8 MPF 2.7V to 3.6V 4Mbit 70ns 0°C to +70°C SST39VF040-70-4C-NHE. 187-1949●
32/TSOP x8 MPF 2.7V to 3.6V 4Mbit 70ns 0°C to +70°C SST39VF040-70-4C-WHE. 187-1951●
48/TSOP x16 MPF 3V to 3.6V 4Mbit 55ns 0°C to +70°C SST39LF400A-55-4C-EKE 182-9974●
48/BGA x16 MPF 2.7V to 3.6V 4Mbit 70ns 0°C to +70°C SST39VF400A-70-4C-B3KE 187-1959●
48/TSOP x16 MPF 2.7V to 3.6V 4Mbit 70ns -40°C to +85°C SST39VF400A-70-4I-EKE. 187-1963●
48/TSOP x16 MPF 2.7V to 3.6V 4Mbit 70ns 0°C to +70°C SST39VF400A-70-4C-EKE. 187-1960●
48/BGA x16 MPF 2.7V to 3.6V 4Mbit 70ns -40°C to +85°C SST39VF400A-70-4I-B3KE 187-1962●
48/TSOP x16 MPF 3V to 3.6V 8Mbit 55ns 0°C to +70°C SST39LF800A-55-4C-EKE 182-9975●
48/TSOP x16 MPF+ 2.7V to 3.6V 8Mbit 70ns -40°C to +85°C SST39VF800A-70-4I-EKE 182-9998●
48/BGA x16 MPF 2.7V to 3.6V 8Mbit 70ns 0°C to +70°C SST39VF800A-70-4C-B3KE 187-1964● 1
48/TSOP x16 MPF 2.7V to 3.6V 8Mbit 70ns 0°C to +70°C SST39VF800A-70-4C-EKE 136-8673●
48/TSOP x16 MPF+ 2.7V to 3.6V 8Mbit 70ns 0°C to +70°C SST39VF801C-70-4C-EKE 206-5412●
48/TFBGA x16 MPF+ 2.7V to 3.6V 8Mbit 70ns 0°C to +70°C SST39VF801C-70-4C-B3KE 206-5413●
NAND Flash
Hynix has broad product portfolio, offering various densities. Due to the proliferation of digital content, NAND flash memory
products are used in a wide variety of applications such as MP3/PMP, digital camera, camcorder, memory card, USB flash
drive and other consumer electronics such as game console, navigation. Currently, Hynix NAND flash memory is being widely
adopted in the mobile handset s and we are also developing PC storage solutions based on the NAND Flash chips.
Features:
Ì High density NAND flash memories Ì Electronic Signature Ì Automatic page 0 read at power-up option Ì Data integrity
Ì Copy back program mode - Manufacturer Code - Boot from NAND support - 100,000 Program/Erase cycles
- Fast page copy without external buffering - Device Code - Automatic Memory Download - 10 years Data Retention
449339
51
52 farnell.com element14.com
Price Each
Pins/Package Description Memory Config. Mftrs. List No. Order Code 1+ 10+ 100+
48/TSOP 128 MBit NAND Flash Memory . . . . . . . . . . 16M x 8b NAND128W3A2BN6E 122-4398●
48/TSOP 256 MBit NAND Flash Memory . . . . . . . . . . 32M x 8b NAND256W3A2BN6E 122-4400●
48/TSOP 1Gbit NAND Flash Memory . . . . . . . . . . . . . 128M x 8b NAND01GW3B2CN6E 206-5607●
The ATA Flash Disk Controller recognizes the control, address, and data signals on the ATA/IDE bus and translates them into memory accesses to the standard NAND-
type flash media. The SST55LD019A/B device supports Single Level Cell (SLC) flash media. The SST55LD019M device supports Multi-Level Cell (MLC) and high density
Single-Level Cell (SLC) flash media.
479521
Price Each
Mftrs. List No. Mftr.PinsPkg Description Order Code 1+ 25+ 100+ 250+ 500+
SST55LD019A-45-I-TQWE SST 100 TQFP Supported Capacity up to 4GB. Performance-Sustained Write Speed up to 6.0 MB/sec 136-8700●
SST55LD019B-45-I-TQWE SST 100 TQFP Supported Capacity up to 8GB. Performance-Sustained Write Speed up to 10.0 MB/sec 136-8701●
SST55LD019M-45-I-TQWE SST 100 TQFP Supported Capacity 128MB to 32GB. Performance-Sustained Write Speed up to 10.0 MB/sec 136-8702●
with a minimal electronic interface (typically, a single port pin of a factor (36.4mm x 42.8mm x 3.3mm). Low-
microcontroller). power CMOS technology combined with high performance.
Ì Unique, factory-lasered and tested 64-Bit registration number Ì Power saving mode (with automatic wake-up)
Ì Standby Current <1μA Ì Patented power-off reliability
Ì Built-In multidrop controller enables multiple DS2411s to reside on a common 1-Wire姞 Ì Hot swappable in PCMCIA modes
network Ì Available in 1, 2, 4 and 8GB capacities
Ì Low cost, surface mount package 660164
Ì Overdrive mode boosts communication speed to 125kbps Mftrs. Memory Price Each
Case No. of Operating List No. Size Order Code 1+
Function Style Pins Temperature Mftrs. List No.
SFCF1024H4BK2SA-I-MS-553-SMA 1GB 210-3902●
Silicon Serial Number IC SOT-23 3 -40°C to +85°C DS2411R+U
660892 SFCF2048H4BK2SA-I-DS-553-SMA 2GB 210-3903●
Price Each SFCF4096H4BK2SA-I-QT-553-SMA 4GB 210-3905●
SFCF8192H4BK4SA-I-QT-553-SMA 8GB 210-3906●
Mftrs. List No. Order Code 1+ 10+ 100+ 250+
DS2411R+U 211-4742●
Industrial CFast™ Cards
Silicon Serial Number Chip F100 Series
I2C/SMBus F100 Series CFast™ industrial standard
memory flash featuring highly integrat-
The DS28CM00 is a low-cost, electronic ed memory controllers, small form fac-
registration number to provide an absolutely tors (CompactFlash card sized Solid State Drive (SSD) with
unique identity that can be determined with SATA interface (42.8mm x 36.4mm x3.3mm (max. 3.6mm)
the industry standard I2C and SMBus interface. and low-power CMOS technology.
Ì Unique, factory-lasered and tested 64-bit registration number
Ì SMBus-compatible I2C serial interface Ì 7+17 pin (SATA+power) CFast connector
Ì Supports 100kHz and 400kHz communication speeds Ì 3.3V ± 10% power supply
Ì 5V tolerant interface pins Ì Low Power, less than 500mA (CFAST Power level 0)
Ì 5-Pin SOT23 package Ì No mechanical noise
Case No. of Operating Ì Activity LED output
Function Style Pins Temperature Mftrs. List No. Ì High reliability and performance
Silicon Serial Number IC SOT-23 5 -40°C to +85°C DS28CM00R-A00+U Ì 2 temperature ranges: Commercial (0 to 45°C), industrial (-40 to 85°C)
660893 Ì Available in 4 and 8GB capacities
660166
Price Each
Mftrs. List No. Order Code 1+ 10+ 100+ 250+ Mftrs. Memory Price Each
52
farnell.com element14.com 53
Semiconductors
Ì Low-power CMOS technology
Ì Patented power-off reliability Ì No mechanical noise
Ì Life Time Monitoring SD/SPI with standard or vendor commands Ì High reliability and performance
Ì Available in 512 and 1GB capacities Ì S.M.A.R.T. support
660170 Ì 2 temperature ranges: Commercial (0 - 70°C), industrial (-40 to 85°C)
Mftrs. Memory Price Each
Ì Available in 4 and 8GB capacities
660174
List No. Size Order Code 1+
Mftrs. Memory Price Each
SFSD0512N1BN1TO-I-ME-151-STD 512MB 210-3912●
List No. Size Order Code 1+
SFSD1024N1BN1TO-I-DF-151-STD 1GB 210-3913●
SFSA4096U1BR4TO-I-MS-226-STD 4GB 210-3896●
SFSA8192U1BR4TO-I-DT-226-STD 8GB 210-3897●
Industrial SATA SSDs
X-200 Series Slim SATA Solid State Drives 1
X-200 series industrial SATA SSDs with low power X-200M Series
CMOS technology (less than 500mA) and featuring X-200M Series Industrial slim SATA solid
no mechanical noise. Replaces standard SATA com- state drives (SSDs) with full sized mSATA
53
54 farnell.com element14.com
power supply (supplied) or 6 x AAA batteries (not supplied) are used to ensure USB com-
patibility. To support new devices the latest software may be downloaded from the Inter-
net. USB Handy MCS-51 IC Programmer
40-Pin USB Programmer
Supported Devices: Over 4500 devices are supported with types including Serial EE-
PROM, EPROM, MCU, PLD, NV-RAM. Features:
System requirements: Ì Portable battery powered or mains USB programmer
Ì PC or Laptop with Pentium Processor Ì Capable of programming 40 pin MCS-51 8-Bit ICs
Ì Windows 95, 98, ME, NT4, 2000, XP, Vista Ì Compatible with Windows 95/98/2000/XP
Ì USB 1.0 or 2.0 port Ì Friendly graphics operation interface
478303
Ì 4 seconds to erase / blank check / programme & verify an Atmel
Order Code Price Each AT89S52 IC
GALEP-5 High-End Pocket Programmer EU 141-7615● Ì Multi-function device type / read / load / edit / check / sum / erase / blank check / pro-
gram / verify / protect
1 GALEP-5 High-End Pocket Programmer UK 141-7616●
Ì Supports binary, machine code, Intel HEX, Motorola Hex, Tektronix
475182
LEAPER-3C and LEAPER-3D Handy Mftrs. List No. Order Code Price Each
FLASH Writers
Integrated Circuits & Development Kits
Ì 40 pin ZIF socket accepts both 300/600 mil DIP devices up to 40 pin
Ì Advanced pin drivers allow varying voltages to be applied to any pin delivering signals
without overshoot, increasing programming yield
Ì Pin drivers operate down to 1.8V so you will be ready to program the full range of to-
morrow’s advanced low-voltage devices
54
farnell.com element14.com 55
Ì USB 2.0/1.1 connectivity allowing fast and easy connection to PC’s and laptops Ì Stand-alone operation with touch screen control
Ì Upgradeable to 40Pro programmer Ì 8 x 48 pin universal ZIF sockets accepting 300/600 mil DIP devices
Ì 1 year warranty Ì Independent modules supporting concurrent programming
Ì Free software updates via Dataman website Ì Intelligent pin drivers allow varying voltages to be applied to any pin without overshoot,
increasing programming yield
Supplied with mains adaptor, USB cable, ISP cable, diagnostic POD (ZIF), anti-dust cover Ì Pin drivers operate down to 1.8V so you’ll be ready to program the full range of tomor-
(ZIF), user manual and software (Windows 98/ME/NT/2000/XP/2003/XPx64/Vista) rows advanced low-voltage devices
472883 Ì 3 year warranty
Mftrs. List No. Order Code Price Each Ì Free software updates via Dataman website
DATAMAN-PIKPRO 135-1720
521976
Semiconductors
(ISP), anti-dust cover (ZIF), user manual and software (Windows 2000/XP/2003/XPx64/
Ì 8x Independent fully isolated 48-pin Ì Connects via USB 1.1/2.0 or parallel port
Vista)
ZIF sockets Ì Auto switching 110/240V
Ì 48-pin universal ZIF socket accepts both 300/600 mil DIP devices Ì Low voltage support Ì Project file save and load functions
Ì Intelligent pin drivers allow varying voltages to be applied to any pin delivering signals Ì Concurrent/semiconcurrent mass Ì 3 Year warranty
without overshoot, increasing programming yield production modes Ì Free software updates via Dataman website
Ì Pin drivers operate down to 1.8V so you’ll be ready to program the full range of tomor- 534229
rows advanced low-voltage devices Mftrs. List No. Description Order Code Price Each
Ì ISP capable using the JTAG interface
DATAMAN 848XP Gang Programmer 169-6991
Ì Multiprogramming support allows one PC to control up to four units programming inde-
pendently or as a gang programmer
Ì 3 year warranty ChipProg-40 Universal Programmer
Ì Free software updates via Dataman website
521975 The ChipProg-40 universal programmer can be effectively
Mftrs. List No. Order Code Price Each used for both engineering and low-volume manufacturing. It
DATAMAN 48PRO+ 164-3327
supports in-socket and in-system programming of thousand 1
of devices.
The programmer has a 40-pin DIP ZIF socket that enables in-
40Pro - Universal ISP Device Programmer serting any wide or narrow DIP-packed devices with up to 40
55
56 farnell.com element14.com
Mftrs. List No. Description Order Code Price Each WICE-M4 4MB ROM Emulator
CHIPPROG-G4 ChipProg-G4 4-Site Gang Programmer 169-5674●
A small, portable and easy-to-use EPROM
emulator, supporting the range of 27C16 to
Universal Programmers 27LV040 32 pin devices. Replacing the
The new Batronix Professional Program- WICE-M1 device, it has more memory, is
mer Series offers an exceptionally flexi- smaller and operates with a USB Port rather
ble, simple to use and extremely fast set than the Printer Port. The software allows the
of programming devices that support a display and editing of files in formats includ-
broad range of chips including Eproms, ing binary/machine code and HEXadecimal.
EEproms, Flash, serial EEproms and oth- (Note: Power adaptor not included)
er storage chips. With a height of only Features:
2.5 cm the enclosures are very practical Ì Interfaces with Windows 98/ME/2000/XP via USB connection
and are noted for their design and ano- Ì Device plugs directly into IC socket - eliminating noise and time delay caused by cable
Semiconductors
dized die-cast aluminum construction. Ì Detects wrong insertion and prevents from exceeding 5V input
These programming devices are your Ì Supports 3.3 to 5V devices
first choice even in a harsh usage environment. Ì Speed of emulation SRAM access time +10ns
The exceptional flexibility is attained by providing all power requirements via the USBport. A Includes:
power adapter or batteries are not required, since all programming voltages between 3 and Ì Main Unit Ì Operation Software CD (inc. User Manual)
25 volts are provided internally by a voltage generator from the USB supply voltage. The Ì USB Cable Ì Reset Signal Line
chips are programmed at a high level of quality and reproducibility in the shortest time pos- 374334
sible through the use of optimized algorithms and micro-controller managed programming Mftrs. List No. Order Code Price Each
times. All supported chips can be programmed directly in DIP/DIL packages and suitable WICE-M4 121-6754●
adapters are available for specialized packages such as PLCC, SOP und TSOP.
Hardware Features: J-Link JTAG Debugger
Ì USB Powered Ì Automatic programming start (for mass
Ì Chip Auto-Identification production)
1 Ì Multi Programmer Control (up to 8) Ì High Speed technology
J-Link is a USB powered JTAG emulator support-
Software Features: Ì Complete 16 and 32 bit splitting
ing a large number of CPU cores. Based on a 32-
Ì Process Control Ì Convenient Hex Editor
bit RISC CPU, it can communicate at high speed
Ì Projects Ì Flexible serial number generation
Integrated Circuits & Development Kits
The programming devices can be easily controlled using the modern and intuitive Prog-Ex- J-Link Ultra
press software. Chips inserted in the device can be detected automatically by the software
and programming a chip from a file or copying an existing chip is done with a few mouse-
clicks. Despite the easy to use interface, professional protection, split, autoidentify, auto- Emulator for ARM7/9, Cortex and Renesas RX cores. J-
start and serial-number functions as well as a convenient hex-editor are Link Ultra is a JTAG/SWD emulator designed for ARM/
available in addition to the basic functions like read, program, compare and erase. Cortex and other supported CPUs. It is fully compatible to
Software updates can be downloaded free of charge at www.batronix.com. the standard J-Link and works with the same PC soft-
For bulk production of chips up to eight BX32, BX32P or BX40 devices can be controlled ware. Based on the highly optimized and proven J-Link, it offers even
simultaneously from one PC using the Prog-Express software. These programming devices higher speed as well as target power measurement capabilities due to the
are a better alternative to expensive gang-programming devices due to their low cost and faster CPU, built-in FPGA and High speed USB interface. It connects via
extremely high programming speeds and also offer greater flexibility. USB to a PC running Microsoft Windows 2000, Windows XP, Windows
The newly developed control technology and USB 2.0 / 1.1 compatible full-speed data 2003, Windows Vista or Windows 7. J-Link Ultra has a built-in 20-pin
transfer rates put the BX32 / 32P / 40 among the fastest programming devices currently JTAG/SWD connector.
available. For example, 27SF256 (erasable 27C256) chips can be programmed in under 663013
two seconds and even complex, sequential-based 4MB chips like the AM29F040 can be Mftrs. List No. Order Code Price Each
programmed completely in under ten seconds. Delivery including programmer, USB cable, J-LINK ULTRA 206-1344●
Prog-Express software, manual (multi language) on CD.
Chip Support BX32 Batupo BX32P Barlino BX40 Bagero J-Flash
27’ NMOS from 2732 ✓ ✓ ✓ J-Flash is a stand-alone flash programming software for PCs running
27C, 27E, 27SF, 28, 28C, 28F, 28HC, ✓ ✓ ✓ Microsoft Windows. J-Flash has an intuitive user interface and makes
28SF, 29C, 48’ , 87’, ... programming flash devices convenient. J-Flash requires a J-Link, JTAG
29F (BX32/BX32P: without ✓ ✓ ✓ emulator for ARM cores, to interface to the hardware. It is able to pro-
29F100/200/400/800) gram internal and external flash at very high speeds, upwards of 200
29LV, 29W, ... ✓ ✓ kB/sec depending on the chip. J-Flash has an approximate blank check
39’, 49’, LPC, FWH ✓ ✓ speed of 16 MB/sec. Another notable feature is smart read back, which
only transfers non-blank portions of the flash, increasing the speed of
24’, 25’, 93’, 95’ serial EEproms ✓ ✓
read back greatly. These features along with its ability.
29F100/200/400/800 ✓ 663011
16 Bit Chips ✓ Mftrs. List No. Order Code Price Each
475041
J-FLASH 206-1342●
56
farnell.com element14.com 57
Semiconductors
supported; High speed programming MCUs, USB2.0 Interface 209-8549
Ì User or boot area selectable (read only in serial Supports ARM, Cortex-M, Cortex-A, RX000 Series & Cortex-R4 8.16.12
mode) MCUs, USB2.0 Interface 209-8550
Ì FLASH to store target program Supports ARM, Cortex-M, Cortex-A, RX000 Series & Cortex-R4 8.16.14
Ì Can be used in a production environment MCUs, USB2.0 Interface 209-8551
Ì PC Program for batch mode processing, allowing usage in automat- Supports ARM, Cortex-M, Cortex-A, RX000 Series & Cortex-R4 8.16.27
ed test systems. MCUs, USB2.0 Interface 209-8552
Ì Remote control functions for automated testers Supports ARM, Cortex-M, Cortex-A, RX000 Series & Cortex-R4 8.16.11
662373
MCUs, USB2.0 Interface 209-8553
Supported Families Mftrs. List No. Order Code Price Each Supports ARM, Cortex-M, Cortex-A, RX000 Series & Cortex-R4 8.08.27
ST7 5.04.01 209-8529 MCUs, USB Interface 209-8554
M16C, M32C, R8C, R32C, M38000 & ST9 5.05.01 209-8530 Supports ARM, Cortex-M, Cortex-A, RX000 Series & Cortex-R4 8.08.11
PowerPC MCU 5.10.01 209-8531 MCUs, USB Interface 209-8555
RX610, RX621, RX62N, RX62T 5.15.01 209-8533
1
Flash Programmer for ARM & Cortex MCU, Ethernet 5.07.01 Logic Analyser Logian-16
Interface 209-9963●
16 channel, PC-based
Flash Programmer for STM8 Series Flash MCU, 5.09.01
57
58 farnell.com element14.com
LEW904
LEW902 LEW907
LEW300
This range of socket adapters is designed to fit any ZIF socket based programming platform and enhance these platforms to be able to program virtually the complet range of package styles
available for the PIC microcontroller families.
A base board and adapter board is required to program almost any PIC12, PIC16 or PIC18 microcontroller. The base board fits into the ZIF socket of the programmer. There are two of these
available, both of which have quality board-to-board IDC connectiors for quick and reliable connection of the desired device package adapter top board. These adapter boards cover a
widse range of package types.
This group of adapters works with a large range of programming platforms. Microchips ICD2, PICStart Plus and Promate Sytems are supported, plus Dataman, Stag, AVR, ST6 and BP
Microsystems etc.
414215
58
farnell.com element14.com 59
Programming Adaptors
A selection of the most popular adapters to suit the varied package styles of ICs, these adapters are generally used when converting from a
Dual-In-Line (DIL) to a Surface Mount Device (SMD) style.
A typical example of an application would be to program an EPROM or microcontroller in a PLCC style surface mount package, from a pro-
121-7295 grammer which has only a Dual-In-Line style ZIF socket on board.
121-7292
The associated programmer to which the adapter is intended to be used on is listed, this is to ensure compatibilty between the
parts.
121-7286
121-7291
210644
Semiconductors
AD62 28 pin DIL 32 pin PLCC 64K-512K OTP EPROM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 121-7294●
AD50 28 pin DIL 32 pin PLCC 64K-512K OTP EPROM (gang compatible). . . . . . . . . . . . . . . . . . . . . . . . . . . 121-7287●
210845 28 pin DIL 32 pin PLCC Galep-4 Pocket Multiprogrammer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 120-2538●
AD63 32 pin DIL 32 pin PLCC 1M-8M OTP EPROM/FLASH. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 121-7293●
AD51 32 pin DIL 32 pin PLCC 1M-8M OTP EPROM (gang compatible) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 121-7286●
AD48 32 pin DIL 32 pin TSOP FLASH Memory (gang compatible). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 121-7295●
210841 40 pin DIL 44 pin PLCC Galep-4 Pocket Multiprogrammer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 120-2537●
AD44 40 pin DIL 44 pin PLCC 16-bit OTP EPROM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 121-7289●
LP-SOP-44PIN(AB011) 44 pin DIL 44 pin SOP 44-pin SOP MPU/EPROM/FLASH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 121-6751●
LP-TSOP-48PIN(AE403) 48 pin DIL 48 pin TSOP 48-pin TSOP Leaper 48 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 121-6753●
Price Each
U-V Eraser
Order Code 1+ 3+ 6+ 1
ME5E EPROM eraser 120-2180●
1+ 25+ 50+
European mains plug lead 112-4379●
A range of ultra-violet discharge tubes for use with the Lawtronic range of erasers.
LER-121A LER-123A 210649
Ì Capacity 12 x 24 pin Ì Capacity 64 x 24 pin Eraser Length Dia. Order Code Price Each
Ì 60 minute timer Ì 60 minute timer ME5/ME5E/ME10 134 15 178-938●
Ì Power-on indicator Ì Power-on indicator ME15/30/90 287 15 178-570●
Ì Safety power-off interlock on drawer Ì Safety power-off interlock on drawer ME200 436 25 178-571●
Ì Rapid Electronic Start (no starter required) Ì Rapid Electronic Start (no starter re-
Ì Dims. L=240, W=85, H=95mm quired)
Ì UV Tube=134mm order no 178-938 Ì Dims. L=370, W=180, H=100mm
Ì Requies 9 VDC 500mA power adapter Ì Mains powered FREE GLOBAL LEGISLATION SUPPORT
227431 RoHS, REACH, WEEE, ErP, Batteries
Order Code Price Each Directive - latest updates, free white
LER-121AU-V Eraser 121-7540● papers and live online Q&A at
LER-123AU-V Eraser 123A 137-8696● element14.com/legislation
U-V Erasers
59
60 farnell.com element14.com
Microcontrollers and Microprocessors - 8- to a demonstration project of the C-level development environment available in QuickStart-
Plus. The system also includes the new ’aspire’ Integrated Development Environment (IDE)
Bit - ANALOG DEVICES facilitating easy assembly level code development with project manager, assembler, down-
loader, simulator and debugger in one easy to use IDE.
Microconverter ADuC8XX QuickStart™ 339875
Development System Mftrs. List No. Description Order Code Price Each
EVAL-ADUC831QSZ ADUC831 Development Kit System 152-9357●
The ’entry level’ QuickStart Development System allows designers to rapidly evaluate mi-
croConverter performance and functionality, and then begin a prototype development. The EVAL-ADUC841QSZ ADUC841 Quickstart Development System 143-9134●
system provides all of the tools the designer needs including software tools, development EVAL-ADUC842QSZ ADUC842 Quickstart Development System 143-9135●
board, integrated serial download/debug cable and power supply. It fully supports assemb- EVAL-ADUC845QSZ ADUC845 Quickstart Development System 143-9136●
ly level code development via a serial port debug path and provides designers with access EVAL-ADUC847QSZ ADUC847 Quickstart Development System 143-9137●
ADUC848BSZ62-3 8-Bit MicroConverter With 10-Ch 16-Bit
ADC, 12-Bit DAC and embedded Flash MCU 143-9049●
Price Each
Pins/Package Description Mftrs. List. Order Code 1+ 10+ 100+
812 52/MQFP 8-Bit MicroConverter With 12-Bit ADC and embedded Flash MCU . . . . . . . . . . . . . . . . . . . . . . ADUC812BSZ 960-5282●
812 52/MQFP 8-Bit MicroConverter With 12-Bit ADC and embedded Flash MCU . . . . . . . . . . . . . . . . . . . . . . ADUC812BSZ 960-5282●
814 28/TSSOP 8-Bit MicroConverter With 12-Bit ADC and embedded Flash MCU . . . . . . . . . . . . . . . . . . . . . . ADUC814ARUZ 942-6361●
814 28/TSSOP 8-Bit MicroConverter With 12-Bit ADC and embedded Flash MCU . . . . . . . . . . . . . . . . . . . . . . ADUC814ARUZ 942-6361●
831 52/LQFP 8-Bit MicroConverter With 12-Bit ADC/DAC With embedded 62K Flash MCU . . . . . . . . . . . . . . ADUC831BSZ 960-5290●
831 52/LQFP 8-Bit MicroConverter With 12-Bit ADC/DAC With embedded 62K Flash MCU . . . . . . . . . . . . . . ADUC831BSZ 960-5290●
Semiconductors
832 52/LQFP 8-Bit MicroConverter With 12-Bit ADC/DAC With embedded 62K Flash MCU . . . . . . . . . . . . . . ADUC832BSZ 107-9400●
832 52/LQFP 8-Bit MicroConverter With 12-Bit ADC/DAC With embedded 62K Flash MCU . . . . . . . . . . . . . . ADUC832BSZ 107-9400●
834 52/MQFP 8-Bit MicroConverter With Dual 16/24-Bit ADC and embedded Flash MCU. . . . . . . . . . . . . . . . ADUC834BSZ 107-9401●
834 52/MQFP 8-Bit MicroConverter With Dual 16/24-Bit ADC and embedded Flash MCU. . . . . . . . . . . . . . . . ADUC834BSZ 107-9401●
841 52/MQFP 8-Bit MicroConverter With 8-Ch 12-Bit ADC, Dual 12-Bit DAC and embedded Flash MCU . . . . ADUC841BSZ62-3 143-8802●
841 52/MQFP 8-Bit MicroConverter With 8-Ch 12-Bit ADC, Dual 12-Bit DAC and embedded Flash MCU . . . . ADUC841BSZ62-5 143-8804●
842 52/MQFP 8-Bit MicroConverter With 8-Ch 12-Bit ADC, Dual 12-Bit DAC and embedded Flash MCU . . . . ADUC842BSZ62-3 143-8805●
842 52/MQFP 8-Bit MicroConverter With 8-Ch 12-Bit ADC, Dual 12-Bit DAC and embedded Flash MCU . . . . ADUC842BSZ62-5 143-8806●
845 52/MQFP 8-Bit MicroConverter With 10-Ch 24-Bit ADC, 12-Bit DAC and embedded Flash MCU . . . . . . . ADUC845BSZ62-5 143-8809●
845 52/MQFP 8-Bit MicroConverter With 10-Ch 24-Bit ADC, 12-Bit DAC and embedded Flash MCU . . . . . . . ADUC845BSZ62-3 143-9047●
847 52/MQFP 8-Bit MicroConverter With 10-Ch 24-Bit ADC, 12-Bit DAC and embedded Flash MCU . . . . . . . ADUC847BSZ62-5 143-8810●
848 52/MQFP 8-Bit MicroConverter With 10-Ch 16-Bit ADC, 12-Bit DAC and embedded Flash MCU . . . . . . . ADUC848BSZ62-5 143-8811●
1
Microcontrollers and Microprocessors - 8- locator, libraries, core simulator, flash downloader, JTAG debugger, and our class-leading
integrated development environment, CrossStudio. C compiler is the benchmark for code
Bit - ATMEL quality and performance for the AVR microcontroller. Compliant to ANSI and ISO stan-
Integrated Circuits & Development Kits
dards, professional and robust, it’s the perfect choice for any AVR project. Coupled with
AVRISP2 - In-System Programmer our CrossStudio development environment, it makes an unbeatable development tool!
663005
For AVR FLASH Microcontroller Family
Version Order Code Price Each
Commercial CW-AVR-C 206-1354●
Educational CW-AVR-E 206-1355●
Personal CW-AVR-P 206-1356●
Mftrs. List No. Order Code Price Each AVR-JTAG-USB Dongle for Programming
1+ and Emulation
ATAVRISP2 113-5517●
AVR-JTAG-USB is a development tool for program-
ming, real time emulation and debugging of AVR
CrossWorks for AVR microcontrollers with a JTAG interface (ATmega16,
ATmega32, ATMega323, ATmega162, ATmega169,
CrossWorks is the complete development solution for all your AVR ATmega128 and future devices).
projects - from initial design through final production, CrossWorks It allows debugging on all new computers and note-
has it covered. Based on the successful work we have provided to books which have no RS232 COM Port and re-
OEM customers, our offering continues to move from strength to moves all problems which occur when unknown/
strength. CrossWorks for AVR sets the standard for AVR development tools. The toolset uncertified USB to RS232 port converters are used
provides a complete and cost-effective solution for programming the AVR family of low- with the original RS232 based ATJTAGICE.
power microcontrollers. CrossWorks supports all megaAVR controllers in the AVR family,
from the smallest to the largest. We bundle an ANSI C compiler, macro assembler, linker/
60
farnell.com element14.com 61
556064
Semiconductors
Mftrs. List No. Order Code Price Each Ì ISP (on-board) - AT90S, ATtiny, ATmega (ATmega103/128 - requires STK501) de-
ATJTAGICE2 - JMS2206 152-6599●
vices
Ì Parallel (on-board) - ATS, ATmega (ATmega103/128 - requires STK501) devices
Ì ISP (off-board) - AT90S, ATtiny, ATmega
ATAVRDB101 Display Module 232166
AVR-P20B-10MHZ
ATADAPCAN01 - STK501 CAN Extension
Features: Ì Power plug-in jack The ATADAPCAN01 -
Ì 4x mounting holes (3.3mm) Ì RS232 DB9 female connector STK501 CAN add-on is an extension to the
Ì ICSP 5x2 pin connector Ì RS232 MAX232 interface circuit STK500 and STK501 development boards adding
Ì Voltage regulator Ì Extension slot on every μC pin support for the AVR AT90CAN128 device in a sin-
Ì Quartz crystal oscilator circuit Ì Grid 100 mils gle development environment.
Ì Reset IC ZM33064 Ì GND bus The add-on board provides CAN transceivers, ter-
Ì Status LED Ì Vcc bus mination options and other features necessary to
556104
seamlessly connect the STK500 development en-
Mftrs. List No. Description Order Code Price Each vironment to a CAN bus for application develop-
AVR-P20B-10MHZ 20 Pin AVR Micro Prototype Board 177-6314● ment and debugging.
AVR-P28N-8MHZ 28 Pin AVR Micro Prototype Board 177-6315● 549010
61
62 farnell.com element14.com
Mftrs. List No. Order Code Price Each AVR-ISP500-TINY USB AVR Programmer
STK503 Expansion Board ATSTK503 128-8347●
AVR-ISP500-TINY is a fast
and reliable USB AVR programmer.
STK504 Hardware Expansion Module It has two modes of work: STK500 compatible USB
programmer and USB Mass storage.
In STK500 mode it works directly with AVR Studio.
The STK504 board is It is recognized as a STK500 programmer and pro-
a hardware expansion grams all AVRs. As it uses USB CDC there is no
module for the need for drivers. It can be used with Windows, Li-
STK500 Starter Kit. It nux and MacOS.
adds support for the 100-pin LCD AVR In Mass Storage mode it creates a new drive on a
family featuring an on-chip LCD controll- PC. When a HEX file is dropped onto the drive it
er and the Mega AVR family. programs the target AVR attached to the ICSP connector. This is totally platform independ-
The STK504 includes connectors, jump- ant and works on any computer without need for any software.
ers and hardware allowing full support for
Features:
all features on the included ATme-
Ì Connects to PC via USB 2.0 Full speed
ga3290, including a versatile LCD-dis-
Ì Internal flash of 2 MB for stand alone programming with single button press
play.
Ì No need for external power supply, powered from USB
Semiconductors
Features: Ì Uses Atmel’s 2x5 pin ICSP and 2x3 connector layout
Ì Supports the ATmega3290 and ATmega6490 with built-in LCD controller Ì Dimensions: 45x30 mm + 200mm Cable
Ì Supports the ATmega3250 and ATmega6450. 534301
Ì LCD glass for demonstrating the LCD controller Mftrs. List No. Description Order Code Price Each
Ì Connector for using an external LCD display AVR-ISP500-TINY USB AVR Programmer 170-1517●
Ì Supported by AVR Studio 4
Ì Zero Insertion Force (ZIF) socket for 100-pin TQFP packages
Ì Supports High Voltage Parallel Programming through STK500 STK526 - AT90USB82/162 Starter Kit
Ì Supports Serial programming through STK500 This starter kit is
Ì Port connectors for port E, F G, H and J dedicated to the
518914 AT90USB82/162 microcontrollers and is
Mftrs. List No. Description Order Code Price Each designed to allow easy evaluation of the
ATSTK504 STK504 Hardware Expansion Module 164-8593●
microcontollers using demonstration
software.
1 To enable additional development capa-
AVR STK525 Starter Kit bility, the STK526 can be plugged into
the Atmel STK500 Starter Kit Board.
Features Include:
Integrated Circuits & Development Kits
62
farnell.com element14.com 63
478315
Semiconductors
AT89STK-11 132-4090●
Epsilon5 Portable ISP Programmer MK2 Ì The Epsilon5 programmer MK2 with 2 internal Ì 25/9 way serial adaptor
jumper Ì 10 way IDC ribbon cable
Features: Ì A power lead included, requires 3.1-5V DC supply Ì Utility Tool CDROM software
Ì A 9 way male/female serial cable Ì A user manual
Ì High speed development programmer for the 8051 &
476230
AVR Flash Microcontroller
Ì Supprts Atmel, Philips & Zensys Mftrs. List No. Order Code Price Each
Ì Ideal programmer for development, field service and Epsilon5 Portable ISP Programmer MK2 EPSILON5-A1 134-4898●
production applications
Ì Supports programming of FLASH, EEPROM, FUSE
bits, Security bits and RC Oscillator Calibration bytes Equinox CDROM Software Upgrades
Ì On board 2Mbits non-volalite Flash memory
Ì The programmer can be PC controlled or used in 476236 1
standalone mode Application Mftrs. List No. Order Code Price Each
Ì All the popular ISP headers are catered for including
Epsilon5 JTAG software upgrade EPSILON5-UPG3 134-4904
the new JTAG ISP Port required for fast programming
Price Each
Pins Pkg Description Mftrs. List No. Order Code 1+ 5+ 10+
89C51 44 PLCC Enhanced 8-Bit MCU With CAN Controller and Flash Memory . . . . . . . . . . . . . . . . . . . . AT89C51CC01UA-SLSUM 109-5722●
89C51 44 PLCC Enhanced 8-Bit MCU With CAN Controller and Flash Memory . . . . . . . . . . . . . . . . . . . . AT89C51CC03UA-SLSUM 109-5723●
89C51 44 VQFP High-Performance 8-Bit CMOS Flash MCU With Data EEPROM . . . . . . . . . . . . . . . . . . . AT89C51ED2-RLTUM 109-5725●
89C51 44 PLCC High-Performance 8-Bit CMOS Flash MCU With Data EEPROM . . . . . . . . . . . . . . . . . . . AT89C51ED2-SLSUM 109-5726●
89C51 68 PLCC High-Performance 8-Bit CMOS Flash MCU With Data EEPROM . . . . . . . . . . . . . . . . . . . AT89C51ED2-SMSUM 109-5727●
89C51 44 PLCC 8-bit, 128K Flash Microcontroller . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AT89C51RE2-SLSUM 145-5062●
89C55 44 PLCC 8-Bit MCU With 20Kb Flash . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AT89C55WD-24JU 109-5731●
89C55 40 DIP 8-Bit MCU With 20Kb Flash . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AT89C55WD-24PU 109-5732●
89C2051 20 DIP 8 Bit MCU with 2KB FLASH. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AT89C2051-12PU 169-9389●
89C2051 20 PDIP 8 Bit MCU with 2K FLASH. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AT89C2051-24PU 171-5457●
89C2051 20 SOIC 8 Bit MCU with 2K FLASH. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AT89C2051-24SU 171-5459●
89C4051 20 DIP 8 Bit MCU with 4KB FLASH. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AT89C4051-12PU 169-9391●
89C4051 20 PDIP 8 Bit MCU with 4K FLASH. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AT89C4051-24PU 171-5461●
89C4051 20 SOIC 8 Bit MCU with 4K FLASH. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AT89C4051-24SU 171-5462●
89C5131 52 PLCC 8-Bit Flash MCU With Full Speed USB Device . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AT89C5131A-S3SUM 109-5721●
The AT89STK-05 starter kit is designed to help get de- The MC320 kit is a complete hardware
velopers started with Atmel’s AT89C5131A USB Flash system which demonstrates motor con-
microcontrollers. trol using ATmega32M1 and features
Kit Includes: CAN and LIN connectivity.
AT89STK-05 Development Board, In-System Program- It includes the MC300 power stage
ming Software (FLIP), and an HID (Human Interface De- board, the MC310 processor board
vice) keyboard demonstration program. (that can be purchased separately) and
a BLDC motor.
495454
552192
Price Each
List No. Description Order Code 1+ Mftrs. List No. Description Order Code Price Each
AT89STK-05 AT89STK-05 Starter Kit 145-5063● ATAVRMC320 Motor Control Bundle Kit 177-3393●
63
64 farnell.com element14.com
Features:
Ì ICSP 5x2 pin connector for in-circuit programming with AVR-PG1B or AVR-PG2B
Ì Voltage regulator +5V, 7805 and filtering capacitors 495893
Ì Quartz crystall oscilator circuit - 8MHz Price Each
Ì Reset IC ZM33064 List No. Description Order Code 1+
Ì Status LED connected to PB0 via removable jumper
ATDVK90CAN1 ATDVK90CAN1 Development Kit 145-5089●
Ì Power plug-in jack
Ì DIL40 microcontroller socket
Ì RS232 DB9 female connector AVR-CAN Development Board
Ì RS232 MAX232 interface circuit with Tx, Rx, CTS, DTR/RTS signals
Ì Extension slot on every μC pin AVR-CAN is an inexpensive
Ì Grid 100 mils way to learn the AT90CAN128 microcontroller
Ì GND & Vcc Bus from Atmel. It has a very compact form
Semiconductors
534303
(60x55mm) and the presence of both CAN and
Mftrs. List No. Description Order Code Price Each RS232 allow the user to make bridge between
AVR-P40N-8535-8MHZ Prototype Board 170-1518● UART and CAN networks. All AVR pins are availa-
ble on extension connectors.
AVR-USB-STK AVR-IO-M16 Mftrs. List No. Description Order Code Price Each
The AVR-USB-STK is an easy to use development board for the AT90USB162 microcon- ATAVRXPLAIN Xplain Evaluation Kit 177-8504●
troller. It allows all the USB features of the AT90USB162 to be explored.
With the AT90USB162 is very easy to develop USB functionality to your next device, as At-
mel provide free open source HID and CDC code. AVR-H128 ATMega128 Header Board
The board has a USB connector, Joystick, RS232, SD-MMC card, Audio output, ICSP con- WITH JTAG AND ICSP Connector
nector and extension connector.
AVR-H128 is an inexpensive way to develop and proto-
The AVR-IO-M16 is a small but powerful board, it is perfect for small automation projects.
type circuits with an ATMEGA128 microcontroller, with-
The board has four Relays with 10A/250VAC current switching capabilities, four opto-iso-
out the need to deal with SMD soldering.
lated digital inputs and one RS232 port. Demo software shows how easy it is to control the
All microcontroller pins are available on the extension
inputs and output by PC through the RS232 port.
header. Power supply, oscillators, ICSP & JTAG are
The AVR-MT128 is simple but powerful wired, all that is required is to connect additional com-
board which uses the Atmel ATMega128 ponents to the AVR ports. As the step is 0.1" these
MCU. headers perfectly fit the prototype pad boards with 0.1"
With its LCD, buttons, relay and variety of step.
interfaces such as RS232 (in two variants -
4 pins and DB9), JTAG, ISCP, Dallas, etc.
this board is suitable for different embed- Features:
ded systems applications. Ì ICSP 10 pin connector (STK compatible) Ì 32768 Hz crystal oscillator
Ì JTAG 10 pin connector Ì 0.1” extension slot on each microcon-
Ì ATMega128-16AI AVR microcontroller troller pin
AVR-MT128 Ì RESET IC ZM33064C Ì High voltage power supply extension
534337 Ì 6MHz quartz oscillator pins
Mftrs. List No. Description Order Code Price Each 556063
AVR-USB-STK Starter Kit 170-1519● Mftrs. List No. Description Order Code Price Each
AVR-IO-M16 Development Board 170-1520● AVR-H128-C ATMega128 Header Board 177-6312●
AVR-MT128 Development Board 170-1521●
64
farnell.com element14.com 65
Semiconductors
ATXMEGA256A3BU-MH 206-6306●
ing 1 MIPS per MHz allowing the system designer to op-
ATXMEGA256A3U-AU 206-6307●
timize power consumption versus processing speed.
ATXMEGA256A3U-MH 206-6308●
ATXMEGA32A4U-AU 206-6309●
Manufacturers part CPU Speed Flash Mem- Embedded Interface Digital IC Case Order code ATXMEGA32A4U-MH 206-6310●
number ory Size Type Style
ATXMEGA64A3U-AU 206-6311●
ATMEGA3250PA-AU 20MHz 8bit JTAG, SPI, USART TQFP 206-6292
ATMEGA328-MMH 20MHz 8bit SPI QFN 206-6293 ATXMEGA64A3U-MH 206-6313●
ATMEGA328P-MMH 20MHz 8bit SPI QFN 206-6295
ATMEGA3290A-AU 20MHz 8bit JTAG, SPI, USART TQFP 206-6296
495883
Features include:
List No. Description Order Code Price Each
Ì ATmega169 MLF package Ì RS-232 level converter for communi-
Ì 120 segment LCD display cating with off board units ATAVRDRAGON In-Circuit Emulator and Programmer 145-5088●
Ì Joystick, 4 directions with centre Ì NTC for temperature measurement
push as user input and LDR for light FREE GLOBAL LEGISLATION SUPPORT
Ì Piezo element for sound and 32KHz Ì JTAG emulation for debugging
Xtal for the RTC Ì supported by AVR Studio 4. RoHS, REACH, WEEE, ErP, Batteries
339349/342163
Directive - latest updates, free white
Mftrs. List No. Order Code Price Each papers and live online Q&A at
ATAVRBFLY 474-5061 element14.com/legislation
65
66 farnell.com element14.com
pins placed near to the RESET pin Ì 5x 10nF capacitor polyester Ì 1x Diode 1N4007
Ì The IOREF that allow the shields to adapt to the voltage provided from the board. Ì 3x 100uF electrolytic capacitor 25Vdc Ì 1x MOS IRF520
Ì In future, shields will be compatible both with the board that use the AVR, which oper- 620002
ate with 5V and with the Arduino Due that operate with 3.3V Mftrs. List No. Description Order Code Price Each
Ì The second one is a not connected pin, that is reserved for future purposes. A000010 Base Level Workshop Kit 184-8693
Ì Stronger RESET circuit.
Ì Atmega 16U2 replace the 8U2
Summary: Arduino Mega 2560
Ì Microcontroller ATmega328 Ì DC Current per I/O Pin 40 mA
Ì Operating Voltage 5V Ì DC Current for 3.3V Pin 50 mA
Ì Input Voltage (recommended) 7-12V Ì Flash Memory 32 KB (ATmega328) of
Ì Input Voltage (limits) 6-20V which 0.5 KB used by bootloader
Ì Digital I/O Pins 14 (of which 6 provide Ì SRAM 2 KB (ATmega328)
PWM output) Ì EEPROM 1 KB (ATmega328)
1 Ì Analog Input Pins 6 Ì Clock Speed 16 MHz
662912
The Arduino Mega 2560 is a microcontroller board based on the ATmega2560. It has 54
A000066 207-5382●
digital input/output pins (of which 14 can be used as PWM outputs), 16 analog inputs, 4
UARTs (hardware serial ports), a 16 MHz crystal oscillator, a USB connection, a power
Arduino Uno jack, an ICSP header, and a reset button. It contains everything needed to support the mi-
crocontroller; simply connect it to a computer with a USB cable or power it with a AC-to-
DC adapter or battery to get started. The Mega is compatible with most shields designed
for the Arduino Duemilanove or Diecimila.
Summary: Ì DC Current per I/O Pin: 40mA
Ì Microcontroller: ATmega2560 Ì DC Current for 3.3V Pin: 50mA
Ì Operating Voltage: 5V Ì Clock Speed: 16MHz
Ì Recommended Input Voltage: 7-12V ATmega2560 Memory:
Ì Maximum Input Voltage: 6-20V Ì Flash: 256KB (8KB used by bootloader)
Ì Digital I/O Pins: 54 (14 for PWM O/P) Ì SRAM: 8KB
Ì Analog Input Pins: 16 Ì EEPROM: 4KB
618029
66
farnell.com element14.com 67
Arduino Shields and Shield Kits Box Enclosure for Arduino Boards
Semiconductors
The TinkerKit has been conceived for education and design, allowing the quick setup of in-
teractive environments, without using a soldering iron or a breadboard.
The Arduino Prototyping Shield The TinkerKit is the result of years of experience in Interaction Design. For a long time it
makes it easy for you to design has been used as an internal tool used on client projects, it’s now finally available on the
custom circuits. You can solder market. This TinkerKit shield fits perfectly upon an Arduino Uno/Duemilanove.
662949
parts to the prototyping area to cre-
ate your project, or use it with a small solderless bread- Module Description Mftrs. List No. Order Code Price Each
board (not included) to quickly test circuit ideas without 2/3 Axis Accelerometer T000020 207-5356●
having to solder. It’s got extra connections for all of the Arduino I/O pins, and it’s got space 2 Axis Gyroscope (x1) T000060 207-5357●
to mount through-hole and surface mount integrated circuits. It’s a convenient way to 2 Axis Gyroscope (x4) T000062 207-5358●
make your custom circuit and Arduino into a single module. LDR Sensor T000090 207-5359●
662952
Rotary Potentiometer T000140 207-5360●
Order Code Price Each
Linear Potentiometer T000150 207-5361●
Arduino Proto Shield A000082 207-5379●
Tilt Sensor T000190 207-5363● 1
Touch Sensor T000220 207-5364●
XBee Wireless Shields Micro Servo T010050 207-5365●
67
68 farnell.com element14.com
of smart devices that share data and respond to commands. Program and Debug Kit
Ì Call your home thermostat with a smartphone and change the temperature.
Ì Create your own game controllers that communicate over a network. The PSoC姞 MiniProg3 Program and Debug Kit is an
Ì Use ZigBee, Bluetooth, Infrared, and plain old radio to transmit sensor data wirelessly. all-in-one programmer for PSoC 1, PSoC 3 and
Ì Work with Arduino 1.0, Processing, and PHP - three easy-to-use, open source environ- PSoC 5 architectures as well as a debug tool for
ments. PSoC 3 and PSoC 5 architectures. Included with the
Ì Write programs to send data across the Internet, based on physical activity in your kit is a 10-pin ribbon cable for connecting to stan-
home, office, or backyard. dard 10-pin JTAG header interfaces utilized for our
Whether you want to connect simple home sensors to the Internet, or create a device that PSoC 3 and PSoC 5 architectures while the device
can interact wirelessly with other gadgets, this book explains exactly what you need. itself supports the 5-pin ISSP programming header
662955 for PSoC 1 architectures. Please note, this kit only
Order Code Price Each contains the MiniProg3 and supporting cables; it is
intended to provide you with an additional program/debug capability to meet your needs.
B000002 207-5381
542473
1 Mftrs. List No. Description Order Code Price Each
Microcontrollers and Microprocessors - 8- CY8CKIT-002 PSOC Development / Debug Kit 175-3960●
Bit - CYPRESS
Integrated Circuits & Development Kits
555998
CY3210-MINIPROG1 Mftrs. List No. Description Order Code Price Each
CY8CKIT-007 PSoC 3 Precision Analog Voltmeter Demo Kit 178-1126●
546921
TROUBLESHOOTING TIPS Mftrs. List No. Description Order Code Price Each
CY8CKIT-008 PSoC CY8C29 Family Processor Module Kit 175-8173●
Chat online to one of our
technical engineers at farnell.com
68
farnell.com element14.com 69
The CY8CKIT-009 PSoC姞 CY8C38 Family Processor Module is designed to evaluate and
experiment with Cypress’s PSoC 3 programmable system-on-chip design methodology
and architecture.
The CY8CKIT-006 PSoC姞 3 LCD Segment Drive Evaluation Kit and CY8CKIT-029 PSoC
This processor module must be used in conjunction with the PSoC Development Kit
LCD Segment Drive Expansion Board Kit show the ease-of-use, fast development time and
(CY8CKIT-001) to create designs utilizing on-board DVK resources or compatible expan-
flexibility of the PSoC 3 architecture for LCD segment drive applications. The kits demon-
sion boards. This kit provides you with an additional processor module to use with differ-
strate how a single PSoC 3 device can drive up to 736 LCD segments, more than any mi-
ent projects.
crocontroller-based solution. With the kits, designers can leverage the drag-and-drop LCD
Drive component in the PSoC Creator™ Integrated Development Environment (IDE) to Kit Contents:
quickly and easily design products with an LCD screen for the consumer electronics, hand- Ì PSoC姞 CY8C38 Family Processor Module
held, medical, industrial, white goods, automotive and other markets Ì Kit CD, which includes: PSoC Creator™, PSoC Programmer, and Documentation
555938 660084
Mftrs. List No. Description Order Code Price Each Price Each
CY8CKIT-006 PSoC姞 3 LCD Segment Drive Evaluation Kit 178-0584● Mftrs. List No. Order Code 1+
CY8CKIT-029 PSoC LCD Segment Drive Expansion Board Kit 178-0585● CY8CKIT-009A 190-8430●
Semiconductors
The CY8CKIT-003 PSoC姞 3 FirstTouch™ Starter Kit is designed to get you acquainted with The CY8CKIT-001 PSoC姞 Development Kit (DVK) provides a common development plat-
Cypress’s PSoC programmable system-on-chip design methodology and architecture. form where you can prototype and evaluate different solutions using any one of the PSoC 1
This full-featured starter kit ships with an array of sensors, I/O’s, projects, and software to 1, PSoC 3, or PSoC 5 architectures.
allow you to evaluate PSoC and see what values the solution can provide you. And, in ad- The PSoC DVK gives you a practical understanding of PSoC technology. In addition, the kit
dition to trying out PSoC 3, gain full access to other features of the FirstTouch Starter Kit includes several example projects with step-by-step instructions to enable you to easily get
The PSoC™ family consists of many Mixed-Signal Array with On-Chip Controller devices. These devices are designed to replace multiple traditional MCU-based system components with one,
low cost single-chip programmable component. A PSoC device includes configurable blocks of analog and digital logic, as well as programmable interconnect. This architecture allows the
user to create customized peripheral configurations, to match the requirements of each individual application. Additionally, a fast CPU, Flash program memory, SRAM data memory, and con-
figurable IO are included in a range of convenient pinouts.
Features: Ì Complete Development Tools
Ì Powerful Harvard Architecture Processor Ì Precision, Programmable Clocking
Ì Advanced Peripherals (PSoC Blocks) Ì Programmable Pin Configurations
Ì Flexible On-Chip Memory Ì Additional System Resources
69
70 farnell.com element14.com
Price Each
Mftr. Pins Pkg Description Mftrs. List No. Order Code 1+ 10+ 100+
21334 CYP 20 SSOP PSoC® Mixed-Signal Array (8K Flash, 512B Ram) . . . . . . . . . . . . . . . . . . . . . . . . . . CY8C21334-24PVXI 132-1731●
21534 CYP 28 SSOP PSoC® Mixed-Signal Array (8K Flash, 512B Ram) . . . . . . . . . . . . . . . . . . . . . . . . . . CY8C21534-24PVXI 132-1733●
24223 CYP 20 DIP PSoC® Mixed-Signal Array (4K Flash, 256B Ram) . . . . . . . . . . . . . . . . . . . . . . . . . . CY8C24223A-24PXI 132-1737●
29466 CYP 28 SSOP PSoC® Mixed-Signal Array (32K Flash, 2Kb Ram). . . . . . . . . . . . . . . . . . . . . . . . . . . CY8C29466-24PVXI 132-1745●
21223 CYP 16 SOIC SM PSoC, 4K Flash, 256B RAM. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CY8C21223-24SXI 126-7173●
21234 CYP 16 SOIC PSoC® Mixed-Signal Array (8K Flash, 512B Ram) . . . . . . . . . . . . . . . . . . . . . . . . . . CY8C21234-24SXI 132-1728●
24123 CYP 8 DIP SM PSoC, 4K Flash, 256B RAM. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CY8C24123A-24PXI 126-7177●
24123 CYP 8 SOIC SM PSoC, 4K Flash, 256B RAM. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CY8C24123A-24SXI 126-7178●
24223 CYP 20 SSOP SM PSoC, 4K Flash, 256B RAM. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CY8C24223A-24PVXI 126-7179●
24423 CYP 28 DIP PSoC, 4K Flash, 256B RAM. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CY8C24423A-24PXI 126-7182●
27143 CYP 8 DIP PSoC, 16K Flash, 256B RAM. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CY8C27143-24PXI 126-7184●
24994 CYP 68 QFN SM PSoC, 16K Flash, 1KB RAM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CY8C24994-24LFXI 147-2492●
27243 CYP 20 SSOP SM PSoC, 16K Flash, 256B RAM. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CY8C27243-24PVXI 126-7185●
27443 CYP 28 SSOP SM PSoC, 16K Flash, 256B RAM. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CY8C27443-24PVXI 126-7187●
27443 CYP 28 DIP PSoC, 16K Flash, 256B RAM. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CY8C27443-24PXI 126-7189●
27443 CYP 28 SOIC SM PSoC, 16K Flash, 256B RAM. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CY8C27443-24SXI 126-7191●
27643 CYP 48 SSOP SM PSoC, 16K Flash, 256B RAM. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CY8C27643-24PVXI 126-7193●
29466 CYP 28 DIP PSoC, 32K Flash, 2KB RAM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CY8C29466-24PXI 126-7194●
29466 CYP 28 SOIC SM PSoC, 32K Flash, 2KB RAM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CY8C29466-24SXI 126-7195●
Semiconductors
29566 CYP 44 TQFP SM PSoC, 32K Flash, 2KB RAM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CY8C29566-24AXI 126-7196●
29666 CYP 48 SSOP SM PSoC, 32K Flash, 2KB RAM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CY8C29666-24PVXI 126-7197●
29866 CYP 100 TQFP SM PSoC, 32K Flash, 2KB RAM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CY8C29866-24AXI 126-7199●
450919
Price Each
Pins/Package Description Mftrs. List No. Order Code 1+ 10+ 100+
7C64013C 28/PDIP USB PERIPHERAL, 8K EPROM CY7C64013C-PXC 126-9116●
7C64113C 48/SSOP 8K EPROM USB Peripheral CY7C64113C-PVXC 126-9118●
7C65113C 28/SOIC 8K EPROM USB Peripheral CY7C65113C-SXC 126-9121●
7C66013C 48/SSOP 8K EPROM USB Peripheral CY7C66013C-PVXC 126-9123●
7C68013A 100/TQFP EZ-USB Microcontroller CY7C68013A-100AXC 126-9130●
1 7C68013A
7C68013A
128/TQFP
56/SSOP
EZ-USB Microcontroller
EZ-USB Microcontroller
CY7C68013A-128AXC
CY7C68013A-56PVXC
126-9131●
126-9134●
7C68014A 100/TQFP EZ-USB Microcontroller CY7C68014A-100AXC 126-9135●
7C68014A 56/VFBGA EZ-USB Microcontroller CY7C68014A-56BAXC 126-9138●
Integrated Circuits & Development Kits
Price Each
Mftr. Pins Package Description Mftrs. List No. Order Code 1+ 10+ 100+
CYP 48 SSOP 16K Flash, 256B RAM, 8 Channel LED Controller . . . . . . . . . . . . . . . . . . . . . . CY8CLED08-48PVXI 147-2496●
CYP 48 QFN 32K Flash, 2K RAM, 16 Channel LED Controller . . . . . . . . . . . . . . . . . . . . . . . CY8CLED16-48LFXI 147-2498●
70
farnell.com element14.com 71
Semiconductors
face. The ISSP programmer can also be operated manual-
ly using the supplied Windows ® GUI software. port with Mini-AB USB connector Ì 10K Ohm POT with Enable
530531
Core Operating
71
72 farnell.com element14.com
The DEMO9S08DZ60 is a demonstration Mftrs. List No. Description Order Code Price Each
board for the MC9S08DZ60 microcontroll- DEMO9S08SH32 Demonstration Board for MC9S08SH32 . . . 162-2670●
er. Application development is quick and DEMO9S08SH8 Demonstration Board for MC9S08SH8 . . . . 162-2671●
easy with the integrated USB-BDM, sam-
ple software tools, and examples. An op-
tional BDM_PORT port is also provided to DEMOQE8: QE8 Demonstration board
1 allow use of a BDM_PORT cable. Two,
40-pin connectors provide access to all IO
signals on the target MCU. DEMO9S08QE8 is a cost-effective board
targeting quick microcontroller evaluation.
Integrated Circuits & Development Kits
527758
72
farnell.com element14.com 73
Base Board Features: Ì ON/OFF Power Switch w/ LED indicator DEMOQE128 Demonstration Board
Ì 3-axis Accelerometer w/Enable Ì Numerous Power Options
Ì 8 User LED’s w/ Enable Ì P&E’s Embedded Multilink circuitry pop-
Ì 4 User Push Buttons w/ Enable ulated on the bottom The DEMOQE128 is a low cost develop-
Ì 1 Piezzo Buzzer w/ Enable DC9S08QE32 Daughter Card Features: ment system designed for demonstrating,
Ì 10K Ohm POT w/ Enable Ì Four bottom-mounted asymmetrically po- evaluating and debugging the Freescale
Ì RESET Push Button and LED indicator sitioned 8x2 female connectors to mate MC9S08QE128 and MCF51QE128 micro-
w/ Enable with the DEMOQE Base Board controllers. P&E’s Embedded Multilink cir-
Ì RS-232 Serial Port w/ DB9-F Connector Ì A top-mounted MC9S08QE32 QFN48 chip cuitry on the DEMOQE128 board allows the
530532 processor on the DEMOQE128 to be de-
Mftrs. List No Description Order Code Price Each bugged and programmed via USB from the
DEMO9S08QE32 Development System 169-2129●
PC. In addition, the demo board can be
powered using the USB bus.
DC9S08QE32 Daughter Card 169-2128●
Semiconductors
debugging of the Freescale Flexis QE128 Microcontrollers (MC9S08QE128 and
MCF51QE128). The EVBQE128 can be used as a standalone application or can be con-
trolled by a host PC via its built-in microDART™ interface.
DEMO9S08QG8E Demonstration Board
Features Kit Contents
Ì Crystal Oscillator Ì The EVBQE128 Evaluation Board
Ì DBM Connector for in-circuit Debug- Ì 80-Pin LQFP MC9S08QE128 &
ging/Programming with an External In- MCF51QE128 Microcontrollers
strument Ì Pick-Up Vacuum Pump
Ì 12VDC Barrel Input Connector (2.1mm) Ì Universal 12VDC Power Supply
Ì Jumper to Select Power Supply Source Ì USB Cable
(USB or Barrel Connector) Ì System Software DVD, Including Code-
Ì Power On/Off Switch Warrior™ Development Studio
Ì One Potentiometer Ì Quick-Start Guide
Ì Reset & Four Additional Push Buttons Supported Devices:
Ì Eight DIP-Switches Ì MC9S08QE128
The DEMO9S08QG8 is a demonstration board for the MC9S08QG8 microcontroller. Devel- 1
Ì Light & Temperature Sensors opment of application code is quick and easy with the integrated USB-to-BDM interface,
Ì MCF51QE128 CodeWarrior™ Development Studio, and software examples. A 32-pin connector allows
Ì Ten User LEDs Ì Any Other Pin-to-Pin Compatible Devices
Ì RS232 Section Containing Jumpers for connecting the DEMO9S08QG8 board to an expanded evaluation environment.
Mftrs. Flash RAM EPROM/ ADC Timer Interface I/O Bus Freq Addtional Price Each
List No. Pkg Pins (Byte) (Byte) OTP Channels / Bits Channels Type Pins (MHz) USB/CAN Features Order Code 1+ 10+ 100+
MC705P6ACPE DIP 28 — 176 4.5KB 4/8 1 SIOP 21 1, 2.1 No/No — 120-0548●
MC705C8ACPE DIP 40 — 176 8KB — 1 SCI, SPI 31 1, 2.1 No/No — 120-0545●
MC705C9ACPE PDIP 40 — 352 16KB — 1 SCI, SPI 31 1, 2.1 No/No — 145-7128●
MC68HC705C8ACFNE PLCC 44 — 176 8KB — 1 SCI, SPI 31 1, 2.1 No/No — 120-0544●
MC68HC705C9ACFNE PLCC 44 — 352 16KB — 1 SCI, SPI 31 1, 2.1 No/No — 145-7132●
73
74 farnell.com element14.com
Mftrs. Flash RAM EEPROM ADC Timer Interface I/O Freq Addtional Price Each
List No. Pkg Pins (Byte) (Byte) (Byte) Channels / Bits Channels Type Pins (MHz) USB/CAN Features Order Code 1+ 10+ 100+
MC68HC11E1CFNE2 PLCC 52 — 512 512 8/8 8 SCI, SPI 38 4 No/No — 145-7130●
MC68HC11E1CFNE3 PLCC 52 — 512 512 8/8 8 SCI, SPI 38 4 No/No — 145-7131●
MC68HC711E9CFNE2 PLCC 52 — 512 512 8/8 1 SCI, SPI 38 4 No/No — 120-0546●
MCHC11F1CFNE4 PLCC 68 — 1 512 8/8 8 SCI, SPI 30 4 No/No — 145-7129●
Mftrs. FlashRAM EEPROMADC TimersInterface I/O Bus Freq Addtional Price Each
List No. Pkg Pins(KB) (Byte)(Byte) Channels/Bits Type Pins(MHz) USB/CANFeatures Order Code 1+ 10+ 100+
AP Series
MC908AP16CFBE QFP 44 16 1 — 8/10 2 I2C, IRSCI, SCI, SPI32 8 Yes/No LVD,Real-Time Clk,Watchdog OSC/Timer 114-8411●
MC908AP8CFAE LQFP 48 8 1 — 8/10 2 I2C, IRSCI, SCI, SPI30 8 Yes/No LVD,Real-Time Clk,Watchdog OSC/Timer 114-8415●
Semiconductors
AS/AZ Series
MC908AZ60ACFUE QFP 64 60 2 1 15/8 1 SCI, SPI 52 8 Yes/Yes COP,KBI,LVI,POR 114-8420●
G Series
MC908GP32CBE DIP 42 32 256 — 8/8 1 I2C, IRSCI, SPI 33 8 Yes/No LVD,Int Clk Gen,Real-Time Clk,Watchdog
OSC/Timer 120-0520●
MC908GP32CFBE QFP 44 32 256 — 8/8 1 I2C, IRSCI, SPI 33 8 Yes/No LVD,Int Clk Gen,Real-Time Clk,Watchdog
OSC/Timer 120-0521●
MC908GP32CPE DIP 40 32 256 — 8/8 1 33 8 Yes/No LVD,Int Clk Gen,Real-Time Clk,Watchdog
OSC/Timer 120-0519●
MC908GR8CPE DIP 28 8 384 — 6/8 1 SCI, SPI 21 8 Yes/No COP,KBI,LVI,POR,LVD 114-8425●
MC908GT8CBE DIP 42 8 512 — 8/8 2 I2C, IRSCI, SPI 36 8 Yes/No Int Clk Gen,LVD,Real-Time Clk,Watchdog
OSC/Timer 114-8428●
MC908GR60ACFAE LQFP 48 60 2 — 24/10 2 SCI, SPI 37 8 Yes/No COP,KBI,LVI,POR,LVD 157-9682●
MC908GR16ACFJE LQFP 32 16 1 — 8/10 2 SCI, SPI 21 8 Yes/No COP,KBI,LVI,POR,LVD 157-9698●
1 GZ Series
MC68908GZ8CFJE LQFP 32 8 1 — 8/10 2 CAN, SCI, SPI 21 8 Yes/Yes COP,KBI,LVI,POR,LVD,Real-Time Clk 114-8398●
MC68908GZ16CFJE LQFP 32 16 1 — 8/10 2 CAN, SCI, SPI 21 8 Yes/Yes COP,KBI,LVI,POR,LVD,Real-Time Clk 157-9674●
Integrated Circuits & Development Kits
MC908GZ60MFAE LQFP 48 60 2 — 24/10 2 CAN, SCI, SPI 37 8 Yes/Yes COP,KBI,LVI,POR,LVD,Real-Time Clk 157-9685●
MC908GZ48CFAE LQFP 48 48 1.5 — 24/10 2 CAN, SCI, SPI 37 8 Yes/Yes COP,KBI,LVI,POR,LVD,Real-Time Clk 157-9717●
JB/JW Series
MC68HC908JB8JPE DIP 20 8 256 — — 1 SCI, SPI, USB 13 3 Yes/No LVD,Watchdog OSC/Timer 114-8404●
MC908JB16DWE SOIC 28 16 384 — — 2 I2C, IRSCI, SPI 21 6 Yes/No Int Clk Gen,LVD,Watchdog OSC/Timer 114-8430●
MC908JB8ADWE SOIC 28 8 256 — — 1 SCI, SPI, USB 21 3 Yes/No LVD,Watchdog OSC/Timer 114-8431●
MC908JB8FBE QFP 44 8 256 — — SCI, SPI, USB 37 3 Yes/No LVD,Watchdog OSC/Timer 114-8432●
MC908JB16FAE LQFP 32 16 384 — — 2 I2C, IRSCI, SPI 21 6 Yes/No Int Clk Gen,LVD,Watchdog OSC/Timer 157-9686●
MCHC908JW32FC QFN 48 32 1 — — 1 SPI, USB 29 8 Yes/No LVD,Int Clk Gen,Watchdog OSC/Timer 157-9716●
MC908JB8JDWE SOIC 20 8 256 — — 2 SCI, SPI, USB 13 3 Yes/No LVD,Watchdog OSC/Timer 861-3800●
JK/JL Series
MC908JK1ECDWE SOIC 20 1.5 128 — 12/8 2 — 15 8, 4 — LVD 114-8433●
MC908JK3ECDWE SOIC 20 4 128 — 12/8 2 — 15 8, 4 Yes/No Int Clk Gen,LVD 114-8434●
MCHC908JK3ECPE DIP 20 4 128 — 10/8 2 — 15 8, 4 Yes/No LVD,Watchdog OSC/Timer 114-8490●
MC68HC908JL8CSPE DIP 32 8 256 — 13/8 2 I2C, IRSCI, SPI 26 8, 4 Yes/No LVD,Watchdog OSC/Timer 114-8405●
MC908JL16CPE PDIP 28 16 512 — 12/10 1 I2C, SCI 23 8 Yes/No LVD,Watchdog OSC/Timer 129-6211●
MC908JL3ECDWE SOIC 28 4 128 — 12/8 2 — 26 8, 4 Yes/No LVD,Watchdog OSC/Timer 114-8438●
MC908JL3ECPE DIP 28 4 128 — 12/8 1 — 23 8, 4 Yes/No LVD,Watchdog OSC/Timer 114-8439●
MC908JL8CDWE SOIC 28 8 256 — 12/8 2 SCI, SPI 26 8, 4 Yes/No LVD,Watchdog OSC/Timer 114-8440●
MC908JL8CPE DIP 28 8 256 — 12/8 2 SCI, SPI 26 8, 4 Yes/No LVD,Watchdog OSC/Timer 114-8441●
MC68HC908JL8CFAE LQFP 32 8 256 — 13/8 2 I2C, IRSCI, SPI 26 8, 4 Yes/No LVD,Watchdog OSC/Timer 157-9676●
K Series
MC908KX8CDWE SOIC 16 8 128 — 4/10 1 SCI 13 8, 4 Yes/No LVD,Real-Time Clk,Watchdog OSC/Timer 120-0526●
MC908KX8MDWE SOIC 16 8 192 — 4/10 1 SCI 13 8, 4 Yes/No LVD,Real-Time Clk,Watchdog OSC/Timer 157-9687●
LJ/LK Series
MC908LJ24CFUE QFP 64 24 768 — 6/10 2 I2C, IRSCI, SPI 48 8, 4 Yes/No LVD,Watchdog OSC/Timer 114-8445●
MC68HC98LJ12CFUE QFP 64 16 384 — 6/10 2 IRSCI, SPI 32 8, 4 Yes/No LVD,Watchdog OSC/Timer 157-9677●
MC908LJ12CFBE LQFP 52 12 512 — 4/10 2 IRSCI, SPI 20 8, 4 Yes/No LVD,Watchdog OSC/Timer 157-9688●
MC908LK24CPBE LQFP 64 24 768 — 6/10 2 I2C, IRSCI, SPI 48 8, 4 — — 157-9689●
MR Series
MC908MR32CBE DIP 56 32 768 — 10/10 2 I2C, IRSCI, SCI, SPI44 8 Yes/No LVD,Watchdog OSC/Timer 120-0528●
MCHC908MR8CFAE LQFP 32 8 256 — 7/10 2 I2C, IRSCI, SCI, SPI16 8 — LVD,Watchdog OSC/Timer 114-8493●
Q Series
MC908QB8CDWE SOIC 16 8 256 — 10/10 4 SCI, SPI 14 8 Yes/No COP,KBI,LVI,POR,LVD,Real-Time Clk 129-6214●
MC908QT1ACDWE SOIC 8 1.5 128 — — 1 — 13 8 Yes/No LVD,Watchdog OSC/Timer 120-0532●
MC908QT2ACDWE SOIC 8 1.5 128 — 4/10 1 — 13 8 Yes/No LVD,Watchdog OSC/Timer 120-0534●
MC908QT2ACPE DIP 8 1.5 128 — 4/10 1 — 13 8 Yes/No LVD,Watchdog OSC/Timer 120-0533●
MC908QT4ACDWE SOIC 8 4 128 — 4/10 1 — 13 8 Yes/No LVD,Watchdog OSC/Timer 120-0536●
MC908QT4ACPE DIP 8 4 128 — 4/10 1 — 13 8 — LVD,Watchdog OSC/Timer 120-0535●
MCHC908QT4CDWE MFP 8 4 128 — 4/10 1 — 6 8 Yes/No LVD,Watchdog OSC/Timer 114-8496●
MC908QY1ACDWE SOIC 16 1.5 128 — — 1 — 21 8 — COP,KBI,LVI,POR,LVD 120-0539●
MC908QY2ACDWE SOIC 16 1.5 128 — 4/10 1 — 21 8 — COP,KBI,LVI,POR,LVD 120-0541●
74
farnell.com element14.com 75
Mftrs. FlashRAM EEPROMADC TimersInterface I/O Bus Freq Addtional Price Each
List No. Pkg Pins(KB) (Byte)(Byte) Channels/Bits Type Pins(MHz) USB/CANFeatures Order Code 1+ 10+ 100+
Q Series
MC908QY4ACDWE SOIC 16 4 128 — 4/10 1 — 21 8 — COP,KBI,LVI,POR,LVD 120-0543●
MC908QY4ACPE DIP 16 4 128 — 4/10 1 — 21 8 — COP,KBI,LVI,POR,LVD 120-0542●
MC908QY8CDWE SOIC 16 8 256 — 4/10 2 ESCI, SPI 14 8 Yes/No COP,KBI,LVI,LVD,POR,Real-Time Clk 129-6217●
MC908QB4CDTE TSSOP16 4 128 — 10/10 4 SCI, SPI 13 8 Yes/No COP,KBI,LVI,POR,LVD,Real-Time Clk 157-9690●
MC908QB4CDWE SOIC 16 4 128 — 10/10 4 SCI, SPI 13 8 Yes/No COP,KBI,LVI,POR,LVD,Real-Time Clk 157-9692●
MC68HC908QT1CPE DIP 8 1.5 128 — — 2 — 5 8 Yes/No LVD,Watchdog OSC/Timer 861-3508●
MC68HC908QT2CPE DIP 8 1.5 128 — 4/8 2 — 5 8 Yes/No LVD,Watchdog OSC/Timer 861-3532●
MC68HC908QT4CPE DIP 8 4 128 — 4/10 2 — 5 8 Yes/No LVD,Watchdog OSC/Timer 861-3567●
MC68HC908QT4VPE DIP 8 4 128 — 4/10 2 — 5 8 Yes/No LVD,Watchdog OSC/Timer 861-3583●
MC68HC908QY1MPE DIP 16 1.5 128 — — 2 — 13 8 — Int Clk Gen,LVD 861-3605●
MC68HC908QY1VPE DIP 16 1.5 128 — — 2 — 13 8 — Int Clk Gen,LVD 861-3613●
MC68HC908QY2CPE DIP 16 1.5 128 — 4/10 2 — 13 8 — Int Clk Gen,LVD 861-3621●
MC68HC908QY4CPE DIP 16 4 128 — 4/10 2 — 13 8 — Int Clk Gen,LVD 861-3656●
MC68HC908QY4MPE DIP 16 4 128 — 4/10 2 — 13 8 — Int Clk Gen,LVD 861-3664●
Mftrs. Flash RAM EEPROM ADC Timer Interface I/O Bus Freq Addtional Price Each
Semiconductors
List No. Pkg Pins (KB) (Byte) (Byte) Channels/Bits Channels Type Pins (MHz) USB/CAN Features Order Code 1+ 10+ 100+
MC9RS08KA2CSC SOIC 8 1 63 — — — — 4 10 No/No Analog Comparator,LVD 123-0500●
MC9RS08KA1CSC SOIC 8 2 63 — — — — 4 10 No/No Analog Comparator,LVD 129-6221●
MC9RS08KA2CPC DIP 8 2 63 — — — — 4 10 No/No Analog Comparator,LVD 123-0498●
MC9RS08KA4CWG SOIC 16 4 126 — 12 / 10 1 I2C 14 10 No/No Analog Comparator,COP,KBI 162-2676●
MC9RS08KA4CWJ SOIC 20 4 126 — 12 / 10 1 I2C 18 10 No/No Analog Comparator,COP,KBI 162-2677●
MC9RS08KA8CWJ SOIC 20 8 254 — 12 / 10 1 I2C 18 10 No/No Analog Comparator,COP,KBI 162-2679●
Mftrs. FlashRAM EEPROM ADC Timer Interface I/O Bus Freq Addtional Price Each
List No. Pkg Pins (KB) (KB) (Byte) Channel/Bits Channels Type Pins MHz USB/CAN Features Order Code 1+ 10+ 100+
MC9S08AC8CFGE LQFP 44 8 768 (Byte) — 8 / 10 3 I2C, SCI, SPI 34 20 No/No COP,Int Clock Generator,Int Voltage Regulator,KBI,LVD 157-2056●
MC9S08AC16CFGE LQFP 44 16 1 — 8 / 10 3 I2C, SCI, SPI 34 20 No/No COP,Int Clock Generator,Int Voltage Regulator,KBI,LVD 157-2044●
MC9S08AC32CFGE LQFP 44 32 2 — 8 / 10 3 I2C, SCI, SPI 34 20 No/No COP,Int Clock Generator,Int Voltage Regulator,KBI,LVD 157-2046●
MC9S08AC60CFGE LQFP 44 60 2 — 8 / 10 3 I2C, SCI, SPI 34 20 No/No COP,Int Clock Generator,Int Voltage Regulator,KBI,LVD 157-2051●
MC9S08AC128CFGE LQFP 44 128 8 — 8 / 10 3 I2C, SCI, SPI 38 20 No/No COP,Int Clock Generator,Int Voltage Regulator,KBI,LVD 162-2680●
MC9S08AC16CFDE QFN 48 16 1 — 8 / 10 3 I2C, SCI, SPI 38 20 No/No COP,Int Clock Generator,Int Voltage Regulator,KBI,LVD 157-2043●
MC9S08AC32CFUE QFP 64 32 2 — 16 / 10 3 I2C, SCI, SPI 54 20 No/No COP,Int Clock Generator,Int Voltage Regulator,KBI,LVD 157-2047●
MC9S08AC32CPUE LQFP 64 32 2 — 16 / 10 3 I2C, SCI, SPI 54 20 No/No COP,Int Clock Generator,Int Voltage Regulator,KBI,LVD 157-2048●
MC9S08AC60CFUE QFP 64 60 2 — 16 / 10 3 I2C, SCI, SPI 54 20 No/No COP,Int Clock Generator,Int Voltage Regulator,KBI,LVD 157-2053●
MC9S08AC128CFUE QFP 64 128 8 — 16 / 10 3 I2C, SCI, SPI 54 20 No/No COP,Int Clock Generator,Int Voltage Regulator,KBI,LVD 162-2681●
MC9S08AC128CLKE LQFP 80 128 8 — 16 / 10 3 I2C, SCI, SPI 70 20 No/No COP,Int Clock Generator,Int Voltage Regulator,KBI,LVD 162-2682●
HCS08 - AW Series
Mftrs. FlashRAM EEPROM ADC Timer Interface I/O Bus Freq USB/ Addtional Price Each
List No. Pkg Pins (KB) (KB) (Byte) Channel/BitsChannelsType Pins (MHz) CAN Features Order Code 1+ 10+ 100+
MC9S08AW32CFGE LQFP 44 32 2 — 16 / 10 2 I2C, SCI, SPI 34 20 No/NoBDM,COP,ICE,KBI,LVI,POR,Temp Sensor,LVD,Real-Time Clk 119-1209●
MC9S08AW60CFGE LQFP 44 60 2 — 16 / 10 2 I2C, SCI, SPI 34 20 No/NoBDM,COP,ICE,KBI,LVI,POR,Temp Sensor,LVD,Real-Time Clk 119-1213●
MC9S08AW60MFGELQFP 44 60 2 — 16 / 10 2 I2C, SCI, SPI 34 20 No/NoBDM,COP,ICE,KBI,LVI,POR,Temp Sensor,LVD,Real-Time Clk 157-9701●
MC9S08AW16CFDE QFN 48 16 1 — 16 / 10 2 I2C, SCI, SPI 38 20 No/NoBDM,COP,ICE,KBI,LVI,POR,Temp Sensor,LVD,Real-Time Clk 119-1204●
MC9S08AW32CFUE QFP 64 32 2 — 16 / 10 2 I2C, SCI, SPI 54 20 No/NoBDM,COP,ICE,KBI,LVI,POR,Temp Sensor,LVD,Real-Time Clk 119-1210●
MC9S08AW32CPUE LQFP 64 32 2 — 16 / 10 2 I2C, SCI, SPI 54 20 No/NoBDM,COP,ICE,KBI,LVI,POR,Temp Sensor,LVD,Real-Time Clk 119-1211●
MC9S08AW48CPUE LQFP 64 48 2 — 16 / 10 2 I2C, SCI, SPI 54 20 No/NoBDM,COP,ICE,KBI,LVI,LVD,POR,Real-Time Clk,Temp Sensor 157-9700●
MC9S08AW60CFUE QFP 64 60 2 — 16 / 10 2 I2C, SCI, SPI 54 20 No/NoBDM,COP,ICE,KBI,LVI,POR,Temp Sensor,LVD,Real-Time Clk 119-1215●
MC9S08AW60CPUE LQFP 64 60 2 — 16 / 10 2 I2C, SCI, SPI 54 20 No/NoBDM,COP,ICE,KBI,LVI,POR,Temp Sensor,LVD,Real-Time Clk 119-1216●
75
76 farnell.com element14.com
Mftrs. FlashRAMEEPROMADC Timer Interface I/O Bus Freq Addtional Price Each
List No. Pkg Pins(KB) (KB) (Byte) Channels/BitsChannels Type Pins (MHz) USB/CAN Features Order Code 1+ 10+ 100+
MC9S08DZ16CLC LQFP 32 16 1 512 10 / 12 2 CAN, I2C, SCI, 26 20 No/Yes BDM,COP,ICE,KBI,LVI,POR,Real-Time Clk,Temp Sensor
SPI 162-2695●
MC9S08DN60CLF LQFP 48 60 2 2 16 / 12 2 I2C, SCI, SPI 40 20 No/No BDM,COP,ICE,KBI,LVI,POR,Real-Time Clk,Temp Sensor 162-2688●
MC9S08DZ60MLF LQFP 48 60 4 2 16 / 12 2 CAN, I2C, SCI, 40 20 No/Yes BDM,COP,ICE,KBI,LVI,POR,Real-Time Clk,Temp Sensor
SPI 162-2704●
MC9S08DZ96CLF LQFP 48 96 6 2 16 / 12 2 CAN, I2C, SCI, 40 20 No/Yes BDM,COP,ICE,KBI,LVI,POR,Real-Time Clk,Temp Sensor
SPI 162-2707●
MC9S08DZ32CLH LQFP 64 32 2 1 24 / 12 2 CAN, I2C, SCI, 53 20 No/Yes BDM,COP,ICE,KBI,LVI,POR,Real-Time Clk,Temp Sensor
SPI 162-2698●
MC9S08DZ96CLH LQFP 64 96 6 2 24 / 12 2 CAN, I2C, SCI, 53 20 No/Yes BDM,COP,ICE,KBI,LVI,POR,Real-Time Clk,Temp Sensor
SPI 162-2708●
MC9S08DZ128CLH LQFP 64 128 8 2 24 / 12 2 CAN, I2C, SCI, 53 20 No/Yes BDM,COP,ICE,KBI,LVI,POR,Real-Time Clk,Temp Sensor
SPI 162-2693●
MC9S08DZ96CLL LQFP 100 96 6 2 24 / 12 2 CAN, I2C, SCI, — 20 No/Yes BDM,COP,ICE,KBI,LVI,POR,Real-Time Clk,Temp Sensor
SPI 162-2709●
The 8-bit MC9S08FL16/8 (FL16/8) MCU family is a cost-effective solution ideal for expense-sensitive home appliance applications that require I/O flexibility.
Features:
Ì 20MHz (HC)S08 core Ì IPC (Interrupt priority controller) Ì MTIM16 (modulo timer): one 16-bit
Ì 4.5^5.5V operation voltage Ì ADC: 1x12-ch, 8-bit Ì SCI (Serial communications interface)
Ì 16/8KB flash, 1K/768 Bytes RAM Ì TPM (timer/pulse-width modulators): 1x4-ch, 1x2-ch Ì 30 GPIOs including 1 output-only pin and 1 input-only pin
549224
Mftrs. Flash RAM ADC Timer Interface I/O Bus Freq Addtional Price Each
List No. Pins/Pkg (KB) (KB) Channels/Bits Channels Type Pins MHz USB/CAN Features Order Code 1+ 10+ 100+
MC9S08FL16CBM 32/SDIP 16 1 12 / 8 1 SCI 30 20 No/No LCD Controller,COP,ICE,KBI,LVI,Real-Time Clk 175-0491●
MC9S08FL8CBM 32/SDIP 8 768 12 / 8 1 SCI 30 20 No/No LCD Controller,COP,ICE,KBI,LVI,Real-Time Clk 175-0492●
Ì On-chip programmable FLASH Memory Ì 3 channel and 5 channel timers, with PWM Ì Up to 56 Input/Output (I/O) Lines
524836
Mftrs. Flash RAM EEPROM ADC Timer Interface I/O Bus Freq Addtional Price Each
List No. Pkg Pins (KB) (KB) (Byte) Channels/Bits Channels Type Pins MHz USB/CAN Features Order Code 1+ 10+ 100+
GB Series
MC9S08GB32ACFUE LQFP 64 32 2 — 8 / 10 2 I2C, SCI, SPI 56 20 No/No LVD,Real-Time Clk,Watchdog OSC/Timer 157-9703●
MC9S08GB60ACFUE LQFP 64 60 4 — 8 / 10 8 I2C, SCI, SPI 56 20 No/No LVD,Real-Time Clk,Watchdog OSC/Timer 133-6814●
GT Series
MC9S08GT32ACBE PSDIP 42 32 2 — 8 / 10 2 I2C, SCI, SPI 39 20 No/No LVD,Real-Time Clk,Watchdog OSC/Timer 157-9707●
MC9S08GT60ACBE PSDIP 42 60 4 — 8 / 10 2 I2C, SCI, SPI 39 20 No/No LVD,Real-Time Clk,Watchdog OSC/Timer 157-9709●
MC9S08GT8ACFBE QFP 44 8 1 — 8 / 10 2 I2C, SCI, SPI 36 20 No/No LVD,Real-Time Clk,Watchdog OSC/Timer 129-4365●
MC9S08GT16AMFBE QFP 44 16 2 — 8 / 10 2 I2C, SCI, SPI 36 20 No/No LVD,Real-Time Clk,Watchdog OSC/Timer 157-9706●
MC9S08GT60ACFBE QFP 44 60 4 — 8 / 10 2 I2C, SCI, SPI 36 20 No/No LVD,Real-Time Clk,Watchdog OSC/Timer 129-4358●
MC9S08GT16ACFDE QFN 48 16 2 — 8 / 10 2 I2C, SCI, SPI 39 20 No/No LVD,Real-Time Clk,Watchdog OSC/Timer 157-9705●
MC9S08GT32CFDE QFN 48 32 2 — 8 / 10 2 I2C, SCI, SPI 36 20 No/No LVD,Real-Time Clk,Watchdog OSC/Timer 114-8460●
MC9S08GT60ACFDE QFN 48 60 4 — 8 / 10 2 I2C, SCI, SPI 39 20 No/No LVD,Real-Time Clk,Watchdog OSC/Timer 129-4359●
Mftrs. Flash RAM ADC Interface I/O Bus Freq Price Each
List No. Pins/Pkg (KB) (Byte) Channels/Bits Timers Type Pins Max (MHz) Order Code 1+ 10+ 100+
MC9S08MP16VLC 32/LQFP 16 1 13/12-bit 2 I2C, SCI, SPI 25 50MHz 178-4750●
S9S08MP16E2MLF 48/LQFP 16 1 13/12-bit 2 I2C, SCI, SPI 40 50MHz 178-4751
76
farnell.com element14.com 77
Mftrs. Flash RAMEEPROM ADC Timer Interface I/O Bus Freq USB/ Addtional Price Each
List No. Pkg Pins (KB) (KB) (Byte) Channel/Bits Channels Type Pins MHz CAN Features Order Code 1+ 10+ 100+
MC9S08JM32CQH QFP 64 32 2 — 12 / 12 2 I2C, SCI, SPI 51 24 Yes/No Analog Comparator,COP,Int Voltage Reg,KBI,LVD,Real-Time Clk 156-2856●
MC9S08JM32CLD LQFP 44 32 2 — 8 / 12 2 I2C, SCI, SPI 33 24 Yes/No Analog Comparator,COP,Int Voltage Reg,KBI,LVD,Real-Time Clk 156-2859●
MC9S08JM60CQH QFP 64 60 4 — 12 / 12 2 I2C, SCI, SPI 51 24 Yes/No Analog Comparator,COP,Int Voltage Reg,KBI,LVD,Real-Time Clk 156-2860●
MC9S08JM60CLH LQFP 64 60 4 — 12 / 12 2 I2C, SCI, SPI 51 24 Yes/No Analog Comparator,COP,Int Voltage Reg,KBI,LVD,Real-Time Clk 156-2862●
MC9S08JM60CGT QFN 48 60 4 — 8 / 12 2 I2C, SCI, SPI 37 24 Yes/No Analog Comparator,COP,Int Voltage Reg,KBI,LVD,Real-Time Clk 156-2863●
MC9S08JM60CLD LQFP 44 60 4 — 8 / 12 2 I2C, SCI, SPI 33 24 Yes/No Analog Comparator,COP,Int Voltage Reg,KBI,LVD,Real-Time Clk 156-2864●
HCS08 - LC Series
Features Include:
Ì HCS08 CPU Core Ì Internal Clock Generator Ì LCD compatible
Ì Background Debug System Ì Power-saving options Ì 1 Timer/PWM Ì System Protection
Ì Dual on-chip in-circuit programmable FLASH Ì 12-bit Analog-to-Digital Converter (ADC) Ì Extensive Serial Communications Ì Up to 24 Input/Output (I/O) Lines
524841
Mftrs. Flash RAM EEPROM ADC Timer Interface I/O Bus Freq Addtional Price Each
List No. Pkg Pins (KB) (KB) (KB) Channels/Bits Channels Type Pins MHz USB/CAN Features Order Code 1+ 10+ 100+
MC9S08LC60LH LQFP 64 60 4 60 2 / 12 2 I2C, SCI, SPI 18 20 No/No LCD Controller,COP,ICE,KBI,LVI,Real-Time Clk 133-6811●
Semiconductors
MC9S08LC36LK LQFP 80 36 2.5 36 8 / 12 2 I2C, SCI, SPI 24 20 No/No LCD Controller,COP,ICE,KBI,LVI,Real-Time Clk 133-6810●
MC9S08LC60LK LQFP 80 60 4 60 8 / 12 2 I2C, SCI, SPI 24 20 No/No LCD Controller,COP,ICE,KBI,LVI,Real-Time Clk 133-6813●
HCS08 - QD Series
Features Include:
Ì HCS08 CPU Core Ì Internal Clock Generator Ì 2 Timers/PWMs Ì System Protection
Ì Background Debug System Ì Power-saving options Ì Extensive Serial Communications Ì Four GPIOs
Ì On-chip in-circuit programmable FLASH Ì 10-bit Analog-to-Digital Converter (ADC)
524848
Mftrs. Flash RAM EEPROMADC Timer InterfaceI/O Bus Freq Addtional Price Each
List No. Pkg Pins(KB) (Byte)(Byte) Channels/BitsChannels Type Pins MHz USB/CAN Features Order Code 1+ 10+ 100+
MC9S08QD2CPC PDIP 8 2 128 4 / 10 2 — 4 8 No/No Internal Clk Generator,LVD 157-9711●
MC9S08QD2CSC SOIC 8 2 128 4 / 10 2 — 4 8 No/No Internal Clk Generator,LVD 157-9712● 1
MC9S08QD4CPC DIP 8 4 256 4 / 10 2 — 6 8 No/No LVD,Internal Clk Generator 129-4369●
MC9S08QD4CSC SOIC 8 4 256 4 / 10 2 — 6 8 No/No LVD,Internal Clk Generator 129-4368●
Mftrs. Flash RAM EEPROMADC Timer Interface I/O Bus Freq Addtional Price Each
List No. Pkg Pins(KB) (KB) (Byte) Channel/Bits Channels Type Pins MHz USB/CAN Features Order Code 1+ + +
MC9S08QE4CPG PDIP 16 4 256 — 10 / 1 I2C, SCI, SPI 12 10 No/No Analog Comparator,COP 156-1380●
MC9S08QE4CTG TSSOP16 4 256 — 10 / 1 I2C, SCI, SPI 12 10 No/No Analog Comparator,COP 156-1381●
MC9S08QE8CPG PDIP 16 8 512 — 10 / 1 I2C, SCI, SPI 12 10 No/No Analog Comparator,COP 156-1385●
MC9S08QE8CTG TSSOP16 8 512 — 10 / 1 I2C, SCI, SPI 12 10 No/No Analog Comparator,COP 156-1386●
MC9S08QE4CWJ SOIC 20 4 256 — 10 / 2 I2C, SCI, SPI 16 10 No/No Analog Comparator,COP 156-1382●
MC9S08QE8CWJ SOIC 20 8 512 — 10 / 2 I2C, SCI, SPI 16 10 No/No Analog Comparator,COP 156-1387●
MC9S08QE4CWL SOIC 28 4 256 — 10 / 2 I2C, SCI, SPI 22 10 No/No Analog Comparator,COP 156-1383●
MC9S08QE8CWL SOIC 28 8 512 — 10 / 2 I2C, SCI, SPI 22 10 No/No Analog Comparator,COP 156-1389●
MC9S08QE8CLC LQFP 32 8 512 — 10 / 12 2 I2C, SCI, SPI 26 10 No/No Analog Comparator,COP 156-1384●
MC9S08QE128CLH LQFP 64 128 8 — 24 / 12 3 I2C, SCI, SPI 54 25 No/No Analog Comparator,COP 156-1391●
MC9S08QE64CLH LQFP 64 64 4 — 24 / 12 3 I2C, SCI, SPI 54 25 No/No Analog Comparator,COP 156-1393●
MC9S08QE128CLK LQFP 80 128 8 — 24 / 12 3 I2C, SCI, SPI 70 25 No/No Analog Comparator,COP 156-1392●
HCS08 - QG Series
Features Include:
Ì HCS08 CPU Core Ì Internal Clock Generator Ì 2 Timers/PWMs
Ì Background Debug System Ì Power-saving options Ì Extensive Serial Communications
Ì On-chip in-circuit programmable FLASH Ì 10-bit Analog-to-Digital Converter (ADC) Ì System Protection
525300
Mftrs. Flash RAM EEPROM ADC Timer Interface I/O Bus Freq USB/ Addtional Price Each
List No. Pkg Pins (KB) (Byte) (Byte) Channels/Bits Channels Type Pins MHz CAN Features Order Code 1+ 10+ 100+
MC9S08QG4CPAE DIP 8 4 256 — 4 / 10 2 I2C, SCI, SPI 4 10 No/No LVD,Watchdog OSC/Timer 102-4056●
MC9S08QG8CDNE NSOIC 8 8 512 — 4 / 10 2 I2C, SCI, SPI 4 10 No/No LVD,Watchdog OSC/Timer 102-4051●
MC9S08QG4CDTE TSSOP 16 4 256 — 8 / 10 2 I2C, SCI, SPI 12 10 No/No LVD,Watchdog OSC/Timer 102-4052●
MC9S08QG4CFFE QFN 16 4 256 — 8 / 10 2 I2C, SCI, SPI 12 10 No/No LVD,Watchdog OSC/Timer 102-4053●
MC9S08QG8CDTE TSSOP 16 8 512 — 8 / 10 2 I2C, SCI, SPI 12 10 No/No LVD,Watchdog OSC/Timer 102-4046●
MC9S08QG8CFFE QFN 16 8 512 — 8 / 10 2 I2C, SCI, SPI 12 10 No/No LVD,Watchdog OSC/Timer 102-4047●
MC9S08QG8CPBE DIP 16 8 512 — 8 / 10 2 I2C, SCI, SPI 12 10 No/No LVD,Watchdog OSC/Timer 102-4044●
77
78 farnell.com element14.com
Mftrs. Flash RAM EEPROM ADC Timer Interface I/O Bus Freq Max USB/ Price Each
List No. Pkg Pins (KB) (KB) (Byte) Channels/Bits Channels Type Pins MHz CAN Order Code 1+ 10+ 100+
MC9S08SF4MTG TSSOP 16 4 KB 128 — 8/10 2 I2C 14 20 No/No 182-1265●
MC9S08SF4MTJ TSSOP 20 4 KB 128 — 8/10 2 I2C 18 20 No/No 182-1266●
HCS08 - SH Series
Features Include:
Ì HCS08 CPU Core Ì Internal Clock Generator Ì 10-bit Analog-to-Digital Converter (ADC) Ì System Protection
Ì Background Debug System Ì Power-saving options Ì Extensive Serial Communications Ì Up to 23 GPIOs
Ì On-chip in-circuit programmable FLASH Ì 3 Timers/PWMs
525303
Mftrs. FlashRAM EEPROMADC Timer Interface I/O Bus FreqUSB/ Addtional Price Each
List No. Pkg Pins (KB) (Byte)(Byte) Channels/Bits ChannelsType Pins MHz CAN Features Order Code 1+ 10+ 100+
MC9S08SH4CTG TSSOP16 4 256 — 12 / 10 3 I2C, SCI, SPI 13 20 No/No Analog Comparator,COP,Int Clk Generator,Int Voltage Reg, LVD 162-2719●
Semiconductors
MC9S08SH8CTG TSSOP16 8 512 — 8 / 10 3 I2C, SCI, SPI 13 20 No/No Analog Comparator,COP,Int Clk Generator,Int Voltage Reg, LVD 162-2721●
MC9S08SH4CTJ TSSOP20 4 256 — 12 / 10 3 I2C, SCI, SPI 17 20 No/No Analog Comparator,COP,Int Clk Generator,Int Voltage Reg, LVD 162-2720●
MC9S08SH8CTJ TSSOP20 8 512 — 12 / 10 3 I2C, SCI, SPI 17 20 No/No Analog Comparator,COP,Int Clk Generator,Int Voltage Reg, LVD 162-2722●
MC9S08SH16CTL TSSOP28 16 1 — 12 / 10 3 I2C, SCI, SPI 23 20 No/No Int Clk Generator,Int Voltage Reg, LVD 162-2714●
MC9S08SH16CWL SOIC 28 16 1 — 12 / 10 3 I2C, SCI, SPI 23 20 No/No Analog Comparator,COP,Int Clk Generator,Int Voltage Reg, LVD 162-2715●
MC9S08SH32CTL TSSOP28 32 1 — 12 / 10 3 I2C, SCI, SPI 23 20 No/No Int Clk Generator,Int Voltage Reg, LVD 162-2716●
MC9S08SH32CWL SOIC 28 32 1 — 12 / 10 3 I2C, SCI, SPI 23 20 No/No Analog Comparator,COP,Int Clk Generator,Int Voltage Reg, LVD 162-2718●
Clock
Pins/ Frequency I/O Operating Price Each
Mftrs. List No. Package FLASH RAM (MHz) Pins Temperature Order Code 1+ 10+ 100+
MB95F223KPF-G-SNE1 16/SOP 8 KB 496Byte 16.25 13 -40°C to +85°C 182-5569
MB95F204KPF-G-SNE2 20/SOP 16 KB 496Byte 16.25 17 -40°C to +85°C 182-5567
MB95F214KPF-G-SNE2 8/SOP 16 KB 496Byte 16.25 5 -40°C to +85°C 182-5568
MB95F134JBSPFV-GE1 30/SSOP 16KB 512Byte 16.25 20 -40°C to +85°C 167-4623●
MB95F264KPF-G-SNE2 20/SOP 20KB 496Byte 16.25 17 -40°C to +85°C 182-5570
MB95F274KPF-G-SNE2 8/SOP 20KB 496Byte 16.25 5 -40°C to +85°C 182-5571
MB95F284KPF-G-SNE1 16/SOP 20KB 496Byte 16.25 13 -40°C to +85°C 182-5572
MB95F136JBSPFV-GE1 30/SSOP 32KB 1KB 16.25 20 -40°C to +85°C 167-4624●
MB95F116JSPMC-GE1 52/LQFP 32KB 1KB 16 39 -40°C to 85°C 144-8268●
MB95F118JSPMCR-GE1 52/LQFP 60KB 2KB 16.25 39 -40°C to +85°C 167-4625●
MB95F108AJSPMC-GE1 64/LQFP 60KB 2KB 16.25 54 -40°C to +85°C 167-4628●
78
farnell.com element14.com 79
Price Each
Mftr. Pins Package Description Mftrs. List No. Order Code 1+ 10+ 100+
505 INF 44 MQFP 20MHz CMOS Microcontroller ROM less memory with CAN . . . . . . . . . . . . . . . . . . . . . . SAF-C505CA-LM 147-2711●
505 INF 44 MQFP 20MHz CMOS Microcontroller 16kB OTP memory with CAN . . . . . . . . . . . . . . . . . . . . . SAK-C505CA-4EMCA 147-2746●
Mftrs. Flash RAM Clock Freq ADC I/O Interface Temperature Price Each
List No. Pins/Pkg (KB) (Byte) Max (MHz) Channels Pins Type Range Order Code 1+ 10+ 100+
SAF-XC878CM-16FFI 64/LQFP 64 3.25 27MHz 8 48 2 x UART, 1 x SSC -40°C to +85°C 179-1826●
Semiconductors
SAF-XC866-4FRI 38/TSSOP 16 768 26.67MHz 8 27 1 x UART, 1 x SSC -40°C to +85°C 179-1827●
SAF-XC858CA-16FFI 64/LQFP 64 3.25 27MHz 8 48 2 x UART, 1 x SSC -40°C to +85°C 179-1828
SAF-XC888CM-8FFI 64/TQFP 32 1.75 24MHz 8 48 -40°C to +85°C 179-1829●
SAF-XC886CM-8FFI 48/TQFP 32 1.75 24MHz 8 34 2 x UART, 1 x SSC -40°C to +85°C 179-1830
Price Each
Mftr. Pins Pkg Description Mftrs. List No. Order Code 1+ + +
80C320 MAX 40 DIP 8-Bit CMOS CPU, 256 bytes RAM - 25MHz . . . . . . . . . . . . . . . . . . . . . . . . . DS80C320+MCG 972-5873●
1
Microcontrollers and Microprocessors - 8-Bit - PARALLAX
circuitry. The ToolStick Debug Adapter can be used to program and debug a standard Sili- Mftrs. List No. Order Code Price Each
con Laboratories MCU development board. C8051F930DK C8051F930 Development Kit 155-8935▲
451979
79
80 farnell.com element14.com
503881
80
farnell.com element14.com 81
C8051F120-GQ 100 128KB 8448 2 UARTs, SMBus, SPI 5 64 12/8 100/500 8/8 12 2 2 100 / TQFP
C8051F121-GQ 100 128KB 8448 2 UARTs, SMBus, SPI 5 32 12/8 100/500 8/8 12 2 2 64 / TQFP
C8051F122-GQ 100 128KB 8448 2 UARTs, SMBus, SPI 5 64 10/8 100/500 8/8 12 2 2 100 / TQFP
C8051F123-GQ 100 128KB 8448 2 UARTs, SMBus, SPI 5 32 10/8 100/500 8/8 12 2 2 64 / TQFP
C8051F124-GQ 50 128KB 8448 2 UARTs, SMBus, SPI 5 64 12/8 100/500 8/8 12 2 2 100 / TQFP
C8051F127-GQ 50 128KB 8448 2 UARTs, SMBus, SPI 5 32 10/8 100/500 8/8 12 2 2 64 / TQFP
C8051F130-GQ 100 128KB 8448 2 UARTs, SMBus, SPI 5 64 10 100 8 — — 2 100 / TQFP
C8051F132-GQ 100 64KB 8448 2 UARTs, SMBus, SPI 5 64 10 100 8 — — 2 100 / TQFP
C8051F133-GQ 100 64KB 8448 2 UARTs, SMBus, SPI 5 32 10 100 8 — — 2 64 / TQFP
C8051F230-GQ 25 8KB 256 UART, SPI 3 32 — — — — — 2 48 / TQFP
C8051F300-GM 25 8KB 256 UART, SMBus 3 8 8 500 8 — — 1 11 / MLP
C8051F301-GM 25 8KB 256 UART, SMBus 3 8 — — — — — 1 11 / MLP
C8051F310-GQ 25 16KB 1280 UART, SMBus, SPI 4 29 10 200 21 — — 2 32 / LQFP
C8051F311-GM 25 16KB 1280 UART, SMBus, SPI 4 25 10 200 17 — — 2 28 / MLP
C8051F312-GQ 25 8KB 1280 UART, SMBus, SPI 4 29 10 200 21 — — 2 32 / LQFP
C8051F313-GM 25 8KB 1280 UART, SMBus, SPI 4 25 10 200 17 — — 2 28 / MLP
C8051F314-GQ 25 8KB 1280 UART, SMBus, SPI 4 29 — — — — — 2 32 / LQFP
C8051F315-GM 25 8KB 1280 UART, SMBus, SPI 4 25 — — — — — 2 28 / MLP
C8051F316-GM 25 16KB 1280 UART, SMBus, SPI 4 21 10 200 13 — — 2 24 / QFN
C8051F320-GQ 25 16KB 2304 USB 2.0, UART, SMBus, SPI 4 25 10 200 17 — — 2 32 / LQFP
C8051F321-GM 25 16KB 2304 USB 2.0, UART, SMBus, SPI 4 21 10 200 13 — — 2 28 / QFN
C8051F326-GM 25 16KB 1536 USB 2.0, UART 2 15 — — — — — — 28 / QFN
C8051F327-GM 25 16KB 1536 USB 2.0, UART 2 15 — — — — — — 24 / QFN
C8051F330-GM 25 8KB 768 UART, SMBus, SPI 4 17 10 200 16 10 1 1 20 / MLP
C8051F331-GM 25 8KB 768 UART, SMBus, SPI 4 17 — — — — — 1 20 / MLP
C8051F335-GM 25 2KB 768 UART, SMBus, SPI 4 17 — — — — — 1 20 / MLP
C8051F340-GQ 48 64KB 5376 USB 2.0, 2 x UART, SMBus, SPI 4 40 10 200 17 — — 2 48 / TQFP
C8051F341-GQ 48 32KB 3328 USB 2.0, 2 x UART, SMBus, SPI 4 40 10 200 17 — — 2 48 / TQFP
C8051F342-GQ 48 64KB 5376 USB 2.0, UART, SMBus, SPI 4 25 10 200 17 — — 2 32 / LQFP
C8051F344-GQ 25 64KB 5376 USB 2.0, 2 x UART, SMBus, SPI 4 40 10 200 17 — — 2 48 / TQFP
C8051F345-GQ 25 32KB 3328 USB 2.0, 2 x UART, SMBus, SPI 4 40 10 200 17 — — 2 48 / TQFP
C8051F346-GQ 25 64KB 5376 USB 2.0, UART, SMBus, SPI 4 25 10 200 17 — — 2 32 / LQFP
C8051F347-GQ 25 32KB 3328 USB 2.0, UART, SMBus, SPI 4 25 10 200 17 — — 2 32 / LQFP
Semiconductors
C8051F350-GQ 50 8KB 768 UART, SMBus, SPI 4 17 24 1 8 8 2 1 32 / LQFP
C8051F352-GQ 50 8KB 768 UART, SMBus, SPI 4 17 16 1 8 8 2 1 32 / LQFP
C8051F353-GM 50 8KB 768 UART, SMBus, SPI 4 17 8 1 8 8 2 1 28 / MLP
C8051F410-GQ 50 32KB 2304 UART, SMBus, SPI 4 24 12 200 24 12 2 2 32 / LQFP
C8051F411-GM 50 32KB 2304 UART, SMBus, SPI 4 20 12 200 20 12 2 2 28 / MLP
C8051F412-GQ 50 16KB 1280 UART, SMBus, SPI 4 24 12 200 24 12 2 2 32 / LQFP
239273
Price Each
Mftrs. List No. Order Code 1+ 10+ 100+
C8051F005-GQ 968-5677●
C8051F012-GQ 129-1454●
C8051F017-GQ 129-1456●
C8051F019-GQ 129-1458●
C8051F020-GQ 968-5766●
C8051F021-GQ 968-5774● 1
C8051F022-GQ 968-5782●
C8051F040-GQ 968-5839●
81
82 farnell.com element14.com
Ì Selectable power of 5 V or 3.3 V - only 2 capacitors on top of mandatory parts (battery, LCD, MCU, buttons)
Ì Embedded ST-Link for STM8S Ì Low-cost PCB (single layer)
551187
Ì USB interface for programming and debugging
Ì SWIM debug support Mftrs. List No Description Order Code Price Each
STEVAL-IAS003V1 Counter Demonstration Board 177-5396●
82
farnell.com element14.com 83
Mftrs. Flash RAM EEPROM ADC Timer Func Interface I/O Frequency Price Each
List No. Pkg Pins (KB) (KB) (KB) Channels/Bits (IC/OC/PWM) Type Pins MHz Order Code 1+ 10+ 100+ 250+
STM8S207S6T6C LQFP 44 32 2 1 9 / 10 1x8bit, 3x16bit (8/8/11) 34 24 168-3830●
STM8S207C8T6 LQFP 48 64 4 1.5 10 / 10 1x8bit, 3x16bit (9/9/12) 38 24 168-3825●
STM8S207R8T6 LQFP 64 64 4 1.5 16 / 10 1x8bit, 3x16bit (9/9/12) 52 24 168-3828●
STM8S207RBT6 LQFP 64 128 6 2 16 / 10 1x8bit, 3x16bit (9/9/12) 52 24 168-3829●
Semiconductors
STM8S208RBT6 LQFP 64 128 6 2 16 / 10 1x8bit, 3x16bit (9/9/12) CAN, SPI, UART, I2C 52 24 168-3831●
527523
STM8S2XX Evaluation Board Mftrs. Price Each
List No. Description Order Code 1+
This evaluation board is a
STM8/128-EVAL STM8S (128K) Evaluation Board 168-3821▲
complete development plat-
form for the STM8S2xx (128K) 8-bit MCUs. It
provides a flexible and open design area, ideal for
demonstrating device capabilities. Added to this
the board can evaluate many peripherals and fea-
tures including CAN2.0A/B, I2C, SPI, USART
channels with smart card, IrDA and LIN support.
83
84 farnell.com element14.com
1 DEBUGGER FOR ST7, STR7, UPSD PROGRAMMER Ì In-circuit debugging and programming Ì USB interface to host PC
Ì Connection to application board via JTAG standard, or Ì Powered from USB
The RLink (STX-RLINK) is ST standard ICC connection 429312
Raisonance’s versatile, low- Mftrs. List No Order Code Price Each
Integrated Circuits & Development Kits
STR7 Flash microcontrollers are a new generation of super-integrated, single chip devices, combining the industry standard ARM7TDMI姞
RISC microprocessor with embedded Flash and powerful peripheral functions including USB and CAN. They are ideal for embedded appli-
cations requiring a compact yet powerful MCU, or versatile, scalable solutions such as user interfaces, factory automation systems and
Point of Sale applications.
Key features:
Ì Fast memory access times Ì Wide range of peripherals including UARTs CAN, USB2.0 HDLC dual I2C and real time clocks
Ì Low power consumption Ì Best support for IAP
Ì Superior interrupt handling Ì Memory protection sector prevents unauthorised code access
442355
84
farnell.com element14.com 85
Developers will find the ST62 easy to use, with its straightforward accumulator-based architecture, twin index pointers, and hardware stack. The ST62 also features a surprisingly powerful
dual-level interrupt mechanism, ideal for managing events in real-time without messy software loops. Through its Data ROM Window construct, the ST62 is capable of reading its own pro-
gram content, a vital feature for ROM checking algorithms such as CRC.
All ST62’s feature WAIT and HALT low power modes, while the "C" revision devices have now been recharacterised to offer even lower power consumption.
A range of development tools is available, from low cost Starter Kits, to full in-circuit real-time emulators. Engineers with little experience, or with little time available, can draw their application
schematically, using the STREALIZER-II, without having to write a single line of assembly code.
210990
ST62 is a family of rugged microcontrollers with high EMC, low EMI. All members feature watchdog and have 8MHz clock.
All devices with prefix ST62E=EPROM The temperature range is -40°C to +85°C for all the OTP versions.
ST62T=OTP EPROM Devices For Prototyping are rated to +25°C
210991
Semiconductors
ST62T10CB6 2KB 64 — 8 x 8-bit 1 x 8-bit — 12 (4) 20/DIP LVD/RC/OSG/Readout Protn./Direct LED/
Triac Drive 109-4326●
ST62T10CM6 2KB 64 — 8 x 8-bit 1 x 8-bit — 12 (4) 20/SOIC LVD/RC/OSG/Readout Protn./Direct LED/
Triac Drive 109-4327●
ST62T15CB6 2KB 64 — 16 x 8-bit 1 x 8-bit — 20 (4) 28/DIP LVD/RC/OSG/Readout Protn./Direct LED/
Triac Drive 109-4328●
ST62T15CM6. 2KB 64 — 16 x 8-bit 1 x 8-bit — 20 (4) 20/SOIC LVD/RC/OSG/Readout Protn./Direct LED/
Triac Drive 326-0057▲
ST62T20CB6 4KB 64 — 8 x 8-bit 1 x 8-bit — 12 (4) 20/DIP LVD/RC/OSG/Readout Protn./Direct LED/
Triac Drive 109-4329●
ST62T20CM6 4KB 64 — 8 x 8-bit 2 x 8-bit — 12 (4) 20/PSOP 129-2636●
ST62T25CB6 4KB 64 — 16 x 8-bit 1 x 8-bit — 20 (4) 28/DIP LVD/RC/OSG/Readout Protn./Direct LED/
Triac Drive 109-4330●
ADC + PWM + E2
ST62T60CB6 4KB 128 128 7 x 8-bit 1 x 8-bit, 1 x SPI 13 (6) 20/DIP LVD/RC/OSG/Readout Protn./Direct LED/ 1
8-bitAR Triac Drive/SPI 109-4332●
ST62T60CM6 4KB 128 128 7 x 8-bit 1 x 8-bit, 1 x SPI 13 (6) 20/SOIC LVD/RC/OSG/Readout Protn./Direct LED/
8-bitAR Triac Drive/SPI 326-0239▲
85
86 farnell.com element14.com
Price Each
Pins Package Description Mftrs. List No. Order Code 1+ 10+ 100+
Z8F0411 20 SSOP 8-Bit 4K Flash Z8 MCU, 1K RAM . . . . . . . . . . . . . . . . . . . . . . . . . Z8F0411HH020SG 780-5306●
Z8F0822 28 DIP 8-Bit 8K Flash Z8 MCU, 1K RAM . . . . . . . . . . . . . . . . . . . . . . . . . Z8F0822PJ020SG 780-5462●
Z8F6421 44 PLCC 8-Bit 64K Flash Z8 MCU, 4K RAM . . . . . . . . . . . . . . . . . . . . . . . . Z8F6421VN020SG 780-5608●
Z8F6423 80 QFP 8-Bit 64K Flash Z8 MCU, 4K RAM . . . . . . . . . . . . . . . . . . . . . . . . Z8F6423FT020SG 780-5624●
Z86E03-40
A low cost family of 8-bit microcontrollers from Zilog with on-board OTP PROM, RAM, I/O, timer/counters, watchdog, power-on reset/brown out protection and two comparator inputs that
can be configured to provide analogue inputs/ADCs.
Semiconductors
Features include:
Register-to-register architecture — avoids accumulator bottlenecks and is more code efficient than RISC processors.
Two timers on most devices (exception Z86E03) — combines with flexible clocking and interrupt modes for simpler software solutions.
Relocatable stack — only limited in size by total RAM space.
Fast context switching — to rapidly respond to interrupts and switch tasks.
Applications: Ì Exercise equipment Ì Monitors Ì Kitchen appliances Ì Automotive modules
Ì Security systems Ì Keyboards Ì Electronic games Ì Mobile phones Ì Card readers
Ì Smart cards Ì Remote controls Ì Answering machines Ì Microwave ovens Ì Industrial controls
210510
Price Each
Mftr. PinsPkg.Description Mftrs. List No. Order Code 1+ 10+ 100+ +
86E04ZILog18 DIP 8-Bit Microcontroller, 1Kb OTP PROM, 124 bytes RAM, 14 I/O lines, With crystal oscillator - 12MHz . . . . . . Z86E0412PSG1866 108-1881●
86E08ZILog18 DIP 8-Bit Microcontroller, 2Kb OTP PROM, 124 bytes RAM, 14 I/O lines, With crystal oscillator - 12MHz . . . . . . Z86E0812PSG1866 108-1884●
1 86E30ZILog28 DIP 8-Bit Microcontroller, 4Kb OTP PROM, 236 bytes RAM, 24 I/O lines, With selectable oscillator - 16MHz . . . . Z86E3016PSG 108-1885●
Z8
80180ZILog64 DIP 8-Bit CMOS CPU, 10MHz Clock (enhanced Z80) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Z8018010PSG 108-1879●
Integrated Circuits & Development Kits
Z8S180
An enhanced model of the Z80180 with faster execute speeds, power saving modes and EMI noise reduction.
Features include: Ì Low power down modes Ì Clocked serial I/O port
Ì Core compatible with 780 CPU Ì On-chip interrupt controller Ì 2 x 16-bit timers
Ì Extended instructions Ì On-chip oscillator/generator Ì 2 UARTs
210956
Price Each
Pins Pkg Description Mftrs. List No. Order Code 1+ 10+ 100+
Z8S180 68 PLCC 8-Bit COMS CPU, 33MHz (enhanced Z80180) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Z8S18033VSG 108-1880●
224009
Price Each
Z8x Pins Pkg Description Mftrs. List No. Order Code 1+ 10+ 100+
84C 40 DIP 8-Bit CMOS CPU, 8MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Z84C0008PEG 108-1890●
84C 40 DIP 8-Bit CMOS CPU, 10MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Z84C0010PEG 108-1891●
Microcontrollers and Microprocessors - 8/ The PICSTART Plus is easy-to-use and features Microchip’s highly acclaimed MPLAB™ In-
tegrated Development Environment (IDE). This features an editor, MPASM assembler and
16/32-Bit - MICROCHIP - Development Tools Windows based simulator which provides a comprehensive user interface with on-screen
views of all used registers during program simulation.
PICSTART姞 Plus - Low Cost A copy of the PICC™ LITE C compiler is included, this is limited to compile specifically for
Development/Evaluation System the sample PIC16F84 included with the kit.
Full documentation is included and software is supplied together with device data on CD-
ROM.
The development system is supplied complete with 9-way RS-232 cable, PIC16F84 device
sample and a universal power supply.
UK or International IEC power leads are available separately.
229993
The PICSTART姞 Plus development system from Microchip provides a highly flexible low
cost entry level programming tool for all of the PIC 8-bit series of microcontrollers includ-
ing One Time Programmable, Erasable and FLASH devices.
The 40 way universal ZIF socket accepts device sizes from 8-pin 0.3" to 40-pin 0.6" DIL.
PICSTART Plus operates in a Windows 3.1, 95, 98, NT environment on any PC compatible
machine, access to a serial port is required for communication.
86
farnell.com element14.com 87
Semiconductors
MA330016 the free MPLAB Integrated Development Environment
Ì Based on the PIC18F66J16 microcontroller. No resonator required software. 527788
Ì 64-pin TQFP package
Mftrs. List No. Description Order Code Price Each
Ì PIC-HV-PT can generate Vpp programming voltages of up to 13V
Ì PIC-LV-PT can only generate Vpp programming voltages up to the target board Vdd MA330016 dsPIC33 GP 44P QFN to 100P Plug-In Module 157-6922●
525845 MA330017 dsPIC33 MC 44P QFN to 100P Plug-In Module 157-6923●
Mftrs List No. Description Order Code Price Each MA330018 dsPIC33F MC 44P QFN TO 100P Plug-In Module 167-6249●
TEACLIPPER-PIC-HV-PT High Voltage TEAclipper Core Processor 167-0819● MA330019 dsPIC33F GP 44P QFN TO 100P Plug-In Module 167-6250●
TEACLIPPER-PIC-LV-PT Low Voltage TEAclipper Core Processor 167-0820●
MPLAB姞ICD 2 In-Circuit Debugger
Programming Adaptors The ICD 2 module con-
nects between the PC and the users target board al-
To assist with product development, the lowing direct in circuit debugging, in real time, of the
698-179
following adaptors have been designed for target microcontroller. It can also be used as a pro- 1
use with the PICSTART Plus programmer. grammer to download programs to the target micro-
698-180 controller.
The In-Circuit Debugger 2 (MPLAB姞 ICD 2) allows
87
88 farnell.com element14.com
Microcontrollers and Microprocessors - 8/ MPLAB ICD 3 Evaluation Kit with PICDEM 2 Plus
16/32-Bit - MICROCHIP - Development Tools
- continued
MPLAB姞ICD 2 In-Circuit Debugger - continued
Features: - continued
Mftrs. Order Code Price Each
List No.
1+
AC162059 8-pin ICD2/ICD3 Header Interface for PIC10F200/ Adapter
202/204/206, 12F508/509, 16F505 111-2783 The PIC18 Development Kit is a complete bundle of the essential development tools need-
AC162060 20-pin ICD2/ICD3 Header Interface for PIC16F785 Adapter 111-2725 ed to get started with your next PIC18 application. THe kit includes a PIC18 Explorer Board,
AC162061 20-pin ICD2/ICD3 header interface for PIC16F690 143-9826● PICkit 3 Debugger/Programmer, USB cable, and a 9V universal power supply. The PIC18
AC162066 20-pin ICD2/ICD3 header interface for PIC16F639 143-9827● Explorer Board includes both the PIC18F8722 and PIC18F87J11 microcontrollers and su-
AC162088 MPLAB ICD2/ICD3 28P Header 167-6242● poorts dozens of the general purpose PIC18 families using various processor Plug-in Mod-
AC162094 MPLAB ICD2/ICD3 44P Header 167-6243● ules (PIMs). PICtail daughter boards enable many different accessory boards to connect to
the PIC18 Explorer Board for a flexible and complete development environment. The PICkit
AC162069 MPLAB ICD2 BreadBoard Cable Adapter 111-2721●
3 allows debugging and programming of PIC microcontrollers using the powerful graphical
AC162070 8 to14 Pin ICD2/ICD3 Header 161-7326●
user interface of the MPLAB Integrated Development Environment (IDE) via USB connec-
AC164112 MPLAB ICD 2 Voltage Limiter for K Series PIC Mi- tion to the PC which also provides power. The kit also includes the FREE MPLAB IDE and
crocontollers 167-1899●
FREE PIC18 C Lite Compiler for a complete code development environment.
600633
Reference Design
The Automotive Ambient Interior Lighting Module
Reference Design demonstrates microcontroller-
based control of RGB LED devices. This module
can be controlled remotely by a master body con-
troller via a LIN bus. These modules are offered in
The Power-Line Modem (PLM) PICtail™ Plus Daughter Board provides communication a very compact form-factor board and comprise of
over power-lines using a Binary Phase Shift Keying (BPSK) modulation scheme. These a PIC12F615 MCU, an MCP2021 LIN transceiver/
boards interface to the popular Explorer 16 Development Board and operate in the CENE- voltage regulator, and RGB LED. LIN commands
LEC C consumer frequency band at a carrier frequency of 129.6 kHz. The software modem are interpreted by the module to control color mix-
runs on the dsPIC33F Digital Signal Controller (DSC) and utilizes an Analog Front End ing (16,383 colors) and intensity (1023 levels).
(AFE) to interface to the AC power mains. Each of the communication nodes utilizes a high The kit ships with 4 modules to assign as lighting
zones in a LIN or J2602 network. These modules can also be used in conjunction with the
1 voltage (HV) adapter cable that connects the Consumer-Band BPSK-Based 7.2 Kbps Pow-
er-Line Soft-Modem to the AC mains. The HV adapter cable incorporates the circuitry re- APGDT001 LIN Serial Analyzer to quickly create a working LIN network straight out of the
quired to provide the noise-filtering and isolation from the power-line. box.
538355
Ì Operates on 5V and 9V power supply Mftrs.
Integrated Circuits & Development Kits
Ì Modulation and demodulation in software List No. Description Order Code Price Each
Ì Software selectable baud rates: 1200, 2400, 3600, 4800, 5400 and 7200
Ì Variable transmit power level setting APGRD004 Ambient Lighting Module Ref. Design 171-8000●
Ì Compatible with 220V/50 Hz and 110V/60 Hz power-lines, or any AC line ranging from
0-220VAC at 50 or 60Hz MPLAB ICD 3 with Explorer 16 Kit
659770
Price Each
Mftrs. List No. Order Code 1+
AC164142 188-9811●
Ì USB powered (USB 2.0, 480 Mbps) Ì Vpp range 2-14V Mftrs. List No. Description Order Code Price Each
Ì Internal 1MB memory buffer for increased Ì Firmware upgrade via MPLAB IDE down- DV164037 MPLAB ICD 3 with Explorer 16 Kit 177-1308●
download speed load
Kit Contents:
Ì 1x MPLAB ICD 3 In-Circuit Debugger module Ì 1x interface test module PICkit 1 FLASH Starter Kit
Ì 1x USB cable Ì 1x CD containing MPLAB IDE soft-
Ì 1x 6 inch RJ-11 modular cable from MPLAB ware and product documentation The PICkit 1 FLASH Starter Kit is a develop-
ICD 3 to target ment kit with easy interface for program-
526244
ming Microchip’s 8/14-pin FLASH family of
Mftrs. List No. Description Order Code Price Each microcontrollers. The kit allows for pro-
List No. Description Order Code 1+ gramming, evaluation and developing appli-
DV164035 MPLAB姞 ICD 3 In-Circuit Debugger Kit 166-4878● cations using the 8 and 14-pin FLASH PIC-
micros. Code development and debugging
is performed using the MPLAB® Integrated
Development Environment (IDE).
FEATURES:
Ì 3"x4.5" circuit board with snap-off prototyping board
Ì Easy to use Windows® programming interface for programming the 8/14 pin FLASH
PICmicros
Ì Seven tutorials written in both Assembly and HI TECH ’C’ demonstrate how to use the
88
farnell.com element14.com 89
Semiconductors
The Signal Analysis PICtail™
Daughter Board
The Signal Analysis PICtail™ Daughter
Board is is an extension to the PICk-
it™ 1 Flash starter Kit. It is designed
to program PIC10F2XX devices and
contains a FLASH microcontroller and
16kB of EEPROM memory. Used in
conjunction with the starter kit, the
board allows real time measurements
of analogue signals processed by the
PIC microcontroller. The signal-analy-
sis capabiltites include processing da-
ta and displaying it as a Real-Time The PICkit 2 Debug Express is a low-cost development kit with an easy to use interface for 1
Strip Chart, Oscilloscope plot, Histo- debug as well as programming. It comes complete with a development board that contains
gram or by carrying out Fast Fourier Microchip’s 44-pin PIC16F887 Flash microcontroller. This starter kit is designed to help
Transform. developers get up to speed quickly using PIC® microcontrollers and provides everything
Ì 12 sequential lessons written in Assembly to demonstrate how to use the microcon- Mftrs. Price Each
trollers List No. Order Code 1+
Ì Microchip Tips ’n Tricks booklet to provide efficient, low-cost design techniques FlowKit Debugger HP299 206-5602
Ì PICkit 2 User’s Guide CD-ROM
FlowKit V5 Software HP277SI4 206-5603
Ì Low pin count demo board User’s Guide
Ì MPLAB IDE Software for complete code development
Ì HI-TECH PICC™ LITE C Complier TROUBLESHOOTING TIPS
413600
Description Mftrs. List No. Order Code Price Each Chat online to one of our
PICKit 2 Starter Kit DV164120 984-7162● technical engineers at farnell.com
PICkit 2 Programmer Only PG164120 984-7170
89
90 farnell.com element14.com
503872
The low pin count USB development kit provides an easy way to evaluate the functionality
of the PIC18F14K50 and PIC18F13K50 USB microcontrollers. A self-directed course and Mftrs. List No. Description Order Code Price Each
lab material have been designed and included with the view to easing the process of add- DM164120-3 PICkit 2 28-Pin Demo Board 155-5681●
ing USB connectivity to embedded systems.
The PICkit™ 2 development programmer/debugger is a development tool with an easy to
use interface for programming and debugging Microchip’s flash families of microcontroll- PIC-P40-USB Prototype Board
ers. This board was designed to allow
Kit Contents: Ì 1x PIC18F14K50 Debug Header easy interfacing of embedded projects to computers
Ì 1x Low Pin Count USB Development Ì 1x PICkit 2™ Debugger/Programmer with a USB port. The F232 USB-to-RS232 converter IC
Board with cable creates a virtual ’COM’ port to the PC and users can
Semiconductors
Ì 1x Unpopulated spare development Ì 1x CD containing the user guide, course easily send and receive date using the RS232 interface
board materials, and product documentation in the PIC microcontroller.
528086
Element14 and Microchip™ together presents PIC18 MCU based the PIC18F26J50 EVK
Flowcode Development Kit. It’s a development and demonstration kit designed to provide DM164120-2 - PICkit 2 44-Pin Demo Board
developers a hands-on approach to learn Flowcode 4 (Graphical Programming Language,
lite version supplied) more easily. The kit is based on PIC18F26J50 microcontroller, and The DM164120-2 is a small demo board with a PIC16F917 on
integrates temperature sensor, capacitive touch sensor and potentiometer to help develop- board and a small surface mount prototype area. It is used with a
ers verify their programs designed by Flowcode 4, PICkit 2 to program codes via a 6-pin ICSP header on the board.
This kit also includes two bare PCB boards for those interested in
Features: customizing their development.
Ì PIC18F26J50 Ì 8 user LEDs 450889
Ì 32KB Flash & 3.7kB SRAM Ì 8 I/O Pins User Extension Interface
Order Code Price Each
Ì One ICSP interface Ì On board touch key
Ì One high-speed Mini USB Host Port Ì On board potentiometer 1+
Ì One PICKit interface Ì On board temperature sensor DM164120-2 PICKit2 44-PIN DEMO Kit 129-4834●
Ì One power output port Ì Power: 5VDC from Mini USB port
Ì Two user buttons Ì Dimension: 88 x 52mm
654735
PICkit™ 2 Low Pin Count Demo Board
Price Each The Low Pin Count Demo Board Works with the
Mftrs. List No. Order Code 1+ PICkit™ 2 Microcontroller Programmer to help the
PIC18F26J50-EVK 206-0278●
user get up to speed quickly using PICmicro® mi-
crocontrollers. Also included are 2 extra bare PCB
boards.
PIC Prototyping Boards
Does not support PIC10F 8DIP or 18-Pin Devices.
With the PIC-P14 & PIC-18 users can devel-
op and prototype with any of Microchip’s 14/ Features: Ì 4 LEDs Ì Pushbutton
18 pin PIC microcontrollers. The RS232 driv- Ì PIC16F690 (Requires Ì Potentiometer Ì Prototyping area
er on board allows easy connection with PC ICD debug header)
or other embedded hardware. The board has 493700
a user button and status LED. Mftrs. List No. Description Order Code Price Each
The PIC-P28-USB was designed to allow DM164120-1 PICkit 2 Low Pin Count Demo Board 143-9837●
easy interfacing of embedded projects to
PIC-P14-20MHZ computers with USB. The F232 USB-to-
RS232 converter IC creates a virtual COM
port to the PC allows the usere to easily send and receive date using the RS232 interface INTELLIGENT ONLINE BUYING
in the PIC microcontroller. SYSTEM PROVIDING
556103
Complete cost control, reduced
Mftrs. List No. Description Order Code Price Each
administration time, visibility of your
PIC-P14-20MHZ 14 Pin PIC Micro Prototype Board 177-6339●
spend, flexibility and personalised to
PIC-P18-20-MHZ 18 Pin PIC Micro Prototype Board 177-6340●
your company’s needs.
PIC-P28-USB 28 Pin USB PIC Micro Prototype Board 177-6341●
farnell.com/ibuy
90
farnell.com element14.com 91
The PIC18 Development Kit is a complete bundle of the essential development tools need-
ed to get started with your next PIC18 application. THe kit includes a PIC18 Explorer Board,
PICkit 3 Debugger/Programmer, USB cable, and a 9V universal power supply. The PIC18
Explorer Board includes both the PIC18F8722 and PIC18F87J11 microcontrollers and su-
poorts dozens of the general purpose PIC18 families using various processor Plug-in Mod-
ules (PIMs). PICtail daughter boards enable many different accessory boards to connect to
the PIC18 Explorer Board for a flexible and complete development environment. The PICkit
527562 3 allows debugging and programming of PIC microcontrollers using the powerful graphical
Mftrs. List No. Description Order Code Price Each user interface of the MPLAB Integrated Development Environment (IDE) via USB connec-
DM164120-4 PICkit 2 18-Pin Demo Board 167-6247●
tion to the PC which also provides power. The kit also includes the FREE MPLAB IDE and
FREE PIC18 C Lite Compiler for a complete code development environment.
600632
PICkit 2 PIC18 J-Series 64/80-Pin Demo Board Mftrs. List No. Description Order Code Price Each
DV164136 PIC18 Development Kit 177-8497●
The DM1164120-5 is a small demo
board featuring the PIC18F87J10 de-
vice and a small surface mount proto-
F1 Evaluation Platform
Semiconductors
type area. Use this board with a low- The F1 Evaluation Plat-
cost PICkit 2 Programmer form is a demonstration/development tool for En-
(PG164120) to program your code via hanced Mid-range PIC microcontrollers
a 6-pin ICSP header on the board. (PIC12F1xxx/PIC16F1xxx). Populated with a
This board can be used to evaluate PIC16LF1937 featuring XLP technology, this plat-
the cost-effective PIC18 J-series 64 form consists of a 44-pin development board with
and 80-pin devices. 527787 prototyping space, 3V LCD glass, support for the
Mftrs. List No. Description Order Code Price Each Motor Control add-on (DM164130-2), and support
DM164120-5 PICkit 2 PIC18 J-Series Demo Board 157-6905● for PICkit 3 & PICkit Serial. This kit provides a plat-
form for general purpose development, and in-
cludes demonstrations focusing on Low Power,
PIC18F87J11 Plug In Module LCD, and Motor Control.
546974
This Plug-In Module (PIM) is designed
Mftrs.List No. Description Order Code Price Each
to feature the PIC18F87J11 family of microcontollers. The PIM
interfaces directly with the HPC Explorer Board to ease the eval- DM164130-1 F1 Evaluation Platform 176-1253● 1
uation or demonstration of the PIC18F87J11 microcontoller
family.
PICkit Serial Analyzer
600561
91
92 farnell.com element14.com
527682 450869
Mftrs. List No. Description Order Code Price Each Order Code Price Each
MCP4725DM-PTPLS MCP4725 PICtail Plus Daughter Board 167-6253● 1+
DM163029 Mechatronics Demonstration Kit 111-2782
600562
Ì MCP3221 - Low-Power 12-Bit A/D Converter Mftrs. List No. Description Order Code Price Each
Ì TC1321 - 10-Bit Digital-to-Analog Converter
AC164127-6 Graphics Display Powertip 4.3 480x272 Board 177-1324●
Ì MCP23008 - 8-Bit I/O Expander
The kit is designed to easily connect to the PICkit Serial Analyzer which provides the I2C
master mode serial communications and power for the PICkit™ Serial I2C Demonstration PICDEM LCD 2 Demonstration Board
Board.
Features include:
Ì Five unique devices with I2C interfaces The PICDEM LCD 2 Demonstration Board
Ì Test points on the I2C bus and most of the devices (DM163030) shows the main features of
Ì Connector for directly connecting to an I2C bus or PICkit Serial Analyzer Microchip’s 28, 40, 64 and 80-pin LCD
526028
Flash PIC microcontrollers including the
LCD voltage booster and contrast controller.
Mftrs. List No. Description Order Code Price Each
It is populated with the PIC18F85J90. Other
PKSERIAL-I2C1 PICkit Serial I2C Demonstration Board. . . . . . . . 161-7324● devices are supported via a transition socket
and are available separately. A sample LCD
glass display is included for custom proto-
PICkit™ SPI Demonstration Board typing. The glass features 7-segment dis-
plays, wipers, thermometers, star bursts,
and other common icons.
Included applications:
Ì Voltmeter - A/D module measures the voltage of the on board potentiometer and dis-
plays a voltage between 0.00V and 3.30V on the LCD.
Ì Thermometer - The A/D module measures the voltage of the thermistor and converts to
This demonstration board exhibits the SPI communications and operation of the following temperature both in Celsius and Fahrenheit to continuously display the temperature.
devices: Ì Clock - Timer/Clock function shows hours:minutes:seconds with a moving second
Ì 25LC020A - 2K SPI Bus Serial EEPROM hand using on board 32 kHz watch crystal.
Ì TC77-5.0 - Thermal Sensor with SPI Interface Ì Charge Pump and Software Contrast - When using the PIC18F85J90 family of devices
Ì MCP3201 - 2.7V 12-Bit A/D Converter with SPI Serial Interface the LCD module can be configured as a charge pump and software contrast control is
Ì MCP4822 - 12-Bit DAC with Internal VREF and SPI Interface activated.
Ì MCP41010 - Single/Dual Digital Potentiometer with SPI Interface 493309
Ì MCP6S92 - Single-Ended, Rail-to-Rail I/O, Low-Gain PGA Mftrs. List No. Order Code Price Each
Ì MCP23S08 - 8-Bit I/O Expander with Serial Interface PICDEM LCD 2 Demonstration Board DM163030 143-9682●
The kit is designed to easily connect to the PICkit Serial Analyzer which provides the SPI
Plug-In-Module for PICDEM LCD 2 MA180025 169-8933●
master mode serial communications and power for the PICkit™ SPI Demonstration Board.
Features include:
Ì Seven unique devices with SPI interfaces
Ì Test points on the SPI bus and most of the devices
Ì Connector for directly connecting to an SPI bus or PICkit Serial Analyzer
526034
92
farnell.com element14.com 93
Semiconductors
Ì Control of 2-, 3- and 4-wire fans (3-wire fan supplied) 515850
Ì +3V lithium battery Mftrs.
Ì Microchip’s MPLAB® IDE software for a complete code development environment List No. Description Order Code Price Each
Ì HI-TECH PICC™ LITE C Compiler (contained on the MPLAB IDE CD)
Ì CCS PCB Baseline C Compiler installed with MPLAB IDE DM183032 PIC18 Explorer Board 161-5691●
493358
The PICDEM™ FS-USB is a demonstration and evaluation board for the PIC18F4550 family
of Flash microcontrollers with full speed USB 2.0 interface. The board contains a
PIC18F4550 microcontroller in a 44-pin TQFP package, representing the superset of the
entire family of devices. The pre-loaded USB bootloader demonstrates the Enhanced Flash
memory capabilities of the device and the PIC18F4550 can be re-programmed in circuit
without an external programmer.
93
94 farnell.com element14.com
Ì An RS-232 cable
nanoWatt XLP 16-bit Development Board Ì CD ROM including: hardware data sheets, schematics and PCB drawing files for the
PIM modules, example programs ect.
The 16-bit XLP Development 429245
Board is designed with extreme low power in mind. De- Mftrs. List No. Order Code Price Each
signed as a true platform for low power development, this DM240001 114-6554
board utilizes techniques such as MCU controlled compo-
nent power sources that keep board level current con-
sumption to a minimum. It can be used with all XLP
Audio PICtail Plus Daughter Card
PIC24 Microcontrollers in 20 or 28-pins. The 16-bit XLP
Development board supports battery power (AAA, Record & playback with Explorer16 Develop-
CR2032), energy harvesting, or separate power supplies ment Board.
providing flexibility to the extreme. The Audio PICtail Plus Daughter Board is used
with the Explorer 16 Development Board and the
1 Features: 16 bit 28 pin Starter Board to facilitate rapid im-
Ì mTouch™ capacitive buttons plementation, development and testing of full
Ì RS-232 communications Ì Serial to USB link for PC connectivity duplex speech and audio applications with
Ì Temperature sensor Ì dsPIC DSC and PIC24H.
Integrated Circuits & Development Kits
Dual LEDs
Ì Serial EEPROM Ì Small prototyping area
Ì Watch crystal for RTCC Ì Expansion via a PICtail™ connector
546975 Features:
Mftrs.List No. Description Order Code Price Each Ì Audio Playback using PWM signal from dsPIC/PIC24H Output Compare module
DM240311 nanoWatt XLP 16-bit Development Board 176-1254● Ì 4th order Low pass filter for Audio PWM signal demodulation
Ì 16/24 bit Audio Codec
Ì 4 Mega bit Serial Flash
PIC24F USB Plug in Module Ì 110mW Headphone Amplifier
Ì Microphone and Line input with adjustable gain
This is a 100-pin PIC24FJ256GB110 MCU sample for use Ì Line output with adjustable gain
with the Explorer 16 Development Board (DM240001 or Ì Works with Explorer 16 Development Board and 16-bit 28 pin Starter Board
DM240002). Also requires USB PICtail Plus Daughter Board 525165
(AC164131). Mftrs. List No. Description Order Code Price Each
AC164129 Audio PICtail Plus Daughter Card 166-2530●
94
farnell.com element14.com 95
Semiconductors
al-time emulation and debug facilities speed evaluation
and prototyping of application circuitry. This Explorer PICDEM MC LV Development Board
16 bundle features a PIM for the PIC24FJ64GA004 and
a PIM for the dsPIC33FJ32GP204. The PICDEM MC LV Development board is a development and edu-
cation tool that provides designers with a quick and cost-effective
method to evaluate both sensored and sensorless brushless DC
542552
(BLDC) motor control. Users can create embedded motor control
Mftrs.List No. Description Order Code Price Each applications using MICROCHIP’s 28-pin PIC18F microcontrollers
DM240002 Explorer 16 Development Board 175-4668● and dsPIC30F Digital Signal Controllers.
Features & Benefits: Ì Optional 3-phase, 24V BLDC motor and
Prototype PICtail Plus Daughter Board Ì Capable of driving motors up to 48V, 24V external power supply available
2.2Amps Kit Includes:
The Prototype PICtail Plus Daughter Board is an expan- Ì 3-phase voltage source inverter bridge Ì PICDEM MC LV Development Board with
Ì Temperature sensor with I2C interface pre-programmed (BLDC firmware)
sion breadboard card for the Explorer 16 Evaluation
Board using PIC24 MCU or dsPIC33 DSC. This board is Ì MPLAB ICD2 connector PIC18F2431 and dsPIC30F3010 devices 1
an 8x8 cm board with a card-edge connector to plug di- Ì Active RS232 connector Ì Software and Documentation CD con-
rectly into the PICtail Plus expansion socket. The bread- Ì Speed control potentiometer taining Motor Control GUI (MC-GUI), Us-
Ì Test points for motor current and back er’s guide and sample applications pro-
Price Each
IMPROVED BACK ORDER DELIVERY Mftrs. List No. Order Code 1+
DM330015 196-1651●
Receive email updates with the exact status, due
date and despatch information of all your back
order items farnell.com
95
96 farnell.com element14.com
Microcontrollers and Microprocessors - 8/ vanced features such as dimming and color hue control. The dsPIC33 ’GS’ DSCs can sup-
port an entire system implementation for LED lighting products, including power-conver-
16/32-Bit - MICROCHIP - Development Tools sion circuits, such as AC-to-DC and DC-to-DC conversion, along with functions such as
- continued Power Factor Correction (PFC).
Features:
Motor Control Development Ì Color control for RGB LEDs
Ì Supports DMX512 Standard for brightness control
Ì Flexible input voltage support, including both Buck and Boost topologies
Ì Fully dimmable
Ì Full digital control
Ì Fault protection
Ì Fully controlled with a single dsPIC33FJ16GS504 DSC
Benefits:
Ì Reduced System Cost via higher integration
Ì Higher Efficiency using digital-control techniques
Ì Flexible and reusable designs
DM330021 MA330013 Ì Advanced features implemented in software
The dsPICDEM™ MCLV Development Board (DM330021) provides a cost-effective meth- 659977
od of evaluating and developing sensored or sensorless brushless DC (BLDC) and perma- Price Each
nent magnet synchronous motor control applications. The board supports the 100-pin to Mftrs. List No. Order Code 1+
100-pin dsPIC33FJXXXMCX10 Plug-In Module (MA330013) with a dsPIC33F Motor con-
trol device (U9) socket. DM330014 192-4789●
debugging applications easier. It also has hardware IDE and MPLAB C32 C complier, documenta-
support for sensor and sensor-less applications such tion, sample projects with tutorials, schemat-
as Hall sensors, optical encoder, back EMF and cur- ics, and 16-bit compatible peripheral libraries.
rent sensing. Application expansion boards will also be
AC164128 made available, which plug into the expansion
521683 slot on the bottom of the MCU board.
Mftrs. List No. Description Order Code Price Each 496393
AC164128 Motor Control Interface PICtail Plus Daughter Card 164-5433●
Mftrs. List No. Description Order Code Price Each
MA330013 dsPIC33 MC Plug In Module 164-5434●
DM320001 PIC32 Starter Kit 152-3317●
DM330021 dsPICDEM MCLV Development Board 164-5435●
Conversion family of components and pro- using PIC32 expansion boards. The board contains
vides an educational and prototyping plat- everything need to develop USB embedded host/de-
form to investigate digital power conversion vice/OTG applications by combining this board with
and digital Switch Mode Power Supply Microchip’s free USB software.
(SMPS) design. The board includes a sock-
Kit Includes:
eted dsPIC30F2020 device connected to
Ì PIC32 USB Starter Board II
power devices and circuitry for controlling
Ì Standard A to mini B cable for debugger
dual independent low power DC to DC Syn-
Ì Standard A to micro B cable for USB application
chronous Buck Converter circuits as well
development
as support, debug, and communication cir-
Ì Quick start card directing users to web based instructions for software download and
cuitry.
installation. Note that no software is provided in the box.
Features: 553103
Ì Socketed dsPIC30F2020 on board connected to power devices and circuitry for con- Mftrs.List No. Description Order Code Price Each
trolling dual independent low power DC to DC Synchronous Buck Converter circuits DM320003-2 USB Starter Kit II 177-8060●
Ì Buck converters can operate in Synchronous or Asynchronous modes
Ì Input voltage range 7V to 15V (nominal 9V)
Ì User can enable a dynamic output load to investigate transient response DM320004 - PIC32 Ethernet Starter Kit
Ì User potentiometers to simulate application features such as voltage trim, remote volt-
age sense, voltage tracking, current sharing, etc. The PIC32 Ethernet
Ì MPLAB® ICD 2 or REAL ICE support ready Starter Kit provides the easiest and lowest
Ì RS-232 serial channel cost method to experience 10/100 ethernet
Ì Example software for implementing digital dual synchronous buck converter, educa- development with PIC32. Combined with Mi-
tional material, and documentation on CD crochip’s free TCP/IP software, your project
467311 will be running in no time. The PIC32 has an
Description Mftrs. List No. Order Code Price Each available CAN2.0b peripheral and USB host/
dsPICDEM SMPS Buck Development Board DM300023 134-0277●
device/OTG.
The Ethernet Starter Kit has a compatible form
factor and expansion connector as other
DM330014 LED Lighting Development Kit PIC32 Starter Kits
Kit Includes:
Ì PIC32 Ethernet Starter Board
Ì Standard A to mini B cable for debugger Ì Ethernet cable
Ì Standard A to micro B cable for USB application development Ì Software CD
553101
Microchip’s Digital LED Lighting Development Kit enables designers to quickly leverage the
capabilities and performance of the dsPIC33 ’GS’ series of Digital Signal Controllers
(DSCs), to develop LED lighting products. The dsPIC33 ’GS’ DSC and this reference design
allow developers to create a 100% digitally controlled ballast function, while including ad-
96
farnell.com element14.com 97
AC164144 Graphics PICtail Plus Daughter Board Ì Performance: 80MHz Ì RTCC: YES
Ì Core: 32-bit Ì Standard Peripheral Highlights:
Ì Memory: - 16/32-bit Timers
The Low-Cost Controllerless (LCC) Program - 512 KB - 16 or 32-bit PWM
Graphics PICtail Plus Daughter Board RAM - 128 KB - 16 ch. 1 Msps 10-bit ADC
enables development of graphics solu- Ì USB: YES ((FS Device/Host, OTG) - 2x Comparators
tions without an external graphics con- Ì CAN: YES x2 - 5x I2C™
troller, thus reducing system BOM cost for many applica- Ì Ethernet: YES - 4x SPI
tions. The board is designed to attach to a PIC32 starter kit Ì DMA: YES - 6x UART (with IrDA姞 encoder and decoder)
(DM320001, DM320003-2, DM320004) or an Explorer16
630581
development board (DM240001) and one of Microchip LCD
Modules including the Truly 3.2" QVGA board and the 4.3" Mftrs. List No. Description Order Code Price Each
WQVGA Powertip display panel. CHIPKIT MAX32 chipKIT Max32™ Development Board 189-3212
Features:
Ì Can drive QVGA 8bpp with PIC32 alone
Ì Can drive WQVGA 16bpp with external 256KB SRAM Frame buffer
Chipkit Network Shield
Ì Display connector for interfacing with different display boards
Ì PICtail Plus Interface for connecting to Explorer 16 Development Board Features:
Ì Starter Kit Connector Ì 10/100 Ethernet
659978 Ì USB Host, Device, OTG
Price Each Ì Dual CAN transceivers
Ì Dual I2C connectors
Mftrs. List No. Order Code 1+
Ì 245kbit EEPROM
AC164144 196-1600● Ì 32.768 KHz oscillator for RTCC
The chipKIT Network Shield is an input/output expansion board designed for use with the
PIC32 Starter Board to Explorer 16 PIM Adapter chipKIT Max32™. The chipKIT Network Shield expands the capabilities of the PIC32MX795
MCU, it adds 10/100 Ethernet, USB and CAN communications.
647212
This adapter enables PIC32 Starter Boards to con-
nect to the Plug-In Module on Explorer 16. Users
Semiconductors
Price Each
can utilize the functionality on the Explorer 16 or
Mftrs. List No. Order Code 1+
connect PICtail Plus daughter cards for applica-
tion-specific development. TDGL006 193-6572●
518609
Mftrs. List No. Description Order Code Price Each Chipkit Basic I/O Shield
AC320002 PIC32 Starter Board to Explorer 16 PIM Adapter 162-1785●
Features:
Ì 128x32 OLED Graphic Display
PIC32 I/O Expansion Board Ì Digital temperature sensor
Ì 256kbit EEPROM
The PIC32 I/O Expansion Board provides Ì 4 switches
Starter Kit and Starter Board users with full Ì 4 push buttons
access to MCU signals, additional debug Ì 8 LEDS
headers, and connection of PICtail Plus Ì 4 Open drain transistor outputs 1
daughter cards. MCU signals are available Ì Analog potentiometer
for attaching prototype circuits or monitor-
The chipKIT Basic I/O Shield is a input/output expansion board designed for use with chip-
ing signals with logic probes. Headers are
97
98 farnell.com element14.com
ules, and is suitable for use with the Microchip are available on two extension connectors. It’s perfect for motion datalogging/analysing.
MPLAB姞 IDE tools. The USB port allow logged data easily to be transfered to PC.
With the PIC-P28-20MHz & PIC-P40-20MHz boards the user can develop and prototype
Ì Operating Voltage: 3.3V with any of Microchip’s 28pin - 40pin PIC microcontrollers. The boards support both 3V
Ì Flash Memory: 128K Ì Max Operating Frequency: 80Mhz low power and normal 5V operation.
Ì RAM Memory: 16K Ì Typical operating current: 75mA The PIC-USB-4550 is a handly prototype board for development of USB applications with
662779
the PIC18F4550 microcontroller. If you want only to load code to it i.e. to program it you
Mftrs. Price Each can do this without any additional programmer. The board can take power from USB or
List No. Order Code 1+ from an External supply with just a small jumper selection.
410-227 207-7856● The PIC-USB-STK starter kit allow the user to explore all capabilities of PIC18F4550 and
Microchip’s USB firmware. Debugging is In-Circuit through the ICSP connector, the free
available ports are put on the EXTension connector.
MX4cK Development Board 534449
the 32-bit PIC32 microcontroller family. It is compatible PIC-MAXI-WEB Web Server TCP-IP Development Board 170-1534●
with Digilent’s line of Pmod™ peripheral modules, and PIC-P67J60 Prototype Board 170-1535●
is suitable for use with the Microchip MPLAB姞 IDE PIC-LCD3310 Development Board 170-1536●
tools
PIC-P28-20MHZ Prototype Board for 28 Pin PIC 170-1537●
Ì 512KB internal program flash memory Ì Two UART serial interfaces PIC-P40-20MHZ Prototype Board for 40 Pin PIC 170-1539●
Ì 32KB internal SRAM memory Ì Two I2C serial interfaces
PIC-USB-4550 Prototype Board - USB 170-1541●
Ì USB 2.0 compliant full-speed On-The-Go (OTG) con- Ì Five 16-bit timer/counters
troller with dedicated DMA channel PIC-USB-STK Starter Kit - USB 170-1542●
662779
PIC-P32MX PIC-MAXI-WEB
98
farnell.com element14.com 99
The USB MicroStak is an alternative to the Flash Lab mainboard and provides USB to Uart Features:
rather than RS232 interface connectivity, via the USB1 connector. Like the Flash Lab, no Ì Flowcode graphical programming
hardware programmer is required and the same prototyping boards used with Flash Lab software Ì Micromouse competition compatible
can be used with USB MicroStak. In fact most circuits assembled on a prototyping board Ì USB programmable Ì Can also be programmed in C and Assembly
can be used with either mainboard. A second USB socket, USB2, optionally allows use of Ì High technical specification Ì A2 line following track is provided
the on board USB port of a suitable pic. All software for bootloading and USB to Uart virtual 513806
COM port drivers is supplied. Mftrs. List No. Description Order Code Price Each
451841
HP794 Maze Solving Buggy 161-0264●
Order Code Price Each
Flash Lab Main Board 129-6445●
Bootloader PICs E-Block ECIO Application Board
PIC16F877A with Bootloader 129-6456●
The ECIO application board adds E-blocks
PIC18F452 with Bootloader 129-6457●
compatibility to the ECIO 28 and 40 pin de-
PIC18F4455 with Bootloader 129-6458● vices. The application board provides up to
5 E-blocks ports which allows the user to
PicBlock MC18D attach a wide range of E-blocks boards -
from simple LED and switch boards
These populated boards are high quality PCBs through to Bluetooth, IrDA and Internet
designed to accept 18 pin DIL (subject to communications baords.
board selected) PIC or SCENIX microcontroller.
Their use is not only confined to being a devel-
opment board but also due to the features on
board, they can also be integrated into a sys- Features:
tem as a finished product. The PicBlock has an Ì Adaptor for E-blocks Ì 5x E-blocks ports
516822
on-board power supply section, input condi-
Mftrs. List No. Description Order Code Price Each
tioning, output drive of 500mA per channel and
all connections are via PCB mounting screw EB061 ECIO Application Board 162-0637●
terminals.
Semiconductors
Features:
ECIO™ Programmable Microcontrollers
Ì Buffered and filtered inputs to cope with a range of input voltages and noisy environ-
ments. Input voltage range 3 to 24V DC
Ì Open collector digital outputs each capable of sinking 500mA
Ì Power source can be AC 7-20V, or DC 9-28V. A low current regulated 5V supply is
available to power external circuits
Ì An on-board reset button is provided as is space for output pull-up resistors should
they be required The ECIO family of USB programmable microcontrollers provides an incredibly simple way
Ì On board 4MHz resonator of adopting microcontroller technology into projects. The device behaves just like
Ì PCB construction all PTH and ground planed on both sides a normal microcontroller, but when connected to a USB lead a simple press of the reset
Ì Dimensions (18 pin) 81 x 66 x 22mm (28 pin) 104 x 75 x 26mm with 4mm mounting switch allows a new program to be sent to the device. There are two products in the range:
holes ECIO-28P and ECIO- 40P. These devices are based on PICmicro 18 series devices - the
211018
18F2455 and the 18F4455 respectively.
Order Code Price Each
Features:
1
PicBlock MC18D 332-7980
Ì Programmable from USB Ì Includes bootloader software
Ì Compatible with a free version of Flowcode Ì Can draw power from USB
Features:
Ì 16 uncommitted I/O lines Ì Independent pull-up options on the O/C outputs Features:
Ì 16 500mA open collector outputs Ì Onboard reset button Ì Flexible and expandable
Ì ICSP header Ì Reset via RS232 connection Ì Easy to program with flowcharts, C or Assembly
Ì RS232 connector for serial Ì Onboard 5V regulator or external power option Ì Physically and electrically rugged
comms or boot-loading Ì LabView and Visual Basic compatible via USB
423187
99
100 farnell.com element14.com
Contents:
Ì E-blocks compatible MPLAB REAL ICE base Probe Kit(DV244005) includes MPLAB REAL ICE and Standard
This flexible development board is an ideal platform for learning and project develop- Driver Board (Compatible with MPLAB ICD 2 RJ-11 connections)
ment.The board will program a range of 8, 18, 28 and 40 pin PICmicro® microcontroller MPLAB REAL ICE Performance Pak(AC244002), includes the High Speed Driver to re-
devices from the 12, 16 and 18 series PICmicro range. The board is programmed using place Standard Driver Board for high speed LVDS communications, and a High Speed
the USB port (from which it can also take power) and is supplied with a comprehensive Receiver Board. The High Speed Driver Boards use a second connector for high speed
programming utility - PPP. The board is ideal for using in conjunction with one of our 3 trace upload.
450867
PICmicro CD ROM resources to help learn PICmicro programming using flow charts, C or
assembly code. The board can program Low Voltage Programmable PICmicro MCUs and Mftrs. List No. Description Order Code Price Each
deliver a limited amount of power using only the USB supply, but we recommend that an 1+
external power supply (product code HPPSU2) is used to take maximum advantage of the DV244005. Real Ice Base Probe Kit 129-4851●
board’s features. The board is compatible with our range of E-blocks modules which con- AC244002 Performance Pak 129-7183●
tain circuitry for a wide range of functions - from simple switches and LEDs, through to in-
AC244006 MPLAB REAL ICE Trace Interface
ternet servers, and Bluetooth transceivers. The board is also compatible with Microchip’s Board Kit 157-6904●
1 In Circuit Debugging (ICD) system.
475776
Mftrs. List No. Order Code Price Each Processor Extension Paks
V3 PICMICRO Development Board HP488 138-1390●
Integrated Circuits & Development Kits
The AC244022 Processor Pak provides debug capability for the 100/80/64-pin TQFP for
the PIC24FJ128GA010 family with no pin loss to the target application. This Processor Ex-
PIC Trainer Project Boards tension Pak is to be used with the MPLAB ICD 2 or MPLAB REAL ICE.
The AC244023 - Processor Extension Pak is designed for those who wish to debug the
PIC18F13K50 and PIC18F14K50 microcontrollers in circuit. The included header will inter-
face with MPLAB REAL ICE, MPLAB ICD 2, MPLAB ICD 3, PICkit 2, and PICkit 3. Includes
RJ-11 adapter and pin header.
The AC244024 Processor Extension Pak is designed for those who wish to debug the
PIC18LF13K50 and PIC18LF14K50 microcontrollers in-circuit. The included header will in-
terface with the MPLAB REAL ICE, MPLAB ICD 3, PICkit 3, MPLAB ICD 2, and PICkit 2
These populated boards are ideal for prototype evaluation and for learning PIC program- tools. Includes RJ-11 adapter and pin header.
ming. The boards have been designed so that the user can start with a working PCB to re-
duce development time. The project boards are supported by ‘The Engineers Guide to Pro- The AC244028 Processor Extension Pak is designed for those who wish to in-circuit de-
gramming PICs’ book, Order Code 183-143. PIC Trainer 1 refers to program examples with bug the PIC24F16KA102 family of MCUs. The included header will interface with MPLAB
some code modifications shown in the last chapter. REAL ICE, MPLAB ICD 3, PICkit 3, MPLAB ICD 2, and PICkit 2. It includes an RJ-11 adap-
ter and 3 pairs of pin headers for the 14-, 20-, and 28-pin targets. This Processor Exten-
PIC Trainer 1 Features push button inputs and LED outputs with provision for a ULN2803 sion Pak is mandatory for debugging PIC24F04KA200 and PIC24F04KA201 device family
Darlington driver. members, but it is optional for debugging PIC24F08KA101, PIC24F08KA102,
Ì Suitable for 18-pin devices (16C54, 16C56, 16C71 and 16C84) PIC24F16KA101, and PIC24F16KA102 device family members.
Ì Socket provided for a Darlington driver (ULN2803) 527722
Ì 4 input switches connected to port A Mftrs. List No. Description Order Code Price Each
Ì 8 LEDs connected to port B
AC244022 Extension Pak for PIC24FJ128GA010-ICE 167-6248●
Ì Suitable projects include push button switching, LED pattern generator, delay timers
and logic replacement AC244023 Extension Pak for PIC18F1xK50 169-9834●
AC244024 Extension Pak for PIC18LF1xK50 173-7242●
PIC Trainer 2 Features 4 x 3 data entry keypad, 4 push button inputs and 4 seven
AC244028 Extension Pak for PIC24F16KA102 & PIC24F04KA201 173-7243●
segment display drivers.
Ì Suitable for 28-pin devices (16C55 and 16C57)
Ì 4 x 3 keypad connected to ports A and B MPLAB REAL ICE Isolator and
Ì 4 input switches connected to port B
Ì 4 x 7 segment LED displays connected to port B REAL ICE Performance Pak
Ì Suitable projects include digital clock, simple calculator, key scan and HEX to 7 seg-
ment display
Both project boards have:
Ì ZIF socket for easy insertion of the PIC
Ì 4MHz crystal for 1μs instruction time
Ì 5V regulator and a diode to protect against incorrect voltage polarity
The two boards are also available in kit form, without the ZIF sockets.
210922
Order Code Price Each The MPLAB REAL ICE AC Isolation Kit is comprised of the MPLAB REAL ICE Isolator and
the MPLAB REAL ICE Performance Pak bundled together in one complete kit. These op-
PIC Trainer Kit 1 . . . . . . . . . 300-3954
tional accessories are integrated into one easy kit geared to assist the engineer with every-
PIC Trainer Kit 2 . . . . . . . . . 300-3991 thing required for high-voltage AC isolation applications. The kit contains differential signal-
ing allowing longer cabling to be used between the emulator and target device while being
complemented with high voltage isolation components and design techniques.
600687
100
farnell.com element14.com 101
Semiconductors
which may be used to verify
SourceBoost IDE is a modern development environ- that a software product is not an unauthor-
ment that allows rapid development of code. The ised pirate copy. The host processor uses a
code can be compiled under one of the Source- simple but robust algorithm to verify that a
Boost compilers, or under under a third part compil- TEAleaf device is present, using a 128-bit
ers. SourceBoost IDE works under MS Windows 95/ encryption key.
98/ME/NT/2000/XP. TEAleaf-USB uses the Human Interface Device (HID) USB profile. It does not require USB
Below are only a few IDE features drivers and is immediately plug-and-play compatible with present and future Windows, Li-
Ì Project management nux and Mac operating systems.
Ì Syntax coloring, auto complete, value hover, TEAleaf-USB is firmware for the PIC18F2450 & PIC18F14K50 microcontrollers. They re-
function prototype tool tips etc quire only a few discrete components and are available in DIL and SSOP packages.
Ì Built-in debugger & profiler Features:
Ì Open architecture Plugins system for virtual devices and components Ì True USB 2.0 HID plug and play - No drivers
Ì Support of different compilers required Ì All-Systems-Go indication 1
Ì Clock accurate simulator for PIC12, PIC16 and PIC18 targets Ì Ultra low cost single chip solution Ì Tx / Rx indication
451982
Ì Low speed USB, can use a low cost resonator Ì Low power indication
Price Each Ì No Vendor ID / Product ID registration required Ì 5 digital, analog, interrupt virtual I/O
101
102 farnell.com element14.com
Ì Secure Digital (SD) and Multimedia Card (MMC) Ì Timing and Counting with experiments
Ì Buzzer notification for noisy environments Ì Program memory paging
346954
Ì Configuration bits
Mftrs. List No. Description Order Code Price Each
Ì I/O conversion
1+ Ì Multiplexed 7 - segment LED display
DV007004 Production Programmer/Development Kit . . . . . 698-4150
A beginners guide to using PIC Microcontollers written by David
AC164301 40L DIP Socket Module for MPLAB . . . . . . . . . 698-3960 Benson for Students, hobbyists, technicians and engineers alike.
AC164342 MPLAB PM3 Socket Module for 121P BGA 272150
(10x10) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 173-7241● Order Code Price Each
AC002021 MPLAB PM3 ICSP Cable . . . . . . . . . . . . . . . . . 111-2730● Easy Microcontrol’n 439-4320
ACICE0401 Power supply for use with MPLAB ICE4000,
and MPLAB PM3 Programmer . . . . . . . . . . . . . 111-2770●
Microcontrol’n Apps
1 PM3 ICSP to RJ-11 Adapter The book covers:
Ì Using Op-Amps & Comparators
This AC164111 PM3 ICSP to RJ-11 adapter can be Ì Serial Communications
used with the AC162049 Universal Programming Ì Shift Registers
Integrated Circuits & Development Kits
102
farnell.com element14.com 103
Abbreviations ECCP = Enhanced Capture /Compare/4PWM StdFI = Standard Flash: up to 10,000 erase/write
cycles
AC97 = Audio Codec Interface LIN = Local Interconnection Network Tran- EMA = External Memory Address TS = Temperature Sensor
XCVR sciever
ADC = Analog-to-Digital- Converter MI2C/SPI = Master I2C/SPI HVIO = High Voltage I/Os TxBuff = Transmit Buffer
BLR = boot Loader RAM PLVD = Programmable Low-Voltage Detection ICD = I-Circuit Debugger Compatible RxBuff = Receive Buffer
BVref = Bandgap Vref PSP = Parallel Slave Port I2C = Inter-integrated Circuit Bus WDT = Serial Peripheral Interface
CAN = Controller Area Network PrVref = Precision Vref InOs = Internal Oscillator USART = Universal Synchronous/Asynchronous
Receiver/Transmitter
CAP = Capture PVref = Programmable Vref iTrace = Instruction Trace USB = Universal Serial Bus
CCP = Capture/Compare/PWM PWM = Pulse Width Modulator LCD = LCD drive capability (no of segments) WDT = Watchdog Timer
DAC = Digital-to-Analog Converter QEI = Quadrature Encoder Interface EPROM fuse selectable oscillator options:
DMA = Direct Memory Access Controller SLAC = Slope A/D Converter, up to 16 bits HS = High Speed Crystal/Resonator RC = Low Cost RC Oscillator
E2 = EEPROM (Reprogrammable) SMB = System Management Bus LP = Power saving, Low frequency crystal XT = Standard Crystal/Resonator
ECAN = Enhanced CAN SPI = Serial Peripheral Interface (*) Denotes Latest Die Revision - Recommended for Designs
241373
Device Flash Prog RAM EEPROM I/O Pins Device Max CPU Comparators ADC Timers Connectivity Std Other Features Op Voltage
Memory (Bytes) (Bytes) Package Speed PWM
(KBytes)
dsPic30F 16 Bit Flash Digital Signal Controllers - 30Mips - Vdd 2.5v - 5.5v
dsPIC30F1010 6 256 -- 21 28 120MHz 2 6x10bit 2x16 UART/SPI/I2C 1 4-MtrCtrl. PWM (3V-5.5V)
dsPIC30F2010 12 512 1024 20 28 120MHz 6x10bit 3x16,1x32 UART/SPI/I2C 2 6-MtrCtrl. PWM, QEI (2.5V-5.5V)
dsPIC30F2011 12 1024 -- 12 18 120MHz 6x12bit 3x16,1x32 UART/SPI/I2C 2 (2.5V-5.5V)
dsPIC30F2012 12 1024 -- 20 28 120MHz 10x12bit 3x16,1x32 UART/SPI/I2C 2 (2.5V-5.5V)
Semiconductors
dsPIC30F2020 12 512 -- 21 28 120MHz 4 8x10bit 3x16,1x32 UART/SPI/I2C 2 8-MtrCtrl. PWM (3V-5.5V)
dsPIC30F2023 12 512 -- 35 44 120MHz 4 12x10bit 3x16,1x32 UART/SPI/I2C 2 8-MtrCtrl. PWM (3V-5.5V)
dsPIC30F3010 24 1024 1024 20 28 120MHz 6x10bit 5x16,2x32 UART/SPI/I2C 2 6-MtrCtrl. PWM (2.5V-5.5V)
dsPIC30F3011 24 1024 1024 30 40 120MHz 9x10bit 5x16,2x32 2xUART/SPI/I2C 4 6-MtrCtrl. PWM, QEI (2.5V-5.5V)
dsPIC30F3012 24 2048 1024 12 18 120MHz 8x12bit 3x16,1x32 UART/SPI/I2C 2 (2.5V-5.5V)
dsPIC30F3013 24 2048 1024 20 28 120MHz 10x12bit 3x16,1x32 2xUART/SPI/I2C 2 (2.5V-5.5V)
dsPIC30F3014 24 2048 1024 30 40 120MHz 13x12bit 3x16,1x32 2xUART/SPI/I2C 2 (2.5V-5.5V)
dsPIC30F4011 48 2048 1024 30 40 120MHz 9x10bit 5x16,2x32 2xUART/SPI/I2C/CAN 4 6-MtrCtrl. PWM (2.5V-5.5V)
dsPIC30F4012 48 2048 1024 20 28 120MHz 6x10bit 5x16,2x32 UART/SPI/I2C/CAN 2 6-MtrCtrl. PWM, QEI (2.5V-5.5V)
dsPIC30F4013 48 2048 1024 30 40 120MHz 13x12bit 5x16,2x32 2xUART/SPI/I2C/AC97/CAN 4 (2.5V-5.5V)
dsPIC30F5011 66 4096 1024 52 64 120MHz 16x12bit 5x16,2x32 2xUART/SPI/I2C/AC97/2xCAN 8 (2.5V-5.5V)
dsPIC30F5013 66 4096 1024 68 80 120MHz 16x12bit 5x16,2x32 2xUART/SPI/I2C/AC97/2xCAN 8 (2.5V-5.5V)
dsPIC30F5015 66 2048 1024 52 64 120MHz 16x10bit 5x16,2x32 UART/SPI/I2C/CAN 4 8-MtrCtrl. PWM, QEI (2.5V-5.5V)
1
dsPIC30F6010A 144 8192 4096 68 80 120MHz 16x10bit 5x16,2x32 2xUART/SPI/I2C/2xCAN 8 8-MtrCtrl. PWM, QEI (2.5V-5.5V)
dsPIC30F6011A 132 6144 2048 52 64 120MHz 16x12bit 5x16,2x32 2xUART/SPI/I2C/2xCAN 8 (2.5V-5.5V)
103
104 farnell.com element14.com
104
farnell.com element14.com 105
Device Flash Prog RAM EEPROM I/O Pins Device Max CPU Comparators ADC Timers Connectivity Std Other Features Op Voltage
Memory (Bytes) (Bytes) Package Speed PWM
(KBytes)
Baseline and Mid Range 8 Bit Flash Microcontrollers
PIC16F874A 7 192 128 33 40 20MHz 2 8x10bit 2x8,1x16 UART/SPI/I2C 2 PSP (2V-5.5V)
PIC16F876A 14 368 256 22 28 20MHz 2 5x10bit 2x8,1x16 UART/SPI/I2C 2 (2V-5.5V)
PIC16F877A 14 368 256 33 40 20MHz 2 8x10bit 2x8,1x16 UART/SPI/I2C 2 PSP (2V-5.5V)
PIC16F88 7 368 256 16 18 20MHz 2 7x10bit 2x8,1x16 UART/SPI/I2C 1 (2V-5.5V)
PIC16F882 3.5 128 128 25 28 20MHz 2 11x10bit 2x8,1x16 UART/SPI/I2C 2 (2V-5.5V)
PIC16F883 7 256 256 25 28 20MHz 2 11x10bit 2x8,1x16 UART/SPI/I2C 2 (2V-5.5V)
PIC16F884 7 256 256 36 40 20MHz 2 14x10bit 2x8,1x16 UART/SPI/I2C 2 (2V-5.5V)
PIC16F886 14 368 256 25 28 20MHz 2 11x10bit 2x8,1x16 UART/SPI/I2C 2 (2V-5.5V)
PIC16F887 14 368 256 36 40 20MHz 2 14x10bit 2x8,1x16 UART/SPI/I2C 2 (2V-5.5V)
PIC16F913 7 256 256 25 28 20MHz 2 5x10bit 2x8,1x16 UART/SPI/I2C 1 60-LCD (2V-5.5V)
PIC16F914 7 256 256 36 40 20MHz 2 8x10bit 2x8,1x16 UART/SPI/I2C 2 96-LCD (2V-5.5V)
PIC16F916 14 352 256 25 28 20MHz 2 5x10bit 2x8,1x16 UART/SPI/I2C 1 60-LCD (2V-5.5V)
PIC16F917 14 352 256 36 40 20MHz 2 8x10bit 2x8,1x16 UART/SPI/I2C 2 96-LCD (2V-5.5V)
PIC16F946 14 336 256 53 64 20MHz 2 8x10bit 2x8,1x16 UART/SPI/I2C 2 168-LCD (2V-5.5V)
PIC16HV610 1.75 72 -- 12 14 20MHz 2 2x8,1x16 (2V-15V)
PIC16HV616 3.5 128 -- 12 14 20MHz 2 8x10bit 2x8,1x16 1 (2V-15V)
PIC16HV785 3 128 256 18 20 20MHz 2 12x10bit 2x8,1x16 1 (2V-15V)
Pic18F High Performance 8 Bit Flash Microcontrollers - 10Mips
PIC18F1220 4 256 256 16 18 40MHz 7x10bit 1x8,3x16 UART 1 (2V-5.5V)
PIC18F1230 4 256 128 16 18 40MHz 3 4x10bit 2x16-bit UART 6-MtrCtrl. PWM (2V-5.5V)
Semiconductors
PIC18F1320 8 256 256 16 18 40MHz 7x10bit 1x8,3x16 UART 1 (2V-5.5V)
PIC18F1330 8 256 128 16 18 40MHz 3 4x10bit 2x16-bit UART 6-MtrCtrl. PWM (2V-5.5V)
PIC18F2220 4 512 256 25 28 40MHz 2 10x10bit 1x8,3x16 UART/SPI/I2C 2 (2V-5.5V)
PIC18F2221 4 512 256 25 28 40MHz 2 10x10bit 1x8,3x16 UART/SPI/I2C 2 (2V-5.5V)
PIC18F2320 8 512 256 25 28 40MHz 2 10x10bit 1x8,3x16 UART/SPI/I2C 2 (2V-5.5V)
PIC18F2321 8 512 256 25 28 40MHz 2 10x10bit 1x8,3x16 UART/SPI/I2C 2 (2V-5.5V)
PIC18F2331 8 768 256 24 28 40MHz 5x10bit 1x8,3x16 UART/SPI/I2C 2 6-MtrCtrl. PWM, 2xQEI (2V-5.5V)
PIC18F2410 16 768 -- 25 28 40MHz 2 10x10bit 1x8,3x16 UART/SPI/I2C 1 (2V-5.5V)
PIC18F2420 16 768 256 25 28 40MHz 2 10x10bit 1x8,3x16 UART/SPI/I2C 2 (2V-5.5V)
PIC18F2423 16 768 256 25 28 40MHz 2 10x12bit 1x8,3x16 UART/SPI/I2C 2 (2V-5.5V)
PIC18F2431 16 768 256 24 28 40MHz 5x10bit 1x8,3x16 UART/SPI/I2C 2 6-MtrCtrl. PWM, 2xQEI (2V-5.5V)
PIC18F2450 16 768 -- 23 28 48MHz 10x10bit 1x8,2x16 UART / USB 1 (2V-5.5V)
PIC18F2455 24 2048 256 24 28 48MHz 2 11x10bit 1x8,3x16 UART/SPI/I2C/USB 2 (2V-5.5V)
1
PIC18F2480 16 768 256 25 28 40MHz 8x10bit 1x8,3x16 UART/SPI/I2C/ECAM 1 (2V-5.5V)
PIC18F24J10 16 1024 -- 21 28 40MHz 2 10x10bit 1x8,2x16 UART/SPI/I2C 2 (2V-3.6V)
105
106 farnell.com element14.com
106
farnell.com element14.com 107
Device Flash Prog RAM EEPROM I/O Pins Device Max CPU Comparators ADC Timers Connectivity Std Other Features Op Voltage
Memory (Bytes) (Bytes) Package Speed PWM
(KBytes)
Pic24HJ 16 Bit Flash Microcontrollers - 40 Mips - Vdd 3.0 - 3.6v
PIC24HJ128GP202 128 8192 -- 21 28 80MHz 10x10/12bit 5x16, 2x32 2xUART/2xPSI/I2C 4 (3V-3.6V)
PIC24HJ128GP204 128 8192 -- 35 44 80MHz 13x10/12bit 5x16, 2x32 2xUART/2xPSI/I2C 4 (3V-3.6V)
PIC24HJ128GP206 128 8192 -- 53 64 80MHz 18x10/12bit 9x16,4x32 2xUART/2xSPI/2xI2C 8 (3V-3.6V)
PIC24HJ128GP210 128 8192 -- 85 100 80MHz 32x10/12bit 9x16,4x32 2xUART/2xSPI/2xI2C 8 (3V-3.6V)
PIC24HJ128GP306 128 16384 -- 53 64 80MHz 18x10/12bit 9x16,4x32 2xUART/2xSPI/2xI2C 8 (3V-3.6V)
PIC24HJ128GP310 128 16384 -- 85 100 80MHz 32x10/12bit 9x16,4x32 2xUART/2xSPI/2xI2C 8 (3V-3.6V)
PIC24HJ128GP506 128 8192 -- 53 64 80MHz 18x10/12bit 9x16,4x32 2xUART/2xSPI/2xI2C/ECAN 8 (3V-3.6V)
PIC24HJ128GP510 128 8192 -- 85 100 80MHz 32x10/12bit 9x16,4x32 2xUART/2xSPI/2xI2C/ECAN 8 (3V-3.6V)
PIC24HJ12GP201 12 1024 -- 13 18 80MHz 6x10/12bit 3x16,1x32 UART/SPI/I2C 2 (3V-3.6V)
PIC24HJ12GP202 12 1024 -- 21 28 80MHz 10x10/12bit 3x16,1x32 UART/SPI/I2C 2 (3V-3.6V)
PIC24HJ256GP206 256 16384 -- 53 64 80MHz 18x10/12bit 9x16,4x32 2xUART/2xSPI/2xI2C 8 (3V-3.6V)
PIC24HJ256GP210 256 16384 -- 85 100 80MHz 32x10/12bit 9x16,4x32 2xUART/2xSPI/2xI2C 8 (3V-3.6V)
PIC24HJ256GP610 256 16384 -- 85 100 80MHz 2x32x10/ 9x16,4x32 2xUART/2xSPI/2xI2C/2xECAN 8 (3V-3.6V)
12bit
PIC24HJ64GP206 64 8192 -- 53 64 80MHz 18x10/12bit 9x16,4x32 2xUART/2xSPI/2xI2C 8 (3V-3.6V)
PIC24HJ64GP210 64 8192 -- 85 100 80MHz 32x10/12bit 9x16,4x32 2xUART/2xSPI/2xI2C 8 (3V-3.6V)
PIC24HJ64GP506 64 8192 -- 53 64 80MHz 18x10/12bit 9x16,4x32 2xUART/2xSPI/2xI2C/ECAN 8 (3V-3.6V)
PIC24HJ64GP510 64 8192 -- 85 100 80MHz 32x10/12bit 9x16,4x32 2xUART/2xSPI/2xI2C/ECAN 8 (3V-3.6V)
Pic32 - 32 Bit Flash Microcontrollers - upto 1.5 DMIPS / MHz - Vdd 2.5v - 3.6v
PIC32MX300F032H 32 8192 53 64 20MHz 16 x 10 bit 5x16 2xUART/2xSPI/2xI2C 5 (2.5V-3.6V)
PIC32MX320F064H 64 16384 53 64 72MHz 16 x 10 bit 5x16 2xUART/2xSPI/2xI2C 5 (2.5V-3.6V)
Semiconductors
PIC32MX320F128H 128 32768 53 64 72MHz 16 x 10 bit 5x16 2xUART/2xSPI/2xI2C 5 (2.5V-3.6V)
PIC32MX340F256H 256 32768 53 64 72MHz 16 x 10 bit 5x16 2xUART/2xSPI/2xI2C 5 4CH-DMA (2.5V-3.6V)
PIC32MX320F128L 128 16384 85 100 72MHz 16 x 10 bit 5x16 2xUART/2xSPI/2xI2C 5 (2.5V-3.6V)
PIC32MX360F256L 256 16384 85 100 72MHz 16 x 10 bit 5x16 2xUART/2xSPI/2xI2C 5 4CH-DMA / iTrace (2.5V-3.6V)
PIC32MX360F512L 512 32768 85 100 72MHz 16 x 10 bit 5x16 2xUART/2xSPI/2xI2C 5 4CH-DMA / iTrace (2.5V-3.6V)
662410
No. of No. of No. of Program RAM CPU Core Supply Mftrs. Price Each
Pins/Case I/O’s Timers Peripherals Memory Size Memory Size Speed Size Voltage Range List No. Order Code 1+ 10+ 25+ 100+ +
6/SOT-23 4 2 ADC, PWM, Temp Sensor, Timer 0.448KB 64Byte 16MHz 8bit 1.8V to 3.6V PIC10LF320T-I/OT 207-9390●
6/SOT-23 4 2 ADC, PWM, Temp Sensor, Timer 0.448KB 64Byte 16MHz 8bit 2.3V to 5.5V PIC10F322T-I/OT 207-9389●
6/SOT-23 4 2 ADC, PWM, Timer 448Byte 64Byte 16MHz 8bit 2.3V to 5.5V PIC10F320T-I/OT 207-4915●
20/SOIC 17 4 Comparator, PWM, Timer 4KB 512Byte 32MHz 16bit 1.8V to 3.6V PIC24F04KL101-I/SO 207-9391●
20/SSOP 17 4 Comparator, PWM, Timer 4KB 512Byte 32MHz 16bit 1.8V to 3.6V PIC24F04KL101-I/SS 207-9393●
20/SOIC 17 4 ADC, Comparator, PWM, Timer 8KB 512Byte 32MHz 16bit 1.8V to 3.6V PIC24F08KL201-I/SO 207-9394●
20/SSOP 17 4 ADC, Comparator, PWM, Timer 8KB 512Byte 32MHz 16bit 1.8V to 3.6V PIC24F08KL201-I/SS 207-9395●
20/QFN 18 4 Comparator, PWM, Timer 8KB 512Byte 32MHz 16bit 1.8V to 3.6V PIC24F08KL301-I/MQ 207-9396●
20/DIP 18 4 Comparator, PWM, Timer 8KB 512Byte 32MHz 16bit 1.8V to 3.6V PIC24F08KL301-I/P 207-9397●
20/DIP 18 4 ADC, Comparator, PWM, Timer 1KB 1KB 32MHz 16bit 1.8V to 3.6V PIC24F16KL401-I/P 207-9401●
28/SOIC 24 4 Comparator, PWM, Timer 8KB 1KB 32MHz 16bit 1.8V to 3.6V PIC24F08KL302-I/SO 207-9398●
28/SSOP 24 4 Comparator, PWM, Timer 8KB 1KB 32MHz 16bit 1.8V to 3.6V PIC24F08KL302-I/SS 207-9399●
20/QFN 24 4 ADC, Comparator, PWM, Timer 16kB 1KB 32MHz 16bit 1.8V to 3.6V PIC24F16KL401-I/MQ 207-9400●
28/SOIC 24 4 ADC, Comparator, PWM, Timer 16kB 1KB 32MHz 16bit 1.8V to 3.6V PIC24F16KL402-I/SO 207-9402●
28/SPDIP 24 4 ADC, Comparator, PWM, Timer 16kB 1KB 32MHz 16bit 1.8V to 3.6V PIC24F16KL402-I/SP 207-9403●
28/SSOP 24 4 ADC, Comparator, PWM, Timer 16kB 1KB 32MHz 16bit 1.8V to 3.6V PIC24F16KL402-I/SS 207-9404●
107
108 farnell.com element14.com
The PIC10F2xx series provides another industry first, an inexpensive 8-bit Flash microcontroller in a 6-pin package. With only six pins, they are easy to use and have a short leaning curve for
anyone not experienced in designing with microcontrollers. In addition, they can be used for a number of design challenges not traditionally solved by a microcontroller.
The PIC12 family packs Microchip’s RISC-based, PIC microcontroller 12-bit program word architecture into 8-pin packages.
The PIC16F5X are well-established Baseline products, offered in 14, 18, 20, 28 and 40-pin packages.
While the Pic10F family is ideal for any space - constrained application, its combination of small form factor, high performance and extremely low cost is cre-
ating new applications not traditionally served by microcontrollers, e.g. Disposable Electronics, 555 Timer Replacement, Mechatronics etc.
The 16F5X family are Flash versions of the original OTP Pic Microcontrollers; note that these parts have In-Circuit Serial Programming Capability.
485476
108
farnell.com element14.com 109
The PIC microcontrollers featuring Microchip’s Mid-Range 14-bit program word architecture include interrupt handling, a deeper hardware stack, multiple A/D channels and EEPROM data
memory.
They also feature various serial analog and digital peripherals, such as USB, SPI, I2C, USART, LCD and A/D converters.
New additions to the 8/14 Pin Midrange include the HV devices which incorporate an integrated shunt regulator
allowing the ability to run from as low as 2.0V and up to an unspecified user - defined maximum voltage.
Semiconductors
P.Memory RAM EEPROM Price Each
Pins Package K bytes / K words (Bytes) (Bytes) ADC Comparator Key Features Mftrs. List No. Order Code 1+ 10+ 100+
8 Pin
12F609 8 DIP 1.75 / 1.0 64 — — 1 Int Osc PIC12F609-I/P 133-2183●
12F609 8 SOIC 1.75 / 1.0 64 — — 1 Int Osc PIC12F609-I/SN 133-2184●
12F609 8 DFN 1.75 / 1.0 64 — — 1 Int Osc PIC12F609-I/MF 166-4858●
12HV609 8 SOIC 1.75 / 1.0 64 — — 1 Int Osc / Shunt Reg PIC12HV609-I/SN 133-2191●
12F615 8 DIP 1.75 / 1.0 64 — 10bit 1 Int Osc PIC12F615-I/P 133-2185●
12F615 8 SOIC 1.75 / 1.0 64 — 10bit 1 Int Osc PIC12F615-I/SN 133-2186● 1
12F615 8 DFN 1.75 / 1.0 64 — 10bit 1 Int Osc PIC12F615-I/MF 166-4859●
12HV615 8 DIP 1.75 / 1.0 64 — 10bit 1 Int Osc / Shunt Reg PIC12HV615-I/P 133-2192●
12HV615 8 SOIC 1.75 / 1.0 64 — 10bit 1 Int Osc / Shunt Reg PIC12HV615-I/SN 133-2194●
109
110 farnell.com element14.com
16F818 18 SOIC 1.75 / 1.0 128 128 10bit — Int Osc / Nanowatt PIC16F818-I/SO 976-0768●
16F818 20 SSOP 1.75 / 1.0 128 128 10bit — Int Osc / Nanowatt PIC16F818-I/SS 108-4335●
16LF818 18 SOIC 1.75 / 1.0 128 128 10bit — Int Osc / Nanowatt PIC16LF818-I/SO 129-2273●
16F716 18 DIP 3.5 / 2.0 128 — 8bit — — PIC16F716-I/P 976-0598●
16F716 18 SOIC 3.5 / 2.0 128 — 8bit — — PIC16F716-I/SO 976-0601●
16F716 20 SSOP 3.5 / 2.0 128 — 8bit — — PIC16F716-I/SS 976-0610●
16F628A 18 DIP 3.5 / 2.0 224 128 — 2 USART / Int Osc / Nanowatt PIC16F628A-I/P 976-0423●
16F628A 18 SOIC 3.5 / 2.0 224 128 — 2 USART / Int Osc / Nanowatt PIC16F628A-I/SO 976-0431●
16LF628A 18 SOIC 3.5 / 2.0 224 128 — 2 USART / Int Osc / Nanowatt PIC16LF628A-I/SO 155-6189●
16F628A 20 SSOP 3.5 / 2.0 224 128 — 2 USART / Int Osc / Nanowatt PIC16F628A-I/SS 976-0440●
16LF628A 20 SSOP 3.5 / 2.0 224 128 — 2 USART / Int Osc / Nanowatt PIC16LF628A-I/SS 129-2272●
16F628A 28 QFN 3.5 / 2.0 224 128 — 2 USART / Int Osc / Nanowatt PIC16F628A-I/ML 108-4334●
1 16F819 18 DIP 3.5 / 2.0 256 256 10bit — Int Osc / Nanowatt PIC16F819-I/P 976-0784●
16F819 18 SOIC 3.5 / 2.0 256 256 10bit — Int Osc / Nanowatt PIC16F819-I/SO 976-0792●
16F819 20 SSOP 3.5 / 2.0 256 256 10bit — Int Osc / Nanowatt PIC16F819-I/SS 976-0806●
16F819 28 QFN 3.5 / 2.0 256 256 10bit — Int Osc / Nanowatt PIC16F819-I/ML 976-0776●
Integrated Circuits & Development Kits
16LF819 18 SOIC 3.5 / 2.0 256 256 10bit — Int Osc / Nanowatt PIC16LF819-I/SO 143-9749●
16F648A 18 DIP 7.0 / 4.0 256 256 — 2 USART / Int Osc / Nanowatt PIC16F648A-I/P 976-0490●
16F648A 18 SOIC 7.0 / 4.0 256 256 — 2 USART / Int Osc / Nanowatt PIC16F648A-I/SO 976-0504●
16F648A 20 SSOP 7.0 / 4.0 256 256 — 2 USART / Int Osc / Nanowatt PIC16F648A-I/SS 113-7333●
16F648A 28 QFN 7.0 / 4.0 256 256 — 2 USART / Int Osc / Nanowatt PIC16F648A-I/ML 976-0482●
16F87 18 DIP 7.0 / 4.0 368 256 — 2 USART / Int Osc / Nanowatt PIC16F87-I/P 976-1462●
16F87 18 SOIC 7.0 / 4.0 368 256 — 2 USART / Int Osc / Nanowatt PIC16F87-I/SO 976-1470●
16F87 20 SSOP 7.0 / 4.0 368 256 — 2 USART / Int Osc / Nanowatt PIC16F87-I/SS 976-1489●
16F87 28 QFN 7.0 / 4.0 368 256 — 2 USART / Int Osc / Nanowatt PIC16F87-I/ML 120-0023●
16F88 28 QFN 7.0 / 4.0 368 256 10bit 2 USART / Int Osc / Nanowatt PIC16F88-I/ML 976-1497●
16F88 18 DIP 7.0 / 4.0 368 256 10bit 2 USART / Int Osc / Nanowatt PIC16F88-I/P 976-1500●
16F88 18 SOIC 7.0 / 4.0 368 256 10bit 2 USART / Int Osc / Nanowatt PIC16F88-I/SO 976-1519●
16F88 20 SSOP 7.0 / 4.0 368 256 10bit 2 USART / Int Osc / Nanowatt PIC16F88-I/SS 108-4336●
16LF88 18 DIP 7.0 / 4.0 368 256 10bit 2 USART / Int Osc / Nanowatt PIC16LF88-I/P 133-2228●
16LF88 18 SOIC 7.0 / 4.0 368 256 10bit 2 USART / Int Osc / Nanowatt PIC16LF88-I/SO 133-2229●
16LF88 20 SSOP 7.0 / 4.0 368 256 10bit 2 USART / Int Osc / Nanowatt PIC16LF88-I/SS 155-6177●
Microchip’s 20 pin devices bridge the gap between the 18 and 28 pin variants by offering up to 18 lines of I/O if the onboard oscillator is used. The 16F785
and 16HV785 are notable 20 pin parts due to the inclusion of A/D converters, 2 high speed comparators and 2 Op Amps.
110
farnell.com element14.com 111
Microchip’s 28 and 40 Pin Midrange variants continue to improve in functionality and performance whilst retaining their original footprints. Features such
as the new dual comparators with S/R Latch mode can be used to replace 555 timers, simple op-amps, delta-sigma ADCs and other analog functions
normally available in external ICs.
Semiconductors
485540
111
112 farnell.com element14.com
16F886 28 SPDIP 14.0 / 8.0 368 256 10bit 2 USART / Int Osc / Nanowatt PIC16F886-I/SP 143-9539●
16F886 28 SSOP 14.0 / 8.0 368 256 10bit 2 USART / Int Osc / Nanowatt PIC16F886-I/SS 143-9540●
16F886 28 SPDIP 14.0 / 8.0 368 256 10bit 2 USART / Int Osc / Nanowatt PIC16F886-E/SP 157-9590●
40 Pin
16F871 40 DIP 3.5 / 2.0 128 64 10bit — USART PIC16F871-I/P 976-0989●
16F871 44 PLCC 3.5 / 2.0 128 64 10bit — USART PIC16F871-I/L 976-0970●
16F871 44 TQFP 3.5 / 2.0 128 64 10bit — USART PIC16F871-I/PT 976-0997●
16LF871 40 DIP 3.5 / 2.0 128 64 10bit — USART PIC16LF871-I/P 108-4329●
16LF871 44 TQFP 3.5 / 2.0 128 64 10bit — USART PIC16LF871-I/PT 976-1713●
16F724 40 PDIP 7.0 / 4.0 192 — 8bit — USART / Int Osc / Nanowatt PIC16F724-I/P 163-0153●
16F724 44 QFN 7.0 / 4.0 192 — 8bit — USART / Int Osc / Nanowatt PIC16F724-I/ML 163-0152●
16F724 44 TQFP 7.0 / 4.0 192 — 8bit — USART / Int Osc / Nanowatt PIC16F724-I/PT 163-0154●
1 16LF724 40 PDIP 7.0 / 4.0 192 — 8bit — USART / Int Osc / Nanowatt PIC16LF724-I/P 167-0444●
16F74 40 DIP 7.0 / 4.0 192 — 8bit — USART PIC16F74-I/P 976-0695●
16F74 44 PLCC 7.0 / 4.0 192 — 8bit — USART PIC16F74-I/L 108-4302●
16F74 44 TQFP 7.0 / 4.0 192 — 8bit — USART PIC16F74-I/PT 108-4303●
Integrated Circuits & Development Kits
16F874A 40 DIP 7.0 / 4.0 192 128 10bit 2 USART PIC16F874A-I/P 976-1209●
16F874A 44 PLCC 7.0 / 4.0 192 128 10bit 2 USART PIC16F874A-I/L 976-1195●
16F874A 44 TQFP 7.0 / 4.0 192 128 10bit 2 USART PIC16F874A-I/PT 976-1217●
16LF874A 40 DIP 7.0 / 4.0 192 128 10bit 2 USART PIC16LF874A-I/P 121-2711●
16F914 40 PDIP 7.0 / 4.0 256 256 10bit 2 USART / LCD / Nanowatt PIC16F914-I/P 129-2266●
16F914 44 TQFP 7.0 / 4.0 256 256 10bit 2 USART / LCD / Nanowatt PIC16F914-I/PT 129-2267●
16F884 40 PDIP 7.0 / 4.0 256 256 10bit 2 USART / Int Osc / Nanowatt PIC16F884-I/P 143-9536●
16F884 44 TQFP 7.0 / 4.0 256 256 10bit 2 USART / Int Osc / Nanowatt PIC16F884-I/PT 143-9537●
16F747 40 DIP 7.0 / 4.0 368 — 10bit 2 USART / 3x PWM / Int Osc PIC16F747-I/P 133-2215●
16F917 40 PDIP 14.0 / 8.0 352 256 10bit 2 USART / LCD / Nanowatt PIC16F917-I/P 129-2270●
16F917 44 TQFP 14.0 / 8.0 352 256 10bit 2 USART / LCD / Nanowatt PIC16F917-I/PT 129-2271●
16F917 44 QFN 14.0 / 8.0 352 256 10bit 2 USART / Int Osc / Nanowatt PIC16F917-I/ML 161-7660●
16F77 40 DIP 14.0 / 8.0 368 — 8bit — USART PIC16F77-I/P 976-0733●
16F77 44 PLCC 14.0 / 8.0 368 — 8bit — USART PIC16F77-I/L 976-0725●
16F77 44 TQFP 14.0 / 8.0 368 — 8bit — USART PIC16F77-I/PT 976-0741●
16F727 40 PDIP 14.0 / 8.0 368 — 8bit — USART / Int Osc / Nanowatt PIC16F727-I/P 163-0163●
16F727 44 TQFP 14.0 / 8.0 368 — 8bit — USART / Int Osc / Nanowatt PIC16F727-I/PT 163-0164●
16LF727 44 TQFP 14.0 / 8.0 368 — 8bit — USART / Int Osc / Nanowatt PIC16LF727-I/PT 165-9769●
16F777 44 TQFP 14.0 / 8.0 368 — 10bit 2 USART / 3x PWM / Int Osc PIC16F777-I/PT 133-2217●
16F877A 40 DIP 14.0 / 8.0 368 256 10bit 2 USART PIC16F877A-I/P 976-1446●
16F877A 44 PLCC 14.0 / 8.0 368 256 10bit 2 USART PIC16F877A-I/L 976-1438●
16F877A 44 TQFP 14.0 / 8.0 368 256 10bit 2 USART PIC16F877A-I/PT 976-1454●
16LF877A 40 DIP 14.0 / 8.0 368 256 10bit 2 USART PIC16LF877A-I/P 976-1845●
16LF877A 44 PLCC 14.0 / 8.0 368 256 10bit 2 USART PIC16LF877A-I/L 976-1837●
16LF877A 44 TQFP 14.0 / 8.0 368 256 10bit 2 USART PIC16LF877A-I/PT 976-1853●
16F887 40 PDIP 14.0 / 8.0 368 256 10bit 2 USART / Int Osc / Nanowatt PIC16F887-I/P 143-9541●
16F887 44 TQFP 14.0 / 8.0 368 256 10bit 2 USART / Int Osc / Nanowatt PIC16F887-I/PT 143-9542●
16F887 44 TQFP 14.0 / 8.0 368 256 10bit 2 USART / Int Osc / Nanowatt PIC16F887-E/PT 157-9592●
16F887 44 QFN 14.0 / 8.0 368 256 10bit 2 USART / Int Osc / Nanowatt PIC16F887-I/ML 161-8005●
64 Pin
16F946 64 TQFP 14 / 8.0 336 256 2 USART / LCD / Nanowatt PIC16F946-I/PT 133-2219●
112
farnell.com element14.com 113
Semiconductors
16LF1826 28/UQFN 3.5 / 2.0 256 256 10bit 2 EUSART, I2C, SPI PIC16LF1826-I/MV 186-5914●
16F1827 18/PDIP 7 / 4.0 384 256 10bit 2 I2C, SPI, USART PIC16F1827-I/P 177-8449●
16F1827 18/SOIC 7 / 4.0 384 256 10bit 2 I2C, SPI, USART PIC16F1827-I/SO 177-8450●
16LF1827 18/PDIP 7 / 4.0 384 256 10bit 2 EUSART, I2C, SPI PIC16LF1827-I/P 177-0644●
16LF1827 18/SOIC 7 / 4.0 384 256 10bit 2 EUSART, I2C, SPI PIC16LF1827-I/SO 177-0645●
16F1827 20/SSOP 7 / 4.0 384 256 10bit 2 I2C, SPI, USART PIC16F1827-I/SS 177-8451●
16LF1827 20/SSOP 7 / 4.0 384 256 10bit 2 EUSART, I2C, SPI PIC16LF1827-I/SS 177-0646●
16F1827 28/QFN 7 / 4.0 384 256 10bit 2 I2C, SPI, USART PIC16F1827-I/ML 177-8446●
16F1827 28/QFN 7 / 4.0 384 256 10bit 2 I2C, SPI, USART PIC16F1827-I/MQ 177-8447●
16F1827 28/UQFN 7 / 4.0 384 256 10bit 2 I2C, SPI, USART PIC16F1827-I/MV 177-8448●
16LF1827 28/QFN 7 / 4.0 384 256 10bit 2 EUSART, I2C, SPI PIC16LF1827-I/ML 177-0643●
635989
113
114 farnell.com element14.com
Features:
Ì Enhanced Mid-range Core with 49 Instruction, 16 Stack Levels Ì 3 ECCP & 2 CCP (Enhanced/Capture Compare PWM) Ì Extended Watchdog Timer (EWDT)
Ì Flash Program Memory with self read/write capability Ì Comparators with selectable Voltage Reference Ì Enhanced Power-On/Off-Reset
Ì LCD segment drive support Ì 10b ADC with Voltage Reference Ì Brown-Out Reset (BOR)
Ì Internal 32MHz oscillator Ì 25mA Source/Sink current I/O Ì In Circuit Serial Programming (ICSP)
Ì Integrated Capacitive mTouch Sensing Module Ì Four 8-bit Timers (TMR0/TMR2/TMR4/TMR6) Ì Wide Operating Voltage (1.8V - 5.5V)
Ì MI2C, SPI, EUSART w/auto baud Ì One 16-bit Timer (TMR1) Ì Low Power LF variant (1.8V - 3.6V)
1 605468
16LF1933 28/QFN 7 / 4.0 256 256 10bit 2 EUSART, I2C, SPI PIC16LF1933-I/ML 177-0647●
16LF1933 28/SOIC 7 / 4.0 256 256 10bit 2 EUSART, I2C, SPI PIC16LF1933-I/SO 177-0648●
16LF1933 28/SPDIP 7 / 4.0 256 256 10bit 2 EUSART, I2C, SPI PIC16LF1933-I/SP 177-0649●
16LF1933 28/SSOP 7 / 4.0 256 256 10bit 2 EUSART, I2C, SPI PIC16LF1933-I/SS 177-0650●
16LF1934 40/PDIP 7 / 4.0 256 256 10bit 2 EUSART, I2C, SPI PIC16LF1934-I/P 177-0653●
16LF1934 44/QFN 7 / 4.0 256 256 10bit 2 EUSART, I2C, SPI PIC16LF1934-I/ML 177-0651●
16LF1934 44/TQFP 7 / 4.0 256 256 10bit 2 EUSART, I2C, SPI PIC16LF1934-I/PT 177-0654●
16F1936 28/SDIP 14 / 8.0 512 256 10bit 2 EUSART, I2C, SPI PIC16F1936-I/SP 175-5822●
16F1936 28/SSOP 14 / 8.0 512 256 10bit 2 EUSART, I2C, SPI PIC16F1936-I/SS 175-5823●
16LF1936 28/QFN 14 / 8.0 512 256 10bit 2 EUSART, I2C, SPI PIC16LF1936-I/ML 177-0655●
16LF1936 28/SOIC 14 / 8.0 512 256 10bit 2 EUSART, I2C, SPI PIC16LF1936-I/SO 177-0656●
16LF1936 28/SPDIP 14 / 8.0 512 256 10bit 2 EUSART, I2C, SPI PIC16LF1936-I/SP 177-0657●
16LF1936 28/SSOP 14 / 8.0 512 256 10bit 2 EUSART, I2C, SPI PIC16LF1936-I/SS 177-0658●
16F1937 40/PDIP 14 / 8.0 512 256 10bit 2 EUSART, I2C, SPI PIC16F1937-I/P 175-5825●
16F1937 44/QFN 14 / 8.0 512 256 10bit 2 EUSART, I2C, SPI PIC16F1937-I/ML 175-5824●
16F1937 44/TQFP 14 / 8.0 512 256 10bit 2 EUSART, I2C, SPI PIC16F1937-I/PT 175-5826●
16LF1937 40/PDIP 14 / 8.0 512 256 10bit 2 EUSART, I2C, SPI PIC16LF1937-I/P 177-0660●
16LF1937 44/QFN 14 / 8.0 512 256 10bit 2 EUSART, I2C, SPI PIC16LF1937-I/ML 177-0659●
16LF1937 44/TQFP 14 / 8.0 512 256 10bit 2 EUSART, I2C, SPI PIC16LF1937-I/PT 177-0661●
16F1938 28/QFN 28 / 16 1 256 10bit 2 EUSART, I2C, SPI PIC16F1938-I/ML 175-5827●
16F1938 28/SOIC 28 / 16 1 256 10bit 2 EUSART, I2C, SPI PIC16F1938-I/SO 175-5828●
16F1938 28/SDIP 28 / 16 1 256 10bit 2 EUSART, I2C, SPI PIC16F1938-I/SP 175-5829●
16F1938 28/SSOP 28 / 16 1 256 10bit 2 EUSART, I2C, SPI PIC16F1938-I/SS 175-5830●
16LF1938 28/QFN 28 / 16 1 256 10bit 2 EUSART, I2C, SPI PIC16LF1938-I/ML 177-0662●
16LF1938 28/SOIC 28 / 16 1 256 10bit 2 EUSART, I2C, SPI PIC16LF1938-I/SO 177-0663●
16LF1938 28/SPDIP 28 / 16 1 256 10bit 2 EUSART, I2C, SPI PIC16LF1938-I/SP 177-0665●
16LF1938 28/SSOP 28 / 16 1 256 10bit 2 EUSART, I2C, SPI PIC16LF1938-I/SS 177-0666●
16F1939 40/PDIP 28 / 16 1 256 10bit 2 EUSART, I2C, SPI PIC16F1939-I/P 175-5832●
16F1939 44/QFN 28 / 16 1 256 10bit 2 EUSART, I2C, SPI PIC16F1939-I/ML 175-5831●
16F1939 44/TQFP 28 / 16 1 256 10bit 2 EUSART, I2C, SPI PIC16F1939-I/PT 175-5834●
16LF1939 40/PDIP 28 / 16 1 256 10bit 2 EUSART, I2C, SPI PIC16LF1939-I/P 177-0668●
16LF1939 44/QFN 28 / 16 1 256 10bit 2 EUSART, I2C, SPI PIC16LF1939-I/ML 177-0667●
16LF1939 44/TQFP 28 / 16 1 256 10bit 2 EUSART, I2C, SPI PIC16LF1939-I/PT 177-0669●
114
farnell.com element14.com 115
Manufacturers part number CPU Speed EEPROM Memory Size Digital IC Case Style Order code
PIC16F1507-E/P 20MHz PIC16F DIP 206-5975
PIC16F1507-E/SO 20MHz PIC16F SOIC 206-5977 Manufacturers part number CPU Speed EEPROM Memory Size Digital IC Case Style Order code
PIC16F1507-E/SS 20MHz PIC16F SSOP 206-5978 PIC10LF322-I/OT 16MHz PIC10 SOT-23 206-2984
PIC16LF1507-E/P 20MHz PIC16LF DIP 206-5979 PIC24EP256GU814-I/PL PIC24 LQFP 206-2986
PIC16LF1507-E/SS 20MHz PIC16LF SSOP 206-5980 PIC24EP256GU814-I/PH PIC24 TQFP 206-2990
662541 PIC24EP512GU810-I/PT PIC24 TQFP 197-1878
Mftrs. List No. Order Code Price Each 10+ 25+ 662543
AD9557BCPZ 206-5948● Mftrs. List No. Order Code Price Each 10+ 25+
PIC16F1507-E/P 206-5975● PIC10LF322-I/OT 206-2984●
PIC16F1507-E/SO 206-5977● PIC24EP256GU814-I/PL 206-2986●
PIC16F1507-E/SS 206-5978● PIC24EP256GU814-I/PH 206-2990●
PIC16LF1507-E/P 206-5979● PIC24EP512GU810-I/PT 197-1878●
PIC16LF1507-E/SS 206-5980●
Semiconductors
The PIC18 devices are high-performance microcontrollers with integrated A/D converters. All PIC18 microcontrollers incorporate an advanced RISC architecture that supports Flash devices.
The PIC18 has enhanced core features, 32-level deep stack and multiple internal and external interrupts. The separate instruction and data buses of the Harvard architecture allow a 16-bit in-
struction word with separate 8-bit data. The two-stage instruction pipeline enables all instructions to execute in a single cycle, except for program branches, which require two cycles. A total
of 79 instructions are available. The PIC18 family has special features such as intergrated CAN or Ethernet control, to reduce external components, thus minimising cost, enhancing system
reliability and reducing power consumption. The PIC18 family builds on the foundation established by other 8-bit PIC microcontrollers and provides engineers with a smooth, easy migration
path to these higher levels of performance and feature sets.
High Performance Product Features
Ì Expansive package offering - 18 to 100 pin Ì 10-16 MIPS operating performance
Ì Flexible Flash program memory, many devices with self-write capability Ì Hardware 8 x 8 multiplier
Ì Low pwoer capability on devices with nanoWatt techonology Ì Advanced communication peripherals and protocols (CAN, LIN, USB, Zigbee, and TCP/IP)
Ì Linear program memory space up to 2 Mbytes Ì J-Series for competitive cost sensitive applications with high memory densities
Ì K-Series for new level of performance in 8-bit MCUs
490523
1
General Purpose PIC18F High Performance 8 BIt Flash Microcontrollers - 10 Mips
The 18F1220 and 18F1320 provide a powerful upgrade path from the existing PIC 16, 18 pin footprint, further enhanced variants can be seen in the Motor Control sec-
The 28 and 40 pin 18F family now includes the ’J’ series for cost sensitive applications with high memory densities , in addition the ’K’ series provides new levels of per-
formance (16 MIPS) whilst being very cost sensitive at lower memory densities.
115
116 farnell.com element14.com
18F2423 28 DIP 16 / 8.0 768 256 — 2 USART / Int Osc / Nanowatt PIC18F2423-I/SP 133-2240●
18F2423 28 SOIC 16 / 8.0 768 256 — 2 USART / Int Osc / Nanowatt PIC18F2423-E/SO 146-7807●
18F24K20 28 SOIC 16 / 8.0 768 256 10bit 2 USART / Int Osc / Nanowatt PIC18F24K20-I/SO 143-9574●
18F24K20 28 SPDIP 16 / 8.0 768 256 10bit 2 USART / Int Osc / Nanowatt PIC18F24K20-I/SP 143-9575●
18F24K20 28 SSOP 16 / 8.0 768 256 10bit 2 USART / Int Osc / Nanowatt PIC18F24K20-I/SS 143-9576●
18F24J10 28 SOIC 16 / 8.0 1K — — 2 USART / Int Osc PIC18F24J10-I/SO 133-2242●
18F24J10 28 DIP 16 / 8.0 1K — — 2 USART / Int Osc PIC18F24J10-I/SP 133-2243●
18F24J10 28 SSOP 16 / 8.0 1K — — 2 USART / Int Osc PIC18F24J10-I/SS 143-9544●
18F25J10 28 SOIC 32 / 16 1K — — 2 USART / Int Osc PIC18F25J10-I/SO 133-2248●
18F25J10 28 DIP 32 / 16 1K — — 2 USART / Int Osc PIC18F25J10-I/SP 133-2249●
18F25J10 28 SSOP 32 / 16 1K — — 2 USART / Int Osc PIC18F25J10-I/SS 143-9545●
18F2520 28 SDIL 32 / 16 1.5K 256 10bit 2 USART / Int Osc / Nanowatt PIC18F2520-I/SP 932-1233●
1 18F2520 28 SOIC 32 / 16 1.5K 256 10bit 2 USART / Int Osc / Nanowatt PIC18F2520-I/SO 932-1241●
18F2520 28 QFN 32 / 16 1.5K 256 10bit 2 USART / Int Osc / Nanowatt PIC18F2520-I/ML 157-9601●
18LF2520 28 SOIC 32 / 16 1.5K 256 10bit 2 USART / Int Osc / Nanowatt PIC18LF2520-I/SO 155-6192●
18LF2520 28 PDIP 32 / 16 1.5K 256 10bit 2 USART / Int Osc / Nanowatt PIC18LF2520-I/SP 157-9624●
Integrated Circuits & Development Kits
18LF2520 28 QFN 32 / 16 1.5K 256 10bit 2 USART / Int Osc / Nanowatt PIC18LF2520-I/ML 129-2277●
18F2523 28 SOIC 32 / 16 1.5K 256 — 2 USART / Int Osc / Nanowatt PIC18F2523-I/SO 133-2244●
18F2523 28 DIP 32 / 16 1.5K 256 — 2 USART / Int Osc / Nanowatt PIC18F2523-I/SP 133-2245●
18LF2523 28 SOIC 32 / 16 1.5K 256 — 2 USART / Int Osc / Nanowatt PIC18LF2523-I/SO 160-7938●
18LF2523 28 SPDIP 32 / 16 1.5K 256 — 2 USART / Int Osc / Nanowatt PIC18LF2523-I/SP 161-8006●
18F25K20 28 SOIC 32 / 16 1.5K 256 10bit 2 USART / Int Osc / Nanowatt PIC18F25K20-I/SO 143-9577●
18F25K20 28 SPDIP 32 / 16 1.5K 256 10bit 2 USART / Int Osc / Nanowatt PIC18F25K20-I/SP 143-9578●
18F25K20 28 SSOP 32 / 16 1.5K 256 10bit 2 USART / Int Osc / Nanowatt PIC18F25K20-I/SS 143-9579●
18F2525 28 SOIC 48 / 24 3.9K 1K 10bit 2 USART / Int Osc / Nanowatt PIC18F2525-I/SO 121-2694●
18F2525 28 SDIL 48 / 24 3.9K 1K 10bit 2 USART / Int Osc / Nanowatt PIC18F2525-I/SP 121-2695●
18LF2525 28 SOIC 48 / 24 3.9K 1K 10bit 2 USART / Int Osc / Nanowatt PIC18LF2525-I/SO 157-9625●
18LF2525 28 PDIP 48 / 24 3.9K 1K 10bit 2 USART / Int Osc / Nanowatt PIC18LF2525-I/SP 161-7318●
18F2585 28 SOIC 48 / 24 3.25K 1K 10bit — USART / Int Osc / Nanowatt PIC18F2585-I/SO 121-2696●
18F2585 28 SPDIP 48 / 24 3.25K 1K 10bit — USART / Int Osc / Nanowatt PIC18F2585-I/SP 160-7937●
18F2610 28 SOIC 65 / 32 3.9K — — 2 USART / Int Osc / Nanowatt PIC18F2610-I/SO 133-2250●
18F26J11 28 SOIC 64 / 32 3.7K — 10bit 2 USART / Int Osc / Nanowatt PIC18F26J11-I/SO 169-8918●
18F25J11 28 SOIC 32 / 16 3.7K – 10bit – EUSART, I2C, SPI PIC18F25J11-I/SO 170-6289●
18F24J11 28 QFN 16 / 8 3.7K – 10bit – EUSART, I2C, SPI PIC18F24J11-I/ML 170-7634●
18F25J11 28 QFN 32 / 16 3.7K – 10bit – EUSART, I2C, SPI PIC18F25J11-I/ML 170-7635●
18F26J11 28 QFN 64 / 32 3.7K – 10bit – EUSART, I2C, SPI PIC18F26J11-I/ML 170-7636●
18F24J11 28 SOIC 16 / 8 3.7K – 10bit – EUSART, I2C, SPI PIC18F24J11-I/SO 170-6302●
18F24J11 28 SSOP 16 / 8 3.7K – 10bit – EUSART, I2C, SPI PIC18F24J11-I/SS 170-6303●
18F25J11 28 SSOP 32 / 16 3.7K – 10bit – EUSART, I2C, SPI PIC18F25J11-I/SS 170-6304●
18F26J11 28 SSOP 64 / 32 3.7K – 10bit – EUSART, I2C, SPI PIC18F26J11-I/SS 170-6306●
18F26J50 28 QFN 64 / 32 3.7K – 10bit – EUSART, I2C, SPI PIC18F26J50-I/ML 170-6307●
18F26J50 28 SOIC 64 / 32 3.7K – 10bit – EUSART, I2C, SPI PIC18F26J50-I/SO 170-6308●
18F26J50 28 DIP 64 / 32 3.7K – 10bit – EUSART, I2C, SPI PIC18F26J50-I/SP 170-6309●
18F26J50 28 SSOP 64 / 32 3.7K – 10bit – EUSART, I2C, SPI PIC18F26J50-I/SS 170-6310●
18F2620 28 SOIC 65 / 32 3.9K 1K 10bit 2 USART / Int Osc / Nanowatt PIC18F2620-I/SO 121-2697●
18F2620 28 SDIL 65 / 32 3.9K 1K 10bit 2 USART / Int Osc / Nanowatt PIC18F2620-I/SP 121-2698●
18LF2620 28 SOIC 65 / 32 3.9K 1K 10bit 2 USART / Int Osc / Nanowatt PIC18LF2620-I/SO 146-7811●
18LF2620 28 SPDIP 65 / 32 3.9K 1K 10bit 2 USART / Int Osc / Nanowatt PIC18LF2620-I/SP 146-7812●
18F26K20 28 SOIC 65 / 32 3.84K 1K 10bit 2 USART / Int Osc / Nanowatt PIC18F26K20-I/SO 143-9580●
18F26K20 28 SPDIP 65 / 32 3.84K 1K 10bit 2 USART / Int Osc / Nanowatt PIC18F26K20-I/SP 143-9581●
18F26K20 28 SSOP 65 / 32 3.84K 1K 10bit 2 USART / Int Osc / Nanowatt PIC18F26K20-I/SS 143-9582●
18F26K20 28 QFN 65 / 32 3.84K 1K 10bit 2 USART / Int Osc / Nanowatt PIC18F26K20-I/ML 162-9786●
18F26K20 28 SSOP 65 / 32 3.84K 1K 10bit 2 USART / Int Osc / Nanowatt PIC18F26K20-E/SS 166-4860●
18F26K20 28 SPDIP 65 / 32 3.84K 1K 10bit 2 USART / Int Osc / Nanowatt PIC18F26K20-E/SP 166-4861●
18F26K20 28 SOIC 65 / 32 3.84K 1K 10bit 2 USART / Int Osc / Nanowatt PIC18F26K20-E/SO 167-2128●
18F26K20 28 QFN 65 / 32 3.84K 1K 2 USART / Int Osc / Nanowatt PIC18F26K20-E/ML 169-5552●
18F2680 28 SOIC 65 / 32 3.25K 1K 10bit — USART / Int Osc / ECAN PIC18F2680-I/SO 121-2699●
116
farnell.com element14.com 117
Semiconductors
18F44J10 44 TQFP 16 / 8.0 1K — 10bit 2 USART / Int Osc PIC18F44J10-I/PT 133-2267●
18F45J10 40 DIP 32 / 16 1K — 10bit 2 USART / Int Osc PIC18F45J10-I/P 133-2270●
18F45J10 40 DIP 32 / 16 1K — 10bit 2 USART / Int Osc PIC18F45J10-I/P 133-2270●
18F45J10 44 TQFP 32 / 16 1K — 10bit 2 USART / Int Osc PIC18F45J10-I/PT 133-2271●
18F45J10 44 TQFP 32 / 16 1K — 10bit 2 USART / Int Osc PIC18F45J10-I/PT 133-2271●
18F4520 40 DIP 32 / 16 1.5K 256 10bit 2 USART / Int Osc / Nanowatt PIC18F4520-I/P 121-2702●
18F4520 40 DIP 32 / 16 1.5K 256 10bit 2 USART / Int Osc / Nanowatt PIC18LF4520-I/P 146-7813●
18F4520 44 TQFP 32 / 16 1.5K 256 10bit 2 USART / Int Osc / Nanowatt PIC18F4520-I/PT 121-2703●
18F4520 44 QFN 32 / 16 1.5K 256 10bit 2 USART / Int Osc / Nanowatt PIC18F4520-I/ML 121-2701●
18LF4520 44 TQFP 32 / 16 1.5K 256 10bit 2 USART / Int Osc / Nanowatt PIC18LF4520-I/PT 129-2278●
18F4523 40 DIP 32 / 16 1.5K 256 12bit 2 USART / Int Osc / Nanowatt PIC18F4523-I/P 133-2268●
18F4523 44 TQFP 32 / 16 1.5K 256 12bit 2 USART / Int Osc / Nanowatt PIC18F4523-I/PT 133-2269●
18LF4523 40 PDIP 32 / 16 1.5K 256 12bit 2 USART / Int Osc / Nanowatt PIC18LF4523-I/P 161-7323●
18LF4523 44 TQFP 32 / 16 1.5K 256 12bit 2 USART / Int Osc / Nanowatt PIC18LF4523-I/PT 160-7939●
1
18F45K20 40 PDIP 32 / 16 1.5K 256 10bit 2 USART / Int Osc / Nanowatt PIC18F45K20-I/P 143-9588●
18F45K20 44 TQFP 32 / 16 1.5K 256 10bit 2 USART / Int Osc / Nanowatt PIC18F45K20-I/PT 143-9589●
117
118 farnell.com element14.com
18F6622 64 TQFP 64 / 32 3.84K 1K 10bit 2 2xUSART / Int Osc / Nanowatt PIC18F6622-I/PT 153-3995●
18LF6622 64 TQFP 64 / 32 3.84K 1K 10bit 2 2xUSART / Int Osc / Nanowatt PIC18LF6622-I/PT 155-6184●
18F6627 64 TQFP 96 / 48 3.84K 1K 10bit 2 2xUSART / Int Osc / Nanowatt PIC18F6627-I/PT 875-2974●
18F6628 64 TQFP 96 / 48 3.84K 1K 12bit 2 2xUSART / Int Osc / Nanowatt PIC18F6628-I/PT 154-8429●
18LF6628 64 TQFP 96 / 48 3.84K 1K 12bit 2 2xUSART / Int Osc / Nanowatt PIC18LF6628-I/PT 154-8430●
18F67J11 64 TQFP 128 / 64 3.8K – 10bit 2 2xUSART / Int Osc / Nanowatt PIC18F67J11T-I/PT 143-9557●
18F67J10 64 TQFP 128 / 64 3.84K – 10bit 2 2xUSART/IntOsc PIC18F67J10-I/PT 133-2283●
18F6722 64 TQFP 128 / 64 3.84K 1K 10bit 2 2xUSART / Int Osc / Nanowatt PIC18F6722-I/PT 875-2966●
18LF6722 64 TQFP 128 / 64 3.84K 1K 10bit 2 2xUSART / Int Osc / Nanowatt PIC18LF6722-I/PT 143-9747●
18F6723 64 TQFP 128 / 64 3.84K 1K 12bit 2 2xUSART / Int Osc / Nanowatt PIC18F6723-I/PT 154-8431●
18LF6723 64 TQFP 128 / 64 3.84K 1K 12bit 2 2xUSART / Int Osc / Nanowatt PIC18LF6723-I/PT 154-8432●
80 Pin
1 18F8310 80 TQFP 8.0 / 4.0 768 – 10bit 2 2xUSART/IntOsc/Nanowatt PIC18F8310-I/PT 133-2286●
18F84J11 80 TQFP 16 / 8.0 1K – 10bit 2 2xUSART/IntOsc/Nanowatt PIC18F84J11-I/PT 143-9562●
18F8520 80 TQFP 32 / 16 2K 1K 10bit 2 2xUSART PIC18F8520-I/PT 976-2353●
18F85J11 80 TQFP 32 / 16 2K – 10bit 2 2xUSART/IntOsc/Nanowatt PIC18F85J11-I/PT 143-9564●
Integrated Circuits & Development Kits
18F86J90 80 QFP 64 / 32 3.8K – 10bit – AUSART, EUSART, I2C, SPI PIC18F86J90-I/PT 170-6288●
18F8527 80 TQFP 48 / 24 3.84K 1K 10bit 2 2xUSART/IntOsc/Nanowatt PIC18F8527-I/PT 161-7316●
18F86J10 80 TQFP 64 / 32 2K – 10bit 2 2xUSART/IntOsc PIC18F86J10-I/PT 133-2288●
18F86J11 80 TQFP 64 / 32 3.8K – 10bit 2 2xUSART/IntOsc/Nanowatt PIC18F86J11T-I/PT 143-9568●
18F86J72 80 TQFP 64 / 32 3.8K – 16bit 2 I2C, MSSP, Serial, USART PIC18F86J72-I/PT 184-1565●
18F8622 80 TQFP 64 / 32 3.84K 1K 10bit 2 2xUSART/IntOsc/Nanowatt PIC18F8622-I/PT 133-2287●
18F8628 80 TQFP 96 / 48 1K 1K 12bit 2 2xUSART/IntOsc/Nanowatt PIC18F8628-I/PT 154-8433●
18LF8628 80 TQFP 96 / 48 1K 1K 12bit 2 2xUSART/IntOsc/Nanowatt PIC18LF8628-I/PT 154-8434●
18F8627 80 TQFP 96 / 48 3.84K 1K 10bit 2 2xUSART/IntOsc/Nanowatt PIC18F8627-I/PT 875-2958●
18F8723 80 TQFP 128 / 64 1K 1K 12bit 2 2xUSART/IntOsc/Nanowatt PIC18F8723-I/PT 154-8435●
18LF8723 80 TQFP 128 / 64 1K 1K 12bit 2 2xUSART/IntOsc/Nanowatt PIC18LF8723-I/PT 154-8436●
18F8722 80 TQFP 128 / 64 3.84K 1K 10bit 2 2xUSART/IntOsc/Nanowatt PIC18F8722-I/PT 875-2940●
18F8722 80 TQFP 128 / 64 3.84K 1K 10bit 2 2xUSART/IntOsc/Nanowatt PIC18F8722-E/PT 157-9613●
18LF8722 80 TQFP 128 / 64 3.84K 1K 10bit 2 2xUSART/IntOsc/Nanowatt PIC18LF8722-I/PT 155-6195●
18F87J10 80 TQFP 128 / 64 3.84K – 10bit 2 2xUSART/IntOsc PIC18F87J10-I/PT 133-2290●
18F87J11 80 TQFP 128 / 64 3.8K – 10bit 2 2xUSART/IntOsc/Nanowatt PIC18F87J11T-I/PT 143-9570●
18F87J72 80 TQFP 128 / 64 3.8K – 16bit 2 I2C, MSSP, Serial, USART PIC18F87J72-I/PT 184-1566●
Specialised peripherals including Motor Control PWM, Enhanced Capture / Compare Modules and QEI provide this 18F family with the capability to control a wide range
of motor types including Stepper, BLDC, AC Induction, Brushed DC and Switched Reluctance.
118
farnell.com element14.com 119
Semiconductors
18F2455 28 SOIC 24 / 12 2K 256 10bit 2 USART/SPI/I2C/USB PIC18F2455-I/SO 157-9598●
18F2455 28 SPDIP 24 / 12 2K 256 10bit 2 USART/SPI/I2C/USB PIC18F2455-I/SP 157-9600●
18LF2455 28 SOIC 24 / 12 2K 256 10bit 2 USART/SPI/I2C/USB PIC18LF2455-I/SO 157-9622●
18LF2455 28 SPDIP 24 / 12 2K 256 10bit 2 USART/SPI/I2C/USB PIC18LF2455-I/SP 157-9623●
18F2458 28 SPDIP 24 / 12 2K 256 12bit 2 USART/SPI/I2C/USB PIC18F2458-I/SP 161-7313●
18F2553 28 SOIC 32 / 16 2K 256 12bit 2 USART/SPI/I2C/USB PIC18F2553-I/SO 157-9602●
18F2553 28 SPDIP 32 / 16 2K 256 12bit 2 USART/SPI/I2C/USB PIC18F2553-I/SP 157-9603●
18LF2553 28 SOIC 32 / 16 2K 256 12bit 2 USART/SPI/I2C/USB PIC18LF2553-I/SO 161-7319●
18LF2553 28 SPDIP 32 / 16 2K 256 12bit 2 USART/SPI/I2C/USB PIC18LF2553-I/SP 157-9627●
18F2550 28 SDIL 32 / 16 2K 256 10bit 2 USART/SPI/I2C/USB PIC18F2550-I/SP 932-1250●
18F2550 28 SOIC 32 / 16 2K 256 10bit 2 USART/SPI/I2C/USB PIC18F2550-I/SO 932-1268●
18F2550 28 SOIC 32 / 16 2K 256 10bit 2 USART/SPI/I2C/USB PIC18LF2550-I/SO 155-6193●
18LF2550 28 SPDIP 32 / 16 2K 256 10bit 2 USART/SPI/I2C/USB PIC18LF2550-I/SP 157-9626● 1
18F4450 40 DIP 16 / 8.0 768 — 10bit — USART/USB PIC18F4450-I/P 133-2264●
18LF4450 40 PDIP 16 / 8.0 768 — 10bit — USART/USB PIC18LF4450-I/P 157-9632●
18F4450 44 TQFP 16 / 8.0 768 — 10bit — USART/USB PIC18F4450-I/PT 133-2265●
119
120 farnell.com element14.com
485563
120
farnell.com element14.com 121
dsPic30F 16 Bit Flash Digital Signal Controllers - 30 Mips - Vdd 2.5v - 5.5v
General Purpose Family
The dsPIC 30F General Purpose family is ideal for a wide variety of 16 bit embedded applications. Variants with codec interfaces are well suited to speech and audio ap-
plications.
Sensor Family
Semiconductors
The dsPic30F Sensor family have features designed to support high-performance , cost sensitive and space constrained applications with packages
as small as 6x6mm and with pin counts as low as 18.
486030
121
122 farnell.com element14.com
FLASH RAM Remappable 16-bit Input Output Analog External Price Each
Pins/Pkg (KB) (Bytes) Pins Timer Capture Compare Comparator Interrupts Mftrs. List No. Order Code 1+ 10+ 100+
18/SOIC 6 256 8 2 0 1 0 3 DSPIC33FJ06GS101-I/SO 177-8678●
28/SOIC 6 256 16 2 0 1 0 3 DSPIC33FJ06GS102-I/SO 177-8679●
28/SPDIP 6 256 16 2 0 1 0 3 DSPIC33FJ06GS102-I/SP 177-8680●
Semiconductors
FLASH RAM Remappable 16-bit Input Output Analog External Price Each
Pins/Pkg (KB) (Bytes) Pins Timer Capture Compare Comparator Interrupts Mftrs. List No. Order Code 1+ 10+ 100+
28/SOIC 16 2048 16 3 2 2 0 3 DSPIC33FJ16GS402-I/SO 177-8683●
28/SPDIP 16 2048 16 3 2 2 0 3 DSPIC33FJ16GS402-I/SP 177-8684●
44/TQFP 16 2048 30 3 2 2 0 3 DSPIC33FJ16GS404-I/PT 177-8685●
28/SOIC 16 2048 16 3 2 2 4 3 DSPIC33FJ16GS502-I/SO 177-8686●
28/SPDIP 16 2048 16 3 2 2 4 3 DSPIC33FJ16GS502-I/SP 177-8688●
44/TQFP 16 2048 30 3 2 2 4 3 DSPIC33FJ16GS504-I/PT 177-8689●
DSPIC33EP512MU810-I/PF 206-2989●
DSPIC33EP512MU810-I/PT 197-1853●
Manufacturers part number Core Supply Voltage Flash Memory Size Digital IC Case Style Order code
DSPIC33EP512MU814-I/PL 1.8V 536KB LQFP 206-2985
DSPIC33EP512MU810-I/BG 1.8V 536KB BGA 206-2988
DSPIC33EP512MU810-I/PF 1.8V 536KB TQFP 206-2989
DSPIC33EP512MU810-I/PT 1.8V 512KB TQFP 197-1853
662543
No. of Pins/ Interface Flash Core Core Supply Mftrs. Price Each
Case No. of I/Os Type Size Frequency Supply Voltage Voltage Range List No. Order Code 1+ 10+ 25+ 100+ +
144/TQFP 122 I2C, SPI, USB, UART 536KB 60MHz 3.6V 3V to 3.6V DSPIC33EP512MU814-I/PH 207-9388●
dsPic33F 16 Bit Flash Digital Signal Controllers - 40 Mips Vdd 3.0v - 3.6v
General Purpose Family
The 40 MIPS dsPic33F family is developed for high performance embedded control applications, compared to the dsPIC30F family, the dsPIC33F family offers larger
RAM and Flash memory options and adds DMA.
122
farnell.com element14.com 123
Semiconductors
33FJ64GP710 100 TQFP 64 16384 — 10/12bit 2xUART/2xSPI/2xI2C/2xECAN DSPIC33FJ64GP710-I/PT 133-2048●
33FJ128GP202 28 SOIC 128 8192 — 10/12bit 2xUART/2xSPI/I2C DSPIC33FJ128GP202-I/SO 151-0862●
33FJ128GP202 28 SPDIP 128 8192 — 10/12bit 2xUART/2xSPI/I2C DSPIC33FJ128GP202-I/SP 151-0863●
33FJ128GP204 44 TQFP 128 8192 — 10/12bit 2xUART/2xSPI/I2C DSPIC33FJ128GP204-I/PT 151-0864●
33FJ128GP206 64 TQFP 128 8192 — 10/12bit 2xUART/2xSPI/I2C DSPIC33FJ128GP206-I/PT 129-4836●
33FJ128GP202 28 QFN-S 128 8192 — 10/12bit 2xUART/2xSPI/1xI2C DSPIC33FJ128GP202-I/MM 157-6796●
33FJ128GP204 44 QFN 128 8192 — 10/12bit 2xUART/2xSPI/1xI2C DSPIC33FJ128GP204-I/ML 157-6797●
33FJ128GP306 64 TQFP 128 16384 — 10/12bit 2xUART/2xSPI/I2C DSPIC33FJ128GP306-I/PT 129-4837●
33FJ128GP706 64 TQFP 128 16384 — 10/12bit 2xUART/2xSPI/I2C/2xECAN DSPIC33FJ128GP706-I/PT 116-2016●
33FJ128GP708 80 TQFP 128 16384 — 10/12bit 2xUART/2xSPI/I2C/2xECAN DSPIC33FJ128GP708-I/PT 129-4838●
33FJ128GP310 100 TQFP 128 16384 — 10/12bit 2xUART/2xSPI/1xI2C DSPIC33FJ128GP310-I/PT 133-2037●
33FJ128GP710 100 TQFP 128 16384 — 10/12bit 2xUART/2xSPI/I2C/2xECAN DSPIC33FJ128GP710-I/PT 133-2038●
33FJ128GP802 28 QFN-S 128 16384 — 10/12bit 2xUART/2xSPI/1xI2C DSPIC33FJ128GP802-I/MM 157-6798● 1
33FJ128GP802 28 SOIC 128 16384 — 10/12bit 2xUART/2xSPI/1xI2C DSPIC33FJ128GP802-I/SO 157-6799●
33FJ128GP802 28 PDIP 128 16384 — 10/12bit 2xUART/2xSPI/1xI2C DSPIC33FJ128GP802-I/SP 157-6801●
33FJ128GP804 44 QFN 128 16384 — 10/12bit 2xUART/2xSPI/1xI2C DSPIC33FJ128GP804-I/ML 157-6802●
486032
123
124 farnell.com element14.com
With 16 MIPS performance and an extensive peripheral set, the PIC24F MCUs are a highly cost effective solution for all but the most demanding 16 bit applica-
tions. The Pic24F also offers an easy migration path for design engineers whose applications have outgrown the performance offered by 8-bit MCUs.
486033
124
farnell.com element14.com 125
Semiconductors
486034
125
126 farnell.com element14.com
These Mature Products are NOT recommended for new designs. (Microchip do not have any current plans to make these parts obsolete)
For new designs please consult the previous section of this catalogue, where the latest, more cost effective PICmicro姞 are featured.
To compliment this portfolio, we also offer a comprehensive range of development tools from Microchip and 3rd party vendors.
241307/410955
Price Each
Pins Pkg. Description Mftrs. List No. Order Code 1+ 10+ 100+
PIC12C50x
12C508 8 DIP 8-Bit CMOS EPROM MCU, 512b OTP PROM, 25 bytes RAM, 6 I/O lines - 4MHz (IND TEMP).. . . . . . . . . . . PIC12C508-04I/P 119-6841●
12C508 8 SOIC 8-Bit CMOS EPROM MCU, 512b OTP PROM, 25 bytes RAM, 6 I/O lines - 4MHz (IND TEMP).. . . . . . . . . . . PIC12C508-04I/SM 119-6846●
12C508 8 DIP 8-Bit CMOS EPROM MCU, 512b OTP PROM, 25 bytes RAM, 6 I/O lines - 4MHz . . . . . . . . . . . . . . . . . . . . PIC12C508-04/P 975-8763●
12C508A 8 DIP 8-Bit CMOS EPROM MCU, 512b OTP PROM, 25 bytes RAM, 6 I/O lines - 4MHz . . . . . . . . . . . . . . . . . . . . PIC12C508A-04/P 975-8771●
12C508A 8 SOIC 8-Bit CMOS EPROM MCU, 512b OTP PROM, 25 bytes RAM, 6 I/O lines - 4MHz . . . . . . . . . . . . . . . . . . . . PIC12C508A-04/SM 975-8780●
12C508A 8 SOIC 8-Bit CMOS EPROM MCU, 512b OTP PROM, 25 bytes RAM, 6 I/O lines - 4MHz . . . . . . . . . . . . . . . . . . . . PIC12C508A-04/SN 975-8798●
12C508A 8 SOIC 8-Bit CMOS EPROM MCU, 512b OTP PROM, 25 bytes RAM, 6 I/O lines - 4MHz (IND TEMP). . . . . . . . . . . PIC12C508A-04I/SN 975-8801●
12C508A 8 SOIC 8-Pin, 8-Bit CMOS Microcontrollers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC12C508A-04I/SM 113-7301●
12C508A 8 DIP 8-Bit CMOS EPROM MCU, 512b OTP PROM, 25 bytes RAM, 6 I/O lines - 4MHz (IND TEMP).. . . . . . . . . . . PIC12C508A-04I/P 119-6774●
12LC508A 8 SOIC 8-Bit CMOS EPROM MCU, 512b OTP PROM, 25 bytes RAM, 6 I/O lines - 4MHz (IND TEMP, Low Power). . PIC12LC508A-04I/SM 975-9050●
12C509 8 DIP 8-Bit CMOS EPROM MCU . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC12C509-04/P 975-8810●
12C509A 8 DIP 8-Bit CMOS EPROM MCU, 1Kb EPROM, 41 bytes RAM, 6 I/O lines . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC12C509A/JW 140-284●
12C509A 8 DIP 8-Bit CMOS EPROM MCU, 1Kb OTP PROM, 41 bytes RAM, 6 I/O lines - 4MHz . . . . . . . . . . . . . . . . . . . . . PIC12C509A-04/P 975-8828●
12C509A 8 SOIC 8-Bit CMOS EPROM MCU, 1Kb OTP PROM, 41 bytes RAM, 6 I/O lines - 4MHz . . . . . . . . . . . . . . . . . . . . . PIC12C509A-04/SM 975-8836●
12C509A 8 DIP 8-Bit CMOS EPROM MCU, 1Kb OTP PROM, 41 bytes RAM, 6 I/O lines - 4MHz (IND TEMP).. . . . . . . . . . . . PIC12C509A-04I/P 108-4235●
12C509A 8 SOIC 8-Bit CMOS EPROM MCU, 1Kb OTP PROM, 41 bytes RAM, 6 I/O lines - 4MHz (IND TEMP). . . . . . . . . . . . PIC12C509A-04I/SN 108-4259●
12C509A 8 SOIC 8-Bit CMOS EPROM MCU, 1Kb OTP PROM, 41 bytes RAM, 6 I/O lines - 4MHz . . . . . . . . . . . . . . . . . . . . . PIC12C509A-04/SN 975-8844●
PIC12CE51x
12CE518 8 DIP 8-Bit CMOS MCU, 512b OTP PROM, 16 bytes E², 25 bytes RAM, 6 I/O lines - 4MHz . . . . . . . . . . . . . . . . . PIC12CE518-04/P 975-8917●
12CE518 8 DIP 8-Bit CMOS MCU, 512b OTP PROM, 16 bytes E², 25 bytes RAM, 6 I/O lines - 4MHz (IND TEMP). . . . . . . . PIC12CE518-04I/P 108-4236●
12CE518 8 SOIC 8-Bit CMOS MCU, 512b OTP PROM, 16 bytes E², 25 bytes RAM, 6 I/O lines - 4MHz (IND TEMP). . . . . . . . PIC12CE518-04I/SM 108-4237●
12CE519 8 DIP 8-Bit CMOS MCU, 1Kb EPROM, 16 bytes E², 41 bytes RAM, 6 I/O lines - 4MHz. . . . . . . . . . . . . . . . . . . . . PIC12CE519-04/P 975-8925●
12CE519 8 SOIC 8-Bit CMOS MCU, 1Kb EPROM, 16 bytes E², 41 bytes RAM, 6 I/O lines - 4MHz. . . . . . . . . . . . . . . . . . . . . PIC12CE519-04/SM 975-8933●
12CE519 8 SOIC 8-Bit CMOS MCU, 1Kb EPROM, 16 bytes E², 41 bytes RAM, 6 I/O lines - 4MHz (IND TEMP).. . . . . . . . . . . PIC12CE519-04I/SN 975-8950●
12CE519 8 DIP 8-Bit CMOS MCU, 1Kb EPROM, 16 bytes E², 41 bytes RAM, 6 I/O lines - 4MHz (IND TEMP).. . . . . . . . . . . PIC12CE519-04I/P 975-8941●
12CE519 8 SOIC 8-Bit CMOS MCU, 1Kb EPROM, 16 bytes E², 41 bytes RAM, 6 I/O lines - 4MHz (IND TEMP).. . . . . . . . . . . PIC12CE519-04I/SM 119-6775●
126
farnell.com element14.com 127
Price Each
Pins Pkg. Description Mftrs. List No. Order Code 1+ 10+ 100+
PIC12C67x
12C671 8 DIP 8-Bit CMOS MCU With ADC, 1Kb OTP PROM, 128 bytes RAM, 6 I/O lines - 4MHz . . . . . . . . . . . . . . . . . . . PIC12C671-04/P 975-8860●
12C671 8 DIP 8-Bit CMOS MCU With ADC, 1Kb OTP PROM, 128 bytes RAM, 6 I/O lines - 4MHz (IND TEMP).. . . . . . . . . PIC12C671-04I/P 975-8879●
12C671 8 SOIC 8-Bit CMOS MCU With ADC, 1Kb OTP PROM, 128 bytes RAM, 6 I/O lines - 4MHz (IND TEMP).. . . . . . . . . PIC12C671-04I/SM 108-4222●
12C671 8 SOIC 8-Pin, 8-Bit CMOS Microcontroller With A/D Converter. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC12C671-04/SM 113-7292●
12C671 8 SOIC 8-Bit CMOS EPROM MCU, 1Kb OTP PROM, 128 bytes RAM, 6 I/O lines - 10MHz (IND TEMP).. . . . . . . . . . PIC12C671-10I/SM 119-6776●
12C671 8 DIP 8-Bit CMOS EPROM MCU, 1Kb OTP PROM, 128 bytes RAM, 6 I/O lines - 10MHz (IND TEMP).. . . . . . . . . . PIC12C671-10I/P 108-4238●
12C671 8 DIP 8-Bit CMOS EPROM MCU, 1Kb OTP PROM, 128 bytes RAM, 6 I/O lines - 10MHz . . . . . . . . . . . . . . . . . . . PIC12C671-10/P 121-2665●
12C672 8 DIP 8-Bit CMOS MCU With ADC, 2Kb OTP PROM, 128 bytes RAM, 6 I/O lines - 4MHz . . . . . . . . . . . . . . . . . . . PIC12C672-04/P 975-8887●
12C672 8 SOIC 8-Bit CMOS MCU With ADC, 2Kb OTP PROM, 128 bytes RAM, 6 I/O lines - 4MHz (IND TEMP).. . . . . . . . . PIC12C672-04I/SM 975-8895●
12C672 8 DIP 8-Bit CMOS EPROM MCU, 2Kb OTP PROM, 128 bytes RAM, 6 I/O lines - 10MHz (IND TEMP).. . . . . . . . . . PIC12C672-10I/P 108-4241●
12C672 8 SOIC 8-Pin, 8-Bit CMOS Microcontroller With A/D Converter. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC12C672-04/SM 113-7297●
12C672 8 DIP 8-Pin, 8-Bit CMOS Microcontroller With A/D Converter. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC12C672-04I/P 113-7298●
12LC672 8 SOIC 8-Bit CMOS Microcontroller With A/D Converter and EEPROM Data Memory . . . . . . . . . . . . . . . . . . . . . . . PIC12LC672-04I/SM 113-7341●
PIC12CE6xx
12CE673 8 DIP 8-Bit CMOS EPROM MCU, 1Kb EEPROM, 128 bytes RAM, 6 I/O lines - 4MHz . . . . . . . . . . . . . . . . . . . . . . PIC12CE673-04/P 975-8968●
12CE673 8 DIP 8-Bit CMOS EPROM MCU, 1Kb EEPROM, 128 bytes RAM, 6 I/O lines - 4MHz (IND TEMP)... . . . . . . . . . . . PIC12CE673-04I/P 108-4242●
12CE674 8 DIP 8-Bit CMOS EPROM MCU, 2Kb EEPROM, 128 bytes RAM, 6 I/O lines - 4MHz . . . . . . . . . . . . . . . . . . . . . . PIC12CE674-04/P 975-8976●
12CE674 8 DIP 8-Bit CMOS EPROM MCU, 2Kb EEPROM, 128 bytes RAM, 6 I/O lines - 4MHz (IND TEMP). . . . . . . . . . . . . PIC12CE674-04I/P 975-8984●
PIC14000
14000 28 SDIL 8-Bit CMOS EPROM MCU, 4K OTP PROM, 192 bytes RAM, 20 I/O lines - 4MHz . . . . . . . . . . . . . . . . . . . . PIC14000-04/SP 975-9069●
PIC16C54
16C54 18 DIP 8-Bit CMOS MCU, 512b OTP PROM, 25b RAM, 12 I/O lines - 20MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16C54-HS/P 975-9158●
16C54 18 DIP 8-Bit CMOS MCU, 512b OTP PROM, 25b RAM, 12 I/O lines (Low Power) . . . . . . . . . . . . . . . . . . . . . . . . . PIC16C54-LP/P 121-2666●
Semiconductors
16C54 18 SOIC 8-Bit CMOS MCU, 512b OTP PROM, 25b RAM, 12 I/O lines (Low Power) . . . . . . . . . . . . . . . . . . . . . . . . . PIC16C54-LP/SO 120-0436●
16C54 18 DIP 8-Bit CMOS MCU, 512b OTP PROM, 25b RAM, 12 I/O lines - 4MHz (RC OSC.) . . . . . . . . . . . . . . . . . . . . . PIC16C54-RC/P 975-9166●
16C54 18 DIP 8-Bit CMOS MCU, 512b OTP PROM, 25b RAM, 12 I/O lines - 4MHz (IND TEMP) . . . . . . . . . . . . . . . . . . . . PIC16C54-XTI/P 975-9190●
16C54 18 DIP 8-Bit CMOS MCU, 512b OTP PROM, 25b RAM, 12 I/O lines - 4MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16C54-XT/P 975-9174●
16C54 18 SOIC 8-Bit CMOS MCU, 512b OTP PROM, 25b RAM, 12 I/O lines - 4MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16C54-XT/SO 975-9182●
16C54A 18 DIP 8-Bit CMOS MCU, 512b OTP PROM, 25b RAM, 12 I/O lines - 4MHz (IND TEMP) . . . . . . . . . . . . . . . . . . . . PIC16C54A-04I/P 119-6847●
16C54A 18 DIP 8-Bit CMOS MCU, 512b OTP PROM, 25b RAM, 12 I/O lines - 4MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16C54A-04/P 975-9115●
16C54A 18 SOIC 8-Bit CMOS MCU, 512b OTP PROM, 25b RAM, 12 I/O lines - 4MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16C54A-04/SO 108-4342●
16C54A 18 DIP 8-Bit CMOS MCU, 512b OTP PROM, 25b RAM, 12 I/O lines - 20MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16C54A-20/P 975-9123●
16C54C 18 DIP 8-Bit CMOS MCU, 512b OTP PROM, 25b RAM, 12 I/O lines - 4MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16C54C-04/P 975-9131●
16C54 18 DIP 8-Bit CMOS MCU, 512b OTP PROM, 25b RAM, 12 I/O lines - 20MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16C54C-20/P 975-9140●
16C54C 18 SOIC 8-Bit CMOS MCU, 512b OTP PROM, 25b RAM, 12 I/O lines - 4MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16C54C-04/SO 108-4258●
16C54C 18 DIP 8-Bit CMOS MCU, 512b OTP PROM, 25b RAM, 12 I/O lines - 4MHz (IND TEMP) . . . . . . . . . . . . . . . . . . . . PIC16C54C-04I/P 108-4285● 1
16C54C 18 SOIC 8-Bit CMOS MCU, 512b OTP PROM, 25b RAM, 12 I/O lines - 4MHz (IND TEMP) . . . . . . . . . . . . . . . . . . . . PIC16C54C-04I/SO 108-4286●
16C54C 18 SOIC PROM-Based 8-Bit CMOS Microcontroller . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16C54C-20I/SO 113-7309●
16C54C 18 DIP 8-Bit CMOS MCU, 512b OTP PROM, 25b RAM, 12 I/O lines - 20MHz (IND TEMP) . . . . . . . . . . . . . . . . . . . PIC16C54C-20I/P 121-2681●
127
128 farnell.com element14.com
16C63A 28 SDIL 8-Bit CMOS MCU, 4K OTP PROM, 192 bytes RAM - 4MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16C63A-04/SP 108-4289●
16C63A 28 SDIP 8 Bit MCU,7K OTP,192 RAM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16C63A-20/SP 129-2256●
16C63A 28 SOIC 8-Bit CMOS Microcontrollers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16C63A-20I/SO 113-7312●
16C63A 28 SDIL 8-Bit CMOS EPROM MCU, 4K OTP PROM, 192 bytes RAM - 20MHz (IND TEMP) . . . . . . . . . . . . . . . . . . . PIC16C63A-20I/SP 975-9638●
PIC16C64
17C44 40 DIP 8-Bit CMOS RISC MCU (High Performance), 8K OTP PROM - 25MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC17C44-25/P 119-6845●
16C64A 40 DIP 8-Bit CMOS EPROM MCU, 2K OTP PROM, 128 bytes RAM - 4MHz (IND TEMP) . . . . . . . . . . . . . . . . . . . . PIC16C64A-04I/P 119-6769●
16C64A 44 PLCC 8-Bit CMOS EPROM MCU, 2K OTP PROM, 128 bytes RAM - 4MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16C64A-04/L 975-9646●
16C64A 40 DIP 8-Bit CMOS EPROM MCU, 2K OTP PROM, 128 bytes RAM - 4MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16C64A-04/P 975-9654●
16C64A 40 DIP 8-Bit CMOS EPROM MCU, 2K OTP PROM, 128 bytes RAM - 10MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16C64A-10/P 108-4225●
16C64A 40 DIP 8-Bit CMOS EPROM MCU, 2K OTP PROM, 128 bytes RAM - 20MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16C64A-20/P 108-4226●
PIC16C65
16C65A 44 PLCC 8-Bit CMOS EPROM MCU, 4K OTP PROM, 192 bytes RAM - 4MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16C65A-04/L 975-9662●
1 16C65A 40 DIP 8-Bit CMOS EPROM MCU, 4K OTP PROM, 192 bytes RAM - 4MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16C65A-04/P 975-9670●
16C65B 40 DIP 8-Bit CMOS EPROM MCU, 4K OTP PROM, 192 bytes RAM - 4MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16C65B-04/P 975-9697●
16C65B 40 DIP 8-Bit CMOS EPROM MCU, 4K OTP PROM, 192 bytes RAM - 20MHz (IND TEMP) . . . . . . . . . . . . . . . . . . . PIC16C65B-20I/P 975-9719●
Integrated Circuits & Development Kits
16C65B 44 PLCC 8-Bit CMOS EPROM MCU, 4K OTP PROM, 192 bytes RAM - 20MHz (IND TEMP) . . . . . . . . . . . . . . . . . . . PIC16C65B-20I/L 975-9700●
16C65B 44 PLCC 8-Bit CMOS EPROM MCU, 4K OTP PROM, 192 bytes RAM - 4MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16C65B-04/L 975-9689●
PIC16C66
16C66 28 SDIL 8-Bit CMOS EPROM MCU, 8K OTP PROM, 368 bytes RAM - 4MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16C66-04/SP 119-6833●
16C66 28 SOIC 8-Bit CMOS EPROM MCU, 8K OTP PROM, 368 bytes RAM - 4MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16C66-04/SO 119-6834●
16C66 28 SDIL 8-Bit CMOS EPROM MCU, 8K OTP PROM, 368 bytes RAM - 10MHz (IND TEMP) . . . . . . . . . . . . . . . . . . . PIC16C66-10I/SP 119-6853●
16C66 28 SOIC 8-Bit CMOS EPROM MCU, 8K OTP PROM, 368 bytes RAM - 10MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16C66-10/SO 975-9727●
16C66 28 SDIL 8-Bit CMOS EPROM MCU, 8K OTP PROM, 368 bytes RAM - 20MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16C66-20/SP 975-9735●
16C66 28 SDIL 8-Bit CMOS Microcontroller . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16C66-20I/SP 113-7350●
PIC16C67
16C67 44 PLCC 8-Bit CMOS EPROM MCU, 8K OTP PROM, 368 bytes RAM - 4MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16C67-04/L 975-9743●
16C67 44 PLCC 8-Bit CMOS EPROM MCU, 8K OTP PROM, 368 bytes RAM - 20MHz (PLCC Package) . . . . . . . . . . . . . . . . PIC16C67-20/L 975-9760●
PIC16C62X
16C620 18 DIP 8-Bit CMOS EPROM MCU, 512b OTP PROM, 80 bytes RAM - 4MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16C620-04/P 119-6828●
16C620A 18 DIP 8-Bit CMOS EPROM MCU, 512b OTP PROM, 96 bytes RAM, 13 I/O lines - 4MHz . . . . . . . . . . . . . . . . . . . PIC16C620A-04/P 108-4233●
16C620A 18 SOIC 8-Bit CMOS EPROM MCU, 512b OTP PROM, 96 bytes RAM, 13 I/O lines - 4MHz . . . . . . . . . . . . . . . . . . . PIC16C620A-04/SO 975-9441●
16C620A 18 DIP 8-Bit CMOS EPROM MCU, 512b OTP PROM, 96 bytes RAM, 13 I/O lines - 4MHz (IND TEMP).. . . . . . . . . . PIC16C620A-04I/P 975-9450●
16C620A 18 SOIC 8-Bit CMOS EPROM MCU, 512b OTP PROM, 96 bytes RAM, 13 I/O lines - 4MHz (IND TEMP).. . . . . . . . . . PIC16C620A-04I/SO 975-9468●
16C620A 18 DIP 8-Bit CMOS EPROM MCU, 512b OTP PROM, 96 bytes RAM, 13 I/O lines - 20MHz . . . . . . . . . . . . . . . . . . PIC16C620A-20/P 108-4234●
16C621 18 DIP 8-Bit CMOS EPROM MCU, 1K OTP PROM, 80 bytes RAM - 4MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16C621-04/P 119-6829●
16C621A 18 DIP 8-Bit CMOS EPROM MCU, 1K OTP PROM, 96 bytes RAM, 13 I/O lines - 4MHz . . . . . . . . . . . . . . . . . . . . . PIC16C621A-04/P 975-9476●
16C621A 18 DIP 8-Bit CMOS EPROM MCU, 1K OTP PROM, 96 bytes RAM, 13 I/O lines - 4MHz (IND TEMP)... . . . . . . . . . . PIC16C621A-04I/P 975-9484●
16C621A 18 SOIC 8-Bit CMOS EPROM MCU, 1K OTP PROM, 96 bytes RAM, 13 I/O lines - 4MHz (IND TEMP)... . . . . . . . . . . PIC16C621A-04I/SO 975-9492●
16C621A 18 DIP 8-Bit CMOS EPROM MCU, 1K OTP PROM, 96 bytes RAM, 13 I/O lines - 20MHz . . . . . . . . . . . . . . . . . . . . PIC16C621A-20/P 975-9506●
16C622A 18 DIP 8-Bit CMOS EPROM MCU, 2K OTP PROM, 128 bytes RAM, 13 I/O lines - 4MHz . . . . . . . . . . . . . . . . . . . . PIC16C622A-04/P 975-9514●
16C622A 18 SOIC 8-Bit CMOS EPROM MCU, 2K OTP PROM, 128 bytes RAM, 13 I/O lines - 4MHz . . . . . . . . . . . . . . . . . . . . PIC16C622A-04/SO 975-9522●
16C622A 18 DIP 8-Bit CMOS EPROM MCU, 2K OTP PROM, 128 bytes RAM, 13 I/O lines - 20MHz . . . . . . . . . . . . . . . . . . . PIC16C622A-20/P 975-9549●
PIC16F62X
16LF628 18 DIP 8-Bit CMOS EPROM MCU, 2K Flash, 224 bytes RAM - 4MHz (IND TEMP, Low Power). . . . . . . . . . . . . . . . PIC16LF628-04I/P 976-1594●
16LF628 18 SOIC 8-Bit CMOS EPROM MCU, 2K Flash, 224 bytes RAM - 4MHz (IND TEMP, Low Power). . . . . . . . . . . . . . . . PIC16LF628-04I/SO 976-1608●
16LF628 20 SSOP 8-Bit CMOS EPROM MCU, 2K Flash, 224 bytes RAM - 4MHz (IND TEMP, Low Power) . . . . . . . . . . . . . . . PIC16LF628-04I/SS 976-1624●
PIC16CE62X
16CE624 18 DIP 8-Bit CMOS MCU, 1K OTP PROM/96 bytes RAM, EEPROM - 4MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16CE624-04/P 976-0253●
16CE625 18 DIP 8-Bit CMOS MCU, 2K OTP PROM/128 EEPROM - 4MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16CE625-04/P 976-0261●
16F627 18 SOIC 8-Bit CMOS EPROM MCU, 1K Flash, 224 bytes RAM - 4MHz. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16F627-04/SO 108-4297●
16F627 18 DIP 8-Bit CMOS EPROM MCU, 1K Flash, 224 bytes RAM - 4MHz (IND TEMP) . . . . . . . . . . . . . . . . . . . . . . . . . PIC16F627-04I/P 108-4298●
16F627 18 DIP 8-Bit CMOS EPROM MCU, 1K Flash, 224 bytes RAM - 4MHz. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16F627-04/P 976-0288●
16F627 18 SOIC 8-Bit CMOS EPROM MCU, 1K Flash, 224 bytes RAM - 4MHz (IND TEMP) . . . . . . . . . . . . . . . . . . . . . . . . . PIC16F627-04I/SO 976-0296●
16F627 20 SSOP 8-Bit CMOS EPROM MCU, 1K Flash, 224 bytes RAM - 4MHz (IND TEMP) . . . . . . . . . . . . . . . . . . . . . . . . . PIC16F627-04I/SS 976-0300●
16F627 18 DIP 8-Bit CMOS EPROM MCU, 1K Flash, 224 bytes RAM - 20MHz (IND TEMP) . . . . . . . . . . . . . . . . . . . . . . . . PIC16F627-20I/P 976-0318●
16F627 18 SOIC 8-Bit CMOS EPROM MCU, 1K Flash, 224 bytes RAM - 20MHz (IND TEMP) . . . . . . . . . . . . . . . . . . . . . . . . PIC16F627-20I/SO 108-4265●
16F628 18 DIP 8-Bit CMOS EPROM MCU, 2K Flash, 224 bytes RAM - 4MHz. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16F628-04/P 976-0369●
16F628 18 SOIC 8-Bit CMOS EPROM MCU, 2K Flash, 224 bytes RAM - 4MHz. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16F628-04/SO 976-0377●
128
farnell.com element14.com 129
Price Each
Pins Pkg. Description Mftrs. List No. Order Code 1+ 10+ 100+
PIC16CE62X
16F628 18 DIP 8-Bit CMOS EPROM MCU, 2K Flash, 224 bytes RAM - 4MHz (IND TEMP) . . . . . . . . . . . . . . . . . . . . . . . . . PIC16F628-04I/P 976-0385●
16F628 16 DIP 8-Bit CMOS EPROM MCU, 2K Flash, 224 bytes RAM - 20MHz. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16F628-20/P 976-0393●
16F628 18 DIP 8-Bit CMOS EPROM MCU, 2K Flash, 224 bytes RAM - 20MHz (IND TEMP) . . . . . . . . . . . . . . . . . . . . . . . . PIC16F628-20I/P 976-0407●
16F628 18 SOIC 8-Bit CMOS EPROM MCU, 2K Flash, 224 bytes RAM - 20MHz (IND TEMP) . . . . . . . . . . . . . . . . . . . . . . . . PIC16F628-20I/SO 976-0415●
16F628 20 SSOP 8-Bit CMOS EEPROM MCU, 2K Flash, 224 bytes RAM - 20MHz (IND TEMP) . . . . . . . . . . . . . . . . . . . . . . . PIC16F628-20I/SS 108-4266●
PIC16C71
16C71 18 DIP 8-Bit CMOS EPROM MCU With ADC, 1K OTP PROM - 4MHz (IND TEMP) . . . . . . . . . . . . . . . . . . . . . . . . . PIC16C71-04I/P 975-9786●
16C71 18 DIP 8-Bit CMOS EPROM MCU With ADC, 1K OTP PROM - 4MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16C71-04/P 975-9778●
16C71 18 DIP 8-Bit CMOS EPROM MCU With ADC, 1K OTP PROM - 20MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16C71-20/P 119-6825●
16C71 18 DIP 8-Bit CMOS EPROM MCU With ADC, 1K OTP PROM - 20MHz (IND TEMP) . . . . . . . . . . . . . . . . . . . . . . . . PIC16C71-20I/P 108-4346●
16C71 18 SOIC 8-Bit CMOS EPROM MCU With ADC, 1K OTP PROM - 20MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16C71-20/SO 975-9840●
16LC71 18 DIP 8-Bit CMOS EPROM MCU With ADC, 1K OTP PROM - 4MHz (Low Power). . . . . . . . . . . . . . . . . . . . . . . . . PIC16LC71-04/P 976-1551●
PIC16C72
16C72 28 SDIL 8-Bit CMOS EPROM MCU, 2K OTP PROM, 128 bytes RAM - 4MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16C72-04/SP 119-6858●
16C72A 28 SDIL 8-Bit CMOS MCU, 2K OTP PROM, 128 bytes RAM, 22 I/O lines - 20MHz. . . . . . . . . . . . . . . . . . . . . . . . . . PIC16C72A-20/SP 975-9913●
16C72A 28 SDIL 8-Bit CMOS EPROM MCU, 2K OTP PROM, 128 bytes RAM - 20MHz (IND TEMP) . . . . . . . . . . . . . . . . . . . PIC16C72A-20I/SP 975-9921●
16C72A 28 SDIL 8-Bit CMOS Microcontroller . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16C72A-04/SP 113-7317●
PIC16C73
16C73A 28 SDIL 8-Bit CMOS EPROM MCU With ADC, 4K OTP PROM, 192 bytes RAM - 4MHz (IND TEMP). . . . . . . . . . . . . PIC16C73A-04I/SP 119-6850●
16C73A 28 SOIC 8-Bit CMOS EPROM MCU With ADC, 4K OTP PROM, 192 bytes RAM - 4MHz . . . . . . . . . . . . . . . . . . . . . . PIC16C73A-04/SO 975-9930●
16C73A 28 SDIL 8-Bit CMOS EPROM MCU With ADC, 4K OTP PROM, 192 bytes RAM - 4MHz . . . . . . . . . . . . . . . . . . . . . . PIC16C73A-04/SP 975-9948●
16C73A 28 SDIL 8-Bit CMOS EPROM MCU With ADC, 4K OTP PROM, 192 bytes RAM - 10MHz . . . . . . . . . . . . . . . . . . . . . PIC16C73A-10/SP 975-9956●
16C73A 28 SOIC 8-Bit CMOS EPROM MCU With ADC, 4K OTP PROM, 192 bytes RAM - 20MHz . . . . . . . . . . . . . . . . . . . . . PIC16C73A-20/SO 975-9964●
Semiconductors
16C73A 28 SDIL 8-Bit CMOS EPROM MCU With ADC, 4K OTP PROM, 192 bytes RAM - 20MHz . . . . . . . . . . . . . . . . . . . . . PIC16C73A-20/SP 975-9972●
16C73B 28 SOIC 8-Bit CMOS MCU, 4K OTP PROM, 192 bytes RAM, 22 I/O lines - 4MHz (IND TEMP) . . . . . . . . . . . . . . . . . PIC16C73B-04I/SO 108-4279●
16C73B 28 SDIL 8-Bit CMOS MCU, 4K OTP PROM, 192 bytes RAM, 22 I/O lines - 4MHz (IND TEMP) . . . . . . . . . . . . . . . . . PIC16C73B-04I/SP 975-9980●
16C73B 28 SOIC 8-Bit CMOS MCU, 4K OTP PROM, 192 bytes RAM, 22 I/O lines - 20MHz. . . . . . . . . . . . . . . . . . . . . . . . . . PIC16C73B-20/SO 975-9999●
16C73B 28 SDIL 8-Bit CMOS MCU, 4K OTP PROM, 192 bytes RAM, 22 I/O lines - 20MHz. . . . . . . . . . . . . . . . . . . . . . . . . . PIC16C73B-20/SP 108-4278●
16C73B 28 SDIL 8-Bit CMOS EPROM MCU With ADC, 4K OTP PROM, 192 bytes RAM - 20MHz (IND TEMP). . . . . . . . . . . . PIC16C73B-20I/SP 976-0008●
16C73B 28 SOIC 8-Bit CMOS EPROM MCU With ADC, 4K OTP PROM, 192 bytes RAM - 20MHz (IND TEMP). . . . . . . . . . . . PIC16C73B-20I/SO 108-4262●
16C73B 28 SDIL 8-Bit CMOS MCU, 4K OTP PROM, 192 bytes RAM, 22 I/O lines - 4MHz. . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16C73B-04/SP 108-4277●
16C73B 28 SSOP 8-Bit CMOS Microcontrollers With A/D Converter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16C73B-20I/SS 113-7313●
16C73B 28 SOIC 8-Bit CMOS Microcontroller With A/D Converter. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16C73B-04/SO 113-7318●
PIC16C74
16C74A 40 DIP 8-Bit CMOS EPROM MCU, 4K OTP PROM, 192 bytes RAM - 4MHz (IND TEMP) . . . . . . . . . . . . . . . . . . . . PIC16C74A-04I/P 976-0040●
16C74A 44 PLCC 8-Bit CMOS EPROM MCU, 4K OTP PROM, 192 bytes RAM - 4MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16C74A-04/L 119-6838● 1
16C74A 40 DIP 8-Bit CMOS EPROM MCU, 4K OTP PROM, 192 bytes RAM - 4MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16C74A-04/P 108-4347●
16C74A 44 PLCC 8-Bit CMOS EPROM MCU, 4K OTP PROM, 192 bytes RAM - 10MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16C74A-10/L 121-2477●
16C74A 40 DIP 8-Bit CMOS EPROM MCU, 4K OTP PROM, 192 bytes RAM - 10MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16C74A-10/P 976-0059●
129
130 farnell.com element14.com
16C774 40 DIP 8-Bit CMOS EPROM MCU With ADC, 4K EPROM, 256 bytes RAM, 33 I/O lines - (IND TEMP). . . . . . . . . . . PIC16C774-I/P 976-0229●
PIC16C78x
782 20 DIP 8-Bit CMOS Microcontroller . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16C782-I/P 113-7342●
PIC16F8X
16F83 18 DIP 8-Bit CMOS EEPROM MCU, 512b Flash, 36 bytes RAM - 4MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16F83-04/P 108-4354●
16F84 18 DIP 8-Bit CMOS EEPROM MCU, 1K Flash, 68 bytes RAM - 4MHz. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16F84-04/P 976-0814●
16F84 18 SOIC 8-Bit CMOS EEPROM MCU, 1K Flash, 68 bytes RAM - 4MHz. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16F84-04/SO 976-0822●
16F84 18 DIP 8-Bit CMOS EEPROM MCU, 1K Flash, 68 bytes - 10MHz (IND TEMP) . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16F84-10I/P 976-0857●
16F84 18 DIP 8-Bit CMOS EEPROM MCU . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16F84-10/P 976-0830●
16F84 18 SOIC 8-Bit CMOS EEPROM MCU, 1K Flash, 68 bytes RAM - 10MHz. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16F84-10/SO 976-0849●
16LF84 18 DIP 8-Bit CMOS EEPROM MCU, 1K Flash, 68 bytes RAM - 4MHz (Low Power, IND TEMP). . . . . . . . . . . . . . . . PIC16LF84-04I/P 108-4228●
16LF84 18 SOIC 8-Bit CMOS EEPROM MCU, 1K Flash, 68 bytes RAM - 4MHz (Low Power, IND TEMP). . . . . . . . . . . . . . . . PIC16LF84-04I/SO 976-1675●
16LF84 18 DIP 8-Bit CMOS EEPROM MCU, 1K Flash, 68 bytes RAM - 4MHz (Low Power) . . . . . . . . . . . . . . . . . . . . . . . . PIC16LF84-04/P 976-1667●
1 PIC16F873
16F873 28 SOIC 8-Bit CMOS MCU, 4K Flash, 192 bytes RAM - 4MHz (IND TEMP) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16F873-04I/SO 108-4283●
16F873 28 SDIL 8-Bit CMOS MCU, 4K Flash, 192 bytes RAM - 4MHz (IND TEMP) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16F873-04I/SP 108-4282●
Integrated Circuits & Development Kits
16F873 28 SOIC 8-Bit CMOS MCU, 4K Flash, 192 bytes RAM - 4MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16F873-04/SO 976-1020●
16F873 28 SDIL 8-Bit CMOS MCU, 4K Flash, 192 bytes RAM - 4MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16F873-04/SP 976-1039●
16F873 28 SOIC 8-Bit CMOS MCU, 4K Flash, 192 bytes RAM - 20MHz (IND TEMP) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16F873-20I/SO 976-1063●
16F873 28 SDIL 8-Bit CMOS MCU, 4K Flash, 192 bytes RAM - 20MHz (IND TEMP) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16F873-20I/SP 976-1071●
16F873 28 SOIC 8-Bit CMOS MCU, 4K Flash, 192 bytes RAM - 20MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16F873-20/SO 976-1047●
16F873 28 SDIL 8-Bit CMOS MCU, 4K Flash, 192 bytes RAM - 20MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16F873-20/SP 976-1055●
PIC16F874
16F874 40 DIP 8-Bit CMOS MCU, 4K Flash, 192 bytes RAM - 4MHz (IND TEMP) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16F874-04I/P 119-6797●
16F874 44 PLCC 8-Bit CMOS MCU, 4K Flash, 192 bytes RAM - 4MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16F874-04/L 976-1110●
16F874 40 DIP 8-Bit CMOS MCU, 4K Flash, 192 bytes RAM - 4MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16F874-04/P 976-1128●
16F874 44 MQFP 8-Bit CMOS MCU, 4K Flash, 192 bytes RAM - 4MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16F874-04/PQ 108-4251●
16F874 44 TQFP 8-Bit CMOS MCU, 4K Flash, 192 bytes RAM - 4MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16F874-04/PT 976-1136●
16F874 44 PLCC 8-Bit CMOS MCU, 4K Flash, 192 bytes RAM - 20MHz (IND TEMP) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16F874-20I/L 976-1160●
16F874 40 DIP 8-Bit CMOS MCU, 4K Flash, 192 bytes RAM - 20MHz (IND TEMP) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16F874-20I/P 976-1179●
16F874 44 PQFP 8-Bit CMOS MCU, 4K Flash, 192 bytes RAM - 20MHz (IND TEMP) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16F874-20I/PQ 976-1187●
16F874 44 TQFP 8-Bit CMOS MCU, 4K Flash, 192 bytes RAM - 20MHz (IND TEMP) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16F874-20I/PT 119-6788●
16F874 44 PLCC 8-Bit CMOS MCU, 4K Flash, 192 bytes RAM - 20MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16F874-20/L 976-1144●
16F874 40 DIP 8-Bit CMOS MCU, 4K Flash, 192 bytes RAM - 20MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16F874-20/P 976-1152●
PIC16F876
16F876 28 SOIC 8-Bit CMOS MCU, 8K Flash, 368 bytes RAM - 4MHz (IND TEMP) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16F876-04I/SO 976-1241●
16F876 28 SDIL 8-Bit CMOS MCU, 8K Flash, 368 bytes RAM - 4MHz (IND TEMP) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16F876-04I/SP 976-1250●
16F876 28 SOIC 8-Bit CMOS MCU, 8K Flash, 368 bytes RAM - 4MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16F876-04/SO 976-1225●
16F876 28 SDIL 8-Bit CMOS MCU, 8K Flash, 368 bytes RAM - 4MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16F876-04/SP 976-1233●
16F876 28 SOIC 8-Bit CMOS MCU, 8K Flash, 368 bytes RAM - 20MHz (IND TEMP) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16F876-20I/SO 976-1284●
16F876 28 SDIL 8-Bit CMOS MCU, 8K Flash, 368 bytes RAM - 20MHz (IND TEMP) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16F876-20I/SP 976-1292●
16F876 28 SOIC 8-Bit CMOS MCU, 8K Flash, 368 bytes RAM - 20MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16F876-20/SO 976-1268●
16F876 22 DIP 8-Bit CMOS MCU, 8K Flash, 368 bytes RAM - 20MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16F876-20/SP 976-1276●
PIC16F877
16F877 44 PLCC 8-Bit CMOS MCU, 8K Flash, 368 bytes RAM - 4MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16F877-04/L 976-1330●
16F877 40 DIP 8-Bit CMOS MCU, 8K Flash, 368 bytes RAM - 4MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16F877-04/P 976-1349●
16F877 44 PQFP 8-Bit CMOS MCU, 8K Flash, 368 bytes RAM - 4MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16F877-04/PQ 976-1357●
16F877 44 TQFP 8-Bit CMOS MCU, 8K Flash, 368 bytes RAM - 4MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16F877-04/PT 119-6791●
16F877 44 PLCC 8-Bit CMOS MCU, 8K Flash, 368 bytes RAM - 20MHz (IND TEMP) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16F877-20I/L 976-1390●
16F877 40 DIP 8-Bit CMOS MCU, 8K Flash, 368 bytes RAM - 20MHz (IND TEMP) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16F877-20I/P 976-1403●
16F877 44 TQFP 8-Bit CMOS MCU, 8K Flash, 368 bytes RAM - 20MHz (IND TEMP) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16F877-20I/PT 976-1420●
16F877 44 PLCC 8-Bit CMOS MCU, 8K Flash, 368 bytes RAM - 20MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16F877-20/L 976-1365●
16F877 40 DIP 8-Bit CMOS MCU, 8K Flash, 368 bytes RAM - 20MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16F877-20/P 976-1373●
16F877 44 PQFP 8-Bit CMOS MCU, 8K Flash, 368 bytes RAM - 20MHz (IND TEMP) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16F877-20I/PQ 976-1411●
16F877 44 TQFP 8-Bit CMOS MCU, 8K Flash, 368 bytes RAM - 20MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16F877-20/PT 976-1381●
16LF877 40 DIP 8-bit MCU, 14K Flash, 368 bytes RAM - 4MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16F877-04I/P 146-7801●
16LF877 44 TQFP 8-bit MCU, 14K Flash, 368 bytes RAM - 4MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16LF877-04I/PT 146-7806●
PIC16C92x
130
farnell.com element14.com 131
Price Each
Pins Pkg. Description Mftrs. List No. Order Code 1+ 10+ 100+
PIC16C92x
16C923 68 PLCC 8-Bit OTP PROM CMOS MCU With LCD Module - 4MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC16C923-04/L 119-6843●
16C925 68 PLCC 8-Bit OTP PROM CMOS MCU With LCD Module and 5 channel 10-Bit ADC - 8MHz (IND TEMP) . . . . . . . . . PIC16C925-I/L 976-0237●
16C926 68 PLCC 8-Bit OTP PROM CMOS MCU With LCD Module and 5 channel 10-Bit ADC - 8MHz (IND TEMP) . . . . . . . . . PIC16C926-I/L 976-0245●
17C42A 40 DIP 8-Bit CMOS RISC MCU (High Performance), 2K OTP PROM - 16MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC17C42A-16/P 108-4219●
PIC17C43
17C43 40 DIP 8-Bit CMOS RISC MCU (High Performance), 4K OTP PROM - 16MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC17C43-16/P 976-1870●
17C43 40 DIP 8-Bit CMOS RISC MCU (High Performance), 4K OTP PROM - 25MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC17C43-25/P 108-4356●
17C43 44 PLCC 8-Bit CMOS RISC MCU (High Performance), 4K OTP PROM - 33MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC17C43-33/L 976-1888●
PIC17C44
17C44 40 DIP 8-Bit CMOS RISC MCU (High Performance), 8K OTP PROM - 16MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC17C44-16/P 976-1896●
17C44 40 DIP 8-Bit CMOS RISC MCU (High Performance), 8K OTP PROM - 16MHz (IND TEMP) . . . . . . . . . . . . . . . . . . . PIC17C44-16I/P 121-2661●
17C44 40 DIP 8-Bit CMOS RISC MCU (High Performance), 8K OTP PROM - 25MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC17C44-25/P 119-6845●
17C44 40 DIP 8-Bit CMOS RISC MCU (High Performance), 8K OTP PROM - 33MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC17C44-33/P 976-1900●
17C44 40 DIP 8-Bit CMOS RISC MCU (High Performance), 8K OTP PROM - 33MHz (IND TEMP) . . . . . . . . . . . . . . . . . . . PIC17C44-33I/P 108-4221●
PIC17C75X
17LC756A 64 TQFP 8-Bit CMOS EPROM MCU, (High Performance), 16K OTP PROM - 8MHz (IND TEMP, Low Power) . . . . . . . PIC17LC756A-08I/PT 108-4330●
17C756 68 PLCC 8-Bit CMOS EPROM MCU, (High Performance), 16K OTP PROM - 16MHz (IND TEMP) . . . . . . . . . . . . . . . PIC17C756A-16I/L 976-1926●
17C756A 68 PLCC 8-Bit CMOS EPROM MCU, (High Performance), 16K OTP PROM - 16MHz . . . . . . . . . . . . . . . . . . . . . . . . . PIC17C756A-16/L 108-4229●
17C756A 68 PLCC 8-Bit CMOS EPROM MCU, (High Performance), 16K OTP PROM - 33MHz (IND TEMP) . . . . . . . . . . . . . . . PIC17C756A-33I/L 976-1934●
17C756 68 PLCC 8-Bit CMOS EPROM MCU, (High Performance), 16K OTP PROM - 33MHz . . . . . . . . . . . . . . . . . . . . . . . . . PIC17C756A-33/L 160-7936●
17C756 68 PLCC 8-Bit CMOS EPROM MCU, (High Performance), 16K OTP PROM - 33MHz . . . . . . . . . . . . . . . . . . . . . . . . . PIC17C756A-33/L 160-7936●
PIC17C766
17C766 84 PLCC 8-Bit CMOS EPROM MCU, (High Performance), 16K OTP PROM - 33MHz . . . . . . . . . . . . . . . . . . . . . . . . . PIC17C766-33/L 120-0017●
Semiconductors
17C766 84 PLCC 8-Bit CMOS EPROM MCU, (High Performance), 16K OTP PROM - 33MHz (IND TEMP) . . . . . . . . . . . . . . . PIC17C766-33I/L 120-0018●
PIC18C2xx
18C252 28 SDIL 8-Bit CMOS EPROM MCU, 32K OTP PROM, 1536 bytes RAM (IND TEMP). . . . . . . . . . . . . . . . . . . . . . . . . PIC18C252-I/SP 976-1942●
PIC18C4xx
18C452 40 DIP 8-Bit CMOS EPROM MCU, 32K OTP PROM, 1536 bytes RAM (IND TEMP). . . . . . . . . . . . . . . . . . . . . . . . . PIC18C452-I/P 108-4301●
18C452 44 PLCC 8-Bit CMOS EPROM MCU, 32K OTP PROM, 1536 bytes RAM (IND TEMP). . . . . . . . . . . . . . . . . . . . . . . . . PIC18C452-I/L 976-1969●
18LC452 44 PLCC 8-Bit CMOS EPROM MCU, 32K OTP PROM, 1536 bytes RAM (IND TEMP, Low Power) . . . . . . . . . . . . . . . PIC18LC452-I/L 976-2400●
PIC18F2xx
18F242 28 SOIC 8-Bit CMOS MCU, 16k Flash, 768 bytes RAM - 40MHz (IND TEMP). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC18F242-I/SO 976-2078●
18F242 28 SDIL 8-Bit CMOS MCU, 16k Flash, 768 bytes RAM - 40MHz (IND TEMP). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC18F242-I/SP 976-2086●
18F248 28 SOIC 8-Bit CMOS MCU, 16k Flash, 768 bytes RAM - 40MHz (IND TEMP). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC18F248-I/SO 976-2094●
18F248 28 SDIL High-Performance, Enhanced Flash Microcontrollers With CAN Module . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC18F248-I/SP 113-7340●
18F252 28 SOIC 8-Bit CMOS MCU, 32k Flash, 1536 bytes RAM - 40MHz (IND TEMP). . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC18F252-I/SO 976-2108● 1
18F252 28 SDIL 8-Bit CMOS MCU, 32k Flash, 1536 bytes RAM - 40MHz (IND TEMP). . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC18F252-I/SP 976-2116●
18F258 28 SOIC 8-Bit CMOS MCU, 32k Flash, 1536 bytes RAM - 40MHz (IND TEMP). . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC18F258-I/SO 976-2124●
18F258 28 SDIL 8-Bit CMOS MCU, 32k Flash, 1536 bytes RAM - 40MHz (IND TEMP). . . . . . . . . . . . . . . . . . . . . . . . . . . . . PIC18F258-I/SP 976-2132●
131
132 farnell.com element14.com
Mftrs. Part No. Description Order Code Price Each Ì Sensors: Light, Temperature, Potentiometer
Ì 4x20 Blue LCD (PWM Adjustable backlight)
ATAVRONE-TESTKIT Test Kit 171-5476●
Ì Connectors for JTAG, Nexus, USART, USB 2.0,
ATAVRONE-PROBE Replacement Probe 171-5474● TWI, SPI
ATAVRONE-PROBECBL Replacement Probe Cable 171-5475● Ì SD and MMC Card Reader
518918
ATEVK1104 Evaluation Kit for AT32UC3A3256 171-5477● Mftrs. List No. Description Order Code Price Each
ATEVK1105 Evaluation Kit for AT32UC3A0512 171-5478● ATEVK1101 EVK1101 Evaluation Kit 164-8592●
132
farnell.com element14.com 133
Semiconductors
The MON08 Multilink is a cost-effective development toolfor all HC08 MCUs, and provides
in-circuit debugging and programming through the standard MON08 serial debug/break- signal
point interface. 661100
429056
Order Code Price Each
TWR-S12G64 207-9447
133
134 farnell.com element14.com
MC9S12G240 Automotive MCU Module HCS12 DG128 Stand Alone Student Learning Kit
TWR-S12G240 & University S12 Evaluation Board Lab IO Kit
The S12 DG128 microcontroller stand-alone stu-
The TWR-S12G240 is a demon- dent learning kit (S12UB) is targeted at teaching
stration board for the the first course in microcontrollers at the university
MC9S12G240 automotive 16-bit level. The kit contains all of the materials needed
MCUs. An integrated Open-Source BDM, software tools, to learn MC9S12DG128 operation, embedded as-
and examples provided with the development board sembly and C programming. The S12UB board
make application development and debug quick and provides both debug and user circuitry sections.To
easy. All MCU signals are available on one or both edge support the S12UB Hookup labs a LFEBS12UBLAB
connectors. device Input/Output kit is available.
1 Write Code, Download, Evaluate. Yes, that simple!
The TWR-S12G240 operates as a standalone debug tool and can also be combined and
used as part of the modular Tower System development platform. Board Features:
Ì Both Debug and Target circuitry on a single board
Integrated Circuits & Development Kits
order items farnell.com Mftrs. List No Description Order Code Price Each
LFEBS12UB 16-bit HCS12 DG128 Stand Alone Student Learning Kit 157-9753●
LFEBS12UBLAB University S12 Evaluation Board Lab IO Kit 157-9754●
134
farnell.com element14.com 135
Pins/ RAM Flash Interface Frequency Channels Resolution Interrupts Features Price Each
Mftrs. List No. Package (KByte) (KByte) (Bit) Order Code 1+ 10+ 100+
MC9S12NE64CPVE 112/LQFP 8 16 I2C, SCI, SPI 50 8 10 WDT, KWU (21) RTI, WDT 129-6222●
Key: KWU = Key WakeUp, WDT = Watchdog Timer, RTI = Real-Time Interrupt
The MC9S12UF32 microcontroller unit (MCU) is a 16-bit device composed of standard on-chip peripherals including. In addition to the in-chip full-speed USB 2.0 interface, the MC9S12UF32
has built-in the following interfaces and host controllers: ATA-5 interface, compact Flash, secure digital / multimedia, smartmedia, and memory stick.
Together with the flexible I/O ports, 8-channel, 16-bit timer, this MCU is suited for applications such as multi-card readers, USB thumb drives, and USB to external hard-disk drives.
Features: Ì 8-channel, 16-bit enhanced capture timer
High-performance 16-bit HCS12 CPU core Ì Full/High speed universal serial bus 2.0 (USB 2.0)
Ì Upward compatible with M68HC11 instruction set Ì ATA-5 host controller interface
Ì Interrupt stacking and programmer’s model identical to M68HC11 Ì Flash memory card interfaces
Ì Instruction pipe - Compact Flash host controller
Ì Enhanced indexed addressing - Secure digital/multimedia card host controller
Ì Multiplexed external bus - Smartmedia host controller
Ì Integrated Queue controller (IQUE) - Memory stick host controller
452095
Pins/ RAM Flash Interface Frequency I/O Pins Function Features Price Each
Mftrs. List No. Package (KByte) (KByte) Order Code 1+ 10+ 100+
MC9S12UF32PBE 64/LQFP 5 32 SCI, USB 60 77 STOP, WAIT CAPCOM, WDT, RTI 129-6223●
Key: CAPCOM = Capture/Compare, RTI = Real-Time Interrupt, WDT = Watchdog Timer
527780
Parallel Port Command Converter for DSP
Semiconductors
Mftrs. List No Description Order Code Price Each
DSPCOMMPARALLELE Parallel Port Command Converter for DSP 157-9749●
The Freescale Parallel Port Command Converter is an interface device that, with the assist-
ance of the Freescale DSP Software Development Tools Debugger, allows you to send and
receive information from your host system to your Freescale DSP-based system. The inter-
face is from the parallel port on your host system, through the Target Interface Module, to
the DSP via a JTAG connection.
Features: Ì Supports Desktop, Notebook and Laptop PCs
Ì Affordable Debug Connection Ì Works with Freescale Software Development
for DSP Systems Environments
Pins/ Program RAM Data RAM Boot ROM GPIO Lines Number of Serial Interface Price Each
Mftrs. List No. Package (KByte) (KByte) (KByte) Timers Order Code 1+ 10+ 100+
DSP56858FVE 144/LQFP 40 24 1 47 4 ESSI, SCI, SPI 129-6192●
Core Core
Number of A/D A/D Converter Number of Operating Operating Price Each
Mftrs. List No. Pins/Pkg FLASH I/O Pins Timers Converter Channels Cores Freq. Voltage Order Code 1+ 10+ 100+
DSP56F801FA60E 48/LQFP 16KB 11 8 ✓ 8 1 60MHz 3.3V 169-1534●
DSP56F801FA80E 48/LQFP 16KB 11 8 ✓ – – – – 114-8361●
DSP56F803BU80E 100/LQFP 64KB 16 16 ✓ 8 – – – 169-1515●
DSP56F805FV80E 144/LQFP 64KB 32 16 ✓ 8 – – – 169-1517●
DSP56F807PY80E 160/LQFP 128KB 32 16 ✓ 16 – – – 169-1514●
DSP56F807VF80E 160/PBGA 128KB 32 16 ✓ 16 – – – 169-1535●
DSP56F826BU80E 100/LQFP 64KB 46 4 ✓ – – – – 169-1528●
DSP56F827FG80E 128/LQFP 128KB 64 4 ✓ 10 – – – 169-1529●
662423
No. of No. of No. of Program RAM CPU Core Supply Mftrs. Price Each
Pins/Case I/O’s Timers Peripherals Memory Size Memory Size Speed Size Voltage Range List No. Order Code 1+ 10+ 50+ 100+ +
48/QFN 34 1 ADC, PWM, Timer 32KB 2KB 32MHz 16bit 3.15V to 5.5V S9S12P32J0VFT 208-0108●
48/QFN 34 1 ADC, PWM, Timer 96KB 6KB 32MHz 16bit 3.15V to 5.5V S9S12P96J0VFT 208-0109●
64/LQFP 37 1 ADC, Timer, PWM 192KB 12KB 64MHz 32bit 3.3V to 5V SPC5601PEF0MLH6 208-0100●
64/LQFP 37 1 ADC, Timer, PWM 256KB 20KB 64MHz 32bit 3.3V to 5V SPC5602PEF0MLH6 208-0103●
64/LQFP 45 1 ADC, PWM, RTC, Timer 128KB 12KB 48MHz 32bit 3V to 5.5V SPC5601DF1MLH4 208-0090●
64/LQFP 45 1 ADC, PWM, RTC, Timer 256KB 16KB 48MHz 32bit 3V to 5.5V SPC5602DF1MLH4 208-0092●
64/LQFP 45 1 ADC, PWM, RTC, Timer 256KB 16KB 48MHz 32bit 3V to 5.5V SPC5602DF1VLH4 208-0094●
100/LQFP 64 1 ADC, Timer, PWM 192KB 12KB 64MHz 32bit 3.3V to 5V SPC5601PEF0MLL6 208-0101●
100/LQFP 64 1 ADC, Timer, PWM 256KB 20KB 64MHz 32bit 3.3V to 5V SPC5602PEF0MLL6 208-0104●
80/QFP 64 1 ADC, PWM, Timer 64KB 4KB 32MHz 16bit 3.15V to 5.5V MC9S12P64VQK 208-0106●
135
136 farnell.com element14.com
includes an MC56F8037 digital signal controll- Ì ETPU Port, 20 pins, 16 channels w/ +V supply
er, RS-232 interface, user LED’s, user push Ì BUS Port, 34 pins, 64K Address, 8 bit Data Bus, 3 selects
botton switches, and a daughter card connec- Ì BDM / JTAG Port, 26 Pins, development port.
tor. Ì COM Port (UART0) w/ RS232 DB9-S Ribbon Connector
Features: Ì 10/100TX Ethernet Port w/ RJ45 Connector, 4 status Indicators, Auto MDI-X crossover
Ì Built-in circuitry for RS-232 communication Ì CAN port w/ 1Mbaud transceiver, on MCU Port
Ì User LEDs Ì RESET switch and indicator
Ì User pushbutton switches Ì ABORT (IRQ7) switch
Ì Daughter card connectors Ì Regulated +3.3V and +1.5V power supply w/ indicator
527771 Kit Includes:
Mftrs. List No Description Order Code Price Each Ì DB9 Serial Cable Ì Utility / Support CD
Ì Cat 5E Ethernet cable Ì Manuals
MC56F8037EVM DSC Evaluation Board 157-9771●
Ì BDM Development Cable Ì Wall Adapter power supply
508743
1 MC56F8367EVME - Evaluation Kit for Order Code Price Each
Digital Signal Controllers M5235BCCKITE Business Card Controller 157-9758●
tains everything you need to quickly evaluate the fea- Cyclone Pro Universal Standalone In-Circuit
tures and capabilities of the MC56F8145-67 and Debugger/Programmer
MC56F8345-67 controllers silicon and tools. It also
provides an efficient, cost-effective vehicle for hard- The Cyclone PRO is a powerful stand-alone
ware and software development. It can be used alone, in-circuit programmer and debug interface
or in conjunction with Freescale’s broad range of for Freescale’s HC08, HCS08, HC(S)12(X),
modular motion control development hardware. The RS08, and ColdFire V1 architectures. The
MC56F8367EVME Kit is targeted for use on PC-com- Cyclone is able to control a processor using
patible systems running Windows姞 95, Windows 98, the processor’s debug port, which allows
Windows 2000, Windows ME, Windows NT 4.0, and the user to quickly debug code and pro-
Windows XP gram it onto the microcontroller. Communi-
Features: cation with the PC occurs via Ethernet,
Ì MC56F836716-bit digital signal controller USB, or Serial Port. Once the Cyclone is
Ì External fast static RAM (FSRAM) memory configured, programming operations may
Ì 8.00MHz crystal oscillator for DSP frequency generation be completed in one touch with or without a
Ì Optional external oscillator frequency input connector PC.
Ì Joint Test Action Group (JTAG) port interface connector to interface to an external Features:
command converter Ì Supports: all HC08, HCS08, HC12, HC(S)12(X), ColdFire V1 and RS08
Ì On-board Parallel port command converter, with a connector for a PC printer port cable Ì Ethernet, USB, and serial interfaces
Ì RS-232 interface for easy connection to a host processor Ì Fast in-circuit Flash programming
Ì Pair of Standard Daughter Card connectors enabling connection of application specific Ì Scripting capability automates programming of test routines, test execution, erase and
and user generated Daughter Cards final SW programming
Ì High Speed 1 Mbps CAN interface Ì Auto-detects baud rate and frequency of target MCU
527773
Ì Provides optional overdrive clock to target MCU
Ì Automatically cycles power for security checks (up to 500 mA)
Mftrs. List No Description Order Code Price Each
Ì Supports 1.8 V to 5.5 V
MC56F8367EVME Evaluation Kit for Digital Signal Controllers 157-9775● 527752
Evaluation module for MCF5235 M68CYCLONEPROE Cyclone Pro Development Kit 157-9766●
Coldfire microcontroller
INTELLIGENT ONLINE BUYING
SYSTEM PROVIDING
Complete cost control, reduced
administration time, visibility of your
spend, flexibility and personalised to
your company’s needs.
farnell.com/ibuy
The M5235BCCKITE is a low cost evaluation module in Business Card Controller (BCC)
format for the Freescale MCF5235 Coldfire microcontroller.
Application development is quick and easy with the included components, utilities and soft-
ware. The BDM port is compatible with standard Coldfire姞 BDM / JTAG Low voltage devel-
opment cables. The installed monitor software allows easy application debugging and de-
velopment.
136
farnell.com element14.com 137
Semiconductors
Mini-AB USB connector Ì ADC with 10K Ohm POT
Ì CAN transceiver Ì 5 Push Buttons
516005
The DEMOACKIT is a flexible and cost effective demonstration kit for the Flexis AC device Evaluation System for MCF51JM128 Flexis™
family. It contains daughter cards for both the MC9S08AC128CLKE (S08) and the USB Family
MCF51AC256CLKE (ColdFire V1) processor. It features a ZIF socket, a built-in USB BDM,
LEDs, a serial port, an acceleration sensor and an I/O header. Application development on The EVB51JM128 is a low-cost development system
the DEMOAC is quick and easy with the integrated USB-BDM, sample software tools, and for the Freescale MCF51JM128 ColdFire姞 microcon-
examples. troller. The USB On-The-Go (OTG) feature is support-
The DEMOACEX is a peripheral expansion board designed to interface with the DEMOAC ed in hardware through a dedicated USB mini-AB 1
board. It applies the Freescale MC33794 E-Field Imaging Sensor connected connector and in software through the included com-
to touch-pad in various arrangements. An 80-pin IO header allows it to connect directly to plimentary USB-LITE stack by CMX. CodeWarrior De-
the DEMOAC board. velopment Tools provide support for quick and easy
The DEMOJM is a cost-effective kit enabling quick microcontroller evaluation. The kit in-
cludes a DEMOJM base board, a red MCF51JM128 daughter card, and a green
MC9S08JM60 daughter card. The included kit can first be used to demonstrate the fea-
tures of the MC9S08JM60 devices, starting with an on-chip USB device controller and
transceiver, the user can then change to the MCF51JM128 with an on-chip USB host and
The first online technical portal for design engineers
device dual-role controller. Log on, research, refine and design
137
138 farnell.com element14.com
The MCF520X family of Kirin microcontrollers answer the call for a flexible memory controller, a combination of external SRAM, Flash memory and a choice of SDRAM memory. The
Semiconductors
MCF5208 embedded controller integrates the standard ColdFire姞 communication peripherals and a high performance V2 ColdFire姞 core.
The MCF521X family of Kirin microcontrollers is a low power single-chip solution with up to 521KB of embedded Flash memory. The MCF521X embedded controllers integrate various com-
munication peripherals and a high performance V2 ColdFire姞 core.
The MCF5222X family of Kirin microcontrollers builds upon the MCF521X family by integrating a USB host and On-The-Go (OTG) controller, making it ideal for applications requiring device or
host connectivity functionality. The MCF5222X family integrate a high performance V2 ColdFire姞 core, however the MCF52223 embedded controller adds 16 KB of SRAM and an extra 128 KB
of Flash to the standard MCF5222X peripheral set.
The MCF5223X family of Kirin microcontrollers are single-chip solutions that provide 32-bit control with an Ethernet interface. The MCF5223X combines a 10/100 Fast Ethernet Controller
(FEC) and Ethernet Physical Layer (EPHY) with a high performance V2 ColdFire姞 core.
519472
M52210DEMO MCF52210 Development Board 169-2139● Mftrs. List No Description Order Code Price Each
M52221DEMO MCF52221 Demonstration Board 169-2123●
138
farnell.com element14.com 139
Semiconductors
Mftrs. List No. Description Order Code Price Each
the need for external circuitry.
M52259DEMOKIT Demonstration Board Kit for MCF5225x 169-8078●
Kit Includes:
Ì Host board
M52259EVB Evaluation Board Ì M53281MOD Module Ì GNU tools
Ì Video interface daughter card Ì VoIP and management software
The M52259 Ì Audio headset Ì All licenses for VoIP and management software use
Evaluation Board is based on the ColdeFire Ì P&E BDM wiggler Ì Documentation and out-of-the-box
V2 family microprocessor MCF52259. Ì Power supply Ì VoIP demo
This board is shipped with the MCF52259 515809
soldered down to allow for the evaluation Mftrs. List No. Description Order Code Price Each
of all of the functionality of this part.
M53281KIT VoIP Development System 161-8625●
This board was designed as a validation
and evaluation platform for the MCF52259
which supports USB, Ethernet, CAN and USB ColdFire姞 Multilink
Mini-bus function. Built-in USB-BDM cir- 1
cuitry is available for debugging and pro-
The USB ColdFire姞 Multilink is an easy-to-use debug
gramming. It Supports JTAG for system-level testing and it also features a CPLD on board
and programming interface for the ColdFire V2/V3/V4
to show some extension features.
The Zoom™ ColdFire SDK is a low-cost, high-performance application development kit for
evaluating the functionality of the Freescale ColdFire processor and Fire Engine. Application M54455EVB Evaluation Board
development is performed right on the product-ready Fire Engine and software Board Sup-
port Packages (BSPs) included in the kit, which enables customers to seamlessly transfer The
their application code and hardware into production. M54455EVB platform provides an evalua-
tion system for the Freescale MCF5445x
Features:
ColdFire姞 V4m embedded microproces-
Ì Low-cost development kit Ì Compact size
sor family. The MCF54455 is the super-
Ì Includes product-ready Fire Engine Ì Ready to run Board Support Packages (BSPs)
508784
set device in the family and is the proces-
sor featured on this platform. This allows
Mftrs. List No Description Order Code Price Each evaluation and development for the entire
M5329EVBE ZOOM™ COLDFIRE SDK Development Kit 157-9763● family on a single hardware platform.
Features:
Ì MCF54455 ColdFire microprocessor
INTELLIGENT ONLINE BUYING Ì DDR2 SDRAM, 2x NOR flash memory devices, Serial flash, MRAM
SYSTEM PROVIDING Ì 4x PCI slots, Two-port Ethernet interface, 40-pin ATA connector, Audio interface
Ì Multiple USB interface options, 2x RS232 serial ports, 1x USB serial port
Complete cost control, reduced Ì Built-in P&E Micro USB Multilink debug interface
administration time, visibility of your Ì Standard 26-pin BDM header
spend, flexibility and personalised to Ì Serial interface header for access to timers, interrupts, DSPI, I2C, and more
your company’s needs. Ì Clock generation logic adjustable via I2C
farnell.com/ibuy Ì LEDs and 7-segment display programmable via CPLD and FPGA
524533
139
140 farnell.com element14.com
The ColdFire Microprocessor Family is the successor to Freescale’s Legacy 68K Family tree. The new variable-length RISC 68K Family architecture delivers the aggressive price/performance
required by the cost-sensitive embedded market.
The variable-length RISC ColdFire architecture gives greater flexibility to lower memory and system costs. Because instructions can be 16-, 32- or 48 bits long, code is packed tighter in mem-
ory resulting in better code density than traditional 32- and 64-bit RISC machines. Efficient use of on-chip memory reduces bus bandwidth and the external memory required.
ColdFire microprocessors are in use in products ranging from industrial automation systems to inkjet printers and MP3 players
ColdFire Architecture Ì Hierarchical architecture
Ì All cores are 100% fully synthesizable -Multiple buses provide layers of bandwidth + modularity
Ì Parameterizable - all options exist within a single design description -Standard internal bus structure provides simple interface
Ì Configurable Ì Design-for-Test
-Generic local-memory controllers support a range of sizes -Muxed D-FF rising-edge clocked design
-Choose size using compiled memory arrays -ATPG scan vectors for stuck-at, speed testing
-BIST test methodology for memories
Ì Deployment focused on soft macro RTL + support of hard macros 429174
MCF5272CVM66 256/MAP-BGA 4 66 10/100MbT Ethernet, QSPI, TDM, UART, USB -40 to 85 3.3 114-8477●
MCF5275LCVM166 196/MAPBGA 64 166 UART, I2C, SPI, USB -40 to 85 1.6 157-9868●
MCF5282CVM66 256/MAPBGA 64 66 FlexCAN, I2C, MAC (FEC) 10/100 Ethernet, QSPI, UART -40 to 85 3.3 114-8480●
MCF5328CVM240 256/MAPBGA 32 240 I2C, UART -40 to 85 1.6 157-9869●
MCF5329CVM240 256/MAPBGA 32 240 I2C, SPI, UART, USB, CAN -40 to 85 1.6 157-9870●
MCF5373LCVM240 196/MAPBGA 32 240 – -40 to 85 1.6 157-9871●
MCF5407AI162 208/FQFP 4 162 I2C, UART 0 to 70 1.8 114-8484●
MCF5407AI220 208/FQFP 4 220 I2C, UART 0 to 70 1.8 114-8486●
140
farnell.com element14.com 141
The MPC8313E-RDB
reference platform is ideal for hardware and soft- MPC8349E-mITXE Reference Platform
Semiconductors
ware development for cost-optimized networking ap-
The MPC8349E-mITXE
plications. The cost-effective MPC8313E communi-
reference platform demonstrates the capabilities of the
cations processor family meets the requirements of
PowerPC MPC8349E processor. In addition to this
several small office/home office (SOHO), printing, IP
processor, the reference platform leverages external
services and industrial control applications. It lever-
components to support additional features such as 5-
ages Freescale’s highly integrated MPC8313E proc-
port Gigabit Ethernet switch, four high-speed USB
essor built on Power Architecture™ technology and
ports, four serial ATA ports, one PCI slot, one miniPCI
leading edge external components; a 5-port Gigabit
slot and one compact Flash memory slot. The
Ethernet switch, USB port, one Peripheral Compo-
MPC8349E microprocessor supports dual 10/100/
nent Interconnect (PCI) slot, one MiniPCI slot and one SD card memory slot. The high level
1000 Mbps Ethernet controllers, dual 32-bit/single 64-
of integration in the MPC8313E helps to lower overall system costs, improve performance
bit PCI controllers, integrated security engines, USB 2.0
and simplify board design.
530462 host and devices controllers, 4-channel DMA, DUART, serial peripherals, general purpose
Mftrs. List No Description Order Code Price Each I/O and system timers.
530502
MPC8313E-RDB MPC8313E-RDB Reference Platform 169-2097● Mftrs. List No Description Order Code Price Each
1
MPC8349E-MITXE MPC8349E-mITXE Reference Platform 169-2096●
MPC8315E-RDB Reference Platform
141
142 farnell.com element14.com
Operating
Pins/ Frequency Interface Operating Price Each
Package (MHz) Type I/O Lines Temperature Mftrs. List No. Order Code 1+ 10+ 100+
272/PBGA 40 CAN, QSPI, SCI 101 -40°C to +85°C MPC555LFCVR40 157-9887●
388/BGA 40 CAN, SCI, SPI 56 -40°C to +85°C MPC561CVR40 165-9687●
388/PBGA 56 CAN, QSPI, SCI 56 -40°C to +125°C MPC563MVR56 157-9888●
1 256/BGA 100 SPI, UART 59 0°C to +95°C MPC852TVR100A 165-9654●
272/PBGA 400 I2C, PCI, SPI, USB 56 -40°C to +85°C MPC5200CVR400B 157-9883●
272/BGA 400 CAN, I2C, I2S, SPI, UART, USB 56 -40°C to +85°C SPC5200CVR400B 165-9680●
Integrated Circuits & Development Kits
The MMC2114 single-chip microcontroller is the second member of a family of general purpose microcontrollers based on the M210 core. It cost-effectively integrates
digital and analog peripherals with RAM, embedded FLASH memory, and a 32-bit M·CORE microRISC central processor unit on a single-chip. The MMC2114 expands on
the features of the popular MMC2107 with twice the FLASH and four times the amount of SRAM.
527794
Pins/ FLASH RAM I/O Frequency Serial Interface Operating Price Each
Mftrs. List No. Package (KByte) (KByte) Pins (MHz) Type Temperature Order Code 1+
MMC2114CFCAG33 144/LQFP 256 32 104 33 SCI, SPI -40°C to +85°C 165-9643●
Supported
CodeWarrior Development Suites Device Families CWA-BASIC-NL CWA-STANDARD-NL
With Freescale’s new pricing and packaging structure, Code- HC(S)08/RS08 Microcontrollers ឪ ✓
Warrior development tools are now sold in suites. By purchasing a suite entitlement, you HCS12(X) Microcontrollers ឪ ✓
are able to register for any or all of the CodeWarrior products contained within this suite. ColdFire姞 Architectures (V1) ឪ ✓
ColdFire姞 Architectures (V2, V3, V4) ✓ ✓
This allows you to gain access to Freescale’s entire portfolio of CodeWarrior tools across 56800/E Digital Signal Controllers ✓ ✓
the suite you purchase. Power Architecture™ - MPC55xx ឪ ឪ
Subscription Type Power Architecture™ - Netcomm ✓ ✓
CWA = Annual Subscription
ឪ - Code size and other limitations to features
License Type
✓ - Included in suite
NL = Node-locked (license tied to a specific computer) 549897
142
farnell.com element14.com 143
Semiconductors
550577
The MB96300 series is based on Fujitsu’s advanced 16FX architecture. It also uses the same instruction set as the 16LX series - allowing for easy migration of 16LX Software to the new
16FX products. For highest processing speed at optimized power consumption an internal PLL can be selected to supply the CPU with up to 56MHz operation frequency from an external
4MHz resonator, this results in a minimum instruction cycle time of 17.8ns.
482412
Clock
Pins/ Frequency I/O Operating Price Each
Mftrs. List No. Package FLASH RAM (MHz) Pins Temperature Order Code 1+ 10+ 100+
MB96F326RSBPMC-GSE2 80/LQFP 288 KB 12KB 56 66 -40°C to +125°C 166-0605●
MB96F356RSBPMC1-GSE2 64/LQFP 288 KB 12KB 56 51 -40°C to +125°C 166-0611●
MB96F356RSBPMC-GSE2 64/LQFP 288 KB 12KB 56 51 -40°C to +125°C 166-0610●
MB96F386RSBPMC-GSE2 120/LQFP 288 KB 16KB 56 96 -40°C to +125°C 166-0612●
MB96F346ASBPMCR-GSE2 100/LQFP 288 KB 16KB 56 82 -40°C to +125°C 182-5578
MB96F347RSBPQC-GSE2 100/QFP 416 KB 16KB 56 82 -40°C to +125°C 166-0606●
MB96F347RSBPMC-GSE2 100/LQFP 416 KB 16KB 56 82 -40°C to +125°C 166-0607●
MB96F387RSBPMC-GSE2 120/LQFP 416 KB 16KB 56 96 -40°C to +125°C 166-0613●
MB96F348RSBPQC-GSE2 100/QFP 544 KB 24KB 56 82 -40°C to +125°C 166-0608●
MB96F348RSBPMC-GSE2 100/LQFP 544 KB 24KB 56 82 -40°C to +125°C 166-0609●
MB96F348ASBPMC-GSE2 100/LQFP 544 KB 24KB 56 82 -40°C to +125°C 182-5579
MB96F338USAPMC-GSE2 144/LQFP 544 KB 32KB 48 120 -40°C to +105°C 182-5580
143
144 farnell.com element14.com
Price Each
Pins Pkg Description Mftrs. List No. Order Code 1+ 10+ 100+
C167144MQFPMQFP16-Bit CMOS MCU, 4K RAM, ADC, CAPCOM, PWM, CAN2.0B, timers, WDT, USART, 111 I/O - 20MHz SAK-C167CR-LM HA
(EXT TEMP) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 122-0083●
C167144MQFPMQFP16Bit MCU,ROM less,2K RAM,CAN,33MHZ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . SAK-C167CR-L33M 147-2743●
527551
Microcontrollers and Microprocessors - 32- Mftrs. Debugger
Bit PARALLAX List No. Series Description Supplied Order Code Price Each
R0K330290S001BE M16C/Tiny Starter Kit for M16C/29 E8a 167-7728●
32-Bit Propeller Multicore Microcontrollers
R0K33062PS001BE M16C/60 Starter Kit for M16C/62P E8a 167-7729●
The Propeller is a multi-processing chip with eight 32-bit processors (cogs) and a shared R0K330879S001BE M32C/80 Starter Kit for M32C/87 E8a 167-7730●
memory which includes 32KB of RAM and 32KB of ROM with a font, math tables, and R0K436079S000BE H8/300H Starter Kit for H8/36079 E8a 167-7732●
Spin interpreter. Cogs can be programmed in either high-level Spin language for simplicity, R0K438099S000BE H8/300H Starter Kit for H8/38099 E8a 167-7733●
or assembly language for performance. R0K5211B4S001BE R8C/1x Starter Kit for R8C/1B E8a 167-7734●
491874
R0K521237S001BE R8C/2x Starter Kit for R8C/23 E8a 167-7735●
Pins/ Program Operating Price Each
R0K521256S001BE R8C/2x Starter Kit for R8C/25 E8a 167-7736●
Mftrs. List No.PackageMemoryFrequencyI/O Lines Order Code 1+ 10+ 100+ R0K521276S001BE R8C/2x Starter Kit for R8C/27 E8a 167-7737●
P8X32A-D40 . 40/DIP 32KB 80MHz 32 126-3886● R0K5212D8S001BE R8C/2x Starter Kit for R8C/2D E8a 167-7738●
R0K561622S000BE H8SX/1600 Starter Kit for H8SX/1622 E10A 167-7739●
Microcontrollers and Microprocessors - 16/ R0K561648S000BE H8SX/1600 Starter Kit for H8SX/1648 E10A 167-7740●
Semiconductors
opment toolkits. They offer a user-friendly introductory and evaluation platform for assess- uct.
ing the suitability of a chosen Renesas Microcontroller as well as a basic development The emulator is controlled via the emulator debugger
platform. The boards also provide a useful platform for evaluating the Renesas suite of de- (interface software) on the PC. The Renesas inte-
velopment tools for coding and debugging, using High-performance Embedded Workshop grated development environment "High-performance
as well as programming the device using emulator and/or Flash Development Toolkit. Embedded Workshop" provides links between the
Ì CPU Board emulator debugger and a variety of development
Ì Detachable LCD Display Module software tools, such as the C compiler and simula-
Ì Detachable AD Adjustment Shaft tors.
Ì Renesas E-Series Emulator Features include:
Ì Connection Cable (USB Cable, User Interface Cable) Ì Complete debug functions Ì On-board programming
Ì Quick Start Guide Ì USB bus-powered: no external power supply required Ì Superior operability
Ì CD-ROM 429606
- Documents Mftrs. List No Order Code Price Each
- IDE: High-performance Embedded Workshop
R0E00008AKCE00 117-2316
- C Compiler: (Differs according to each Starter Kit)
- Debugger: Renesas E-Series Emulator Debugger
- Flash Memory Programmer: Flash Development Toolkit Evaluation
Ì Multi region power supply (only E10A product)
Ì Voltage Conversion Board for QzROM microcontrollers (only 740 Family product)
Package FLASH RAM FLASH Frequency Pins Interface Ambient Price Each
Mftrs. List No. (KByte) (KByte) (KByte) (MHz) (V) Temperature Order Code 1+ 10+ 100+
R5F21114DFP#U0 32/LQFP 16 1 — 20 22 2xUART 3 to 5.5 -40°C to +85°C 117-2296●
R5F21134DFP#U0 32/LQFP 16 1 4 20 22 2xUART 3 to 5.5 -40°C to +85°C 117-2298●
R5F211B4NP#U0 28/HWQFN 16 1 2 20 13 2xUART, I²C, SSU 3 to 5.5 -40°C to +85°C 117-2303●
R5F21184SP#U0 20/SSOP 16 1 — 20 13 UART 3 to 5.5 -20°C to +85°C 167-7763●
R5F211A4SP#U0 20/SSOP 16 1 — 20 13 UART 3 to 5.5 -40°C to +85°C 167-7765●
R5F211B4SP#U0 20/SSOP 16 1 — 20 13 I2C, UART 3 to 5.5 -20°C to +85°C 167-7766●
R5F21284SNSP#U0 20/SSOP 16 1 — 20 13 I2C, UART 3 to 5.5 -20°C to +85°C 167-7772●
R5F21266SNFP#U0 32/LQFP 32 1.5 — 20 25 I2C, UART 3 to 5.5 -20°C to +85°C 167-7770●
R5F21276SNFP#U0 32/LQFP 32 1.5 — 20 25 I2C, UART 3 to 5.5 -20°C to +85°C 167-7771●
R5F21258SNFP#U0 52/LQFP 64 3 — 20 41 I2C, UART 3 to 5.5 -20°C to +85°C 167-7769●
R5F21238DFP#U0 48/LQFP 64 3 — 20 41 3 to 5.5 -40°C to +85°C 167-7800●
144
farnell.com element14.com 145
Package FLASH RAM FLASH Frequency Pins Interface Ambient Price Each
Mftrs. List No. (KByte) (KByte) (KByte) (MHz) (V) Temperature Order Code 1+ 10+ 100+
R5F212DCSDFP#U0 80/LQFP 128 2 — 20 71 I2C, SCI, UART 3 to 5.5 -40°C to +85°C 167-7781●
R5F212ACSDFA#U0 64/LQFP 128 7.5 — 20 55 I2C, UART 3 to 5.5 -40°C to +85°C 167-7775●
R5F212BCSDFP#U0 64/LQFP 128 7.5 — 20 55 I2C, UART 3 to 5.5 -40°C to +85°C 167-7776●
R5F212BCSDFA#U0 64/LQFP 128 7.5 — 20 55 I2C, UART 3 to 5.5 -40°C to +85°C 167-7777●
R5F212CCSDFP#U0 80/LQFP 128 7.5 — 20 55 I2C, UART 3 to 5.5 -40°C to +85°C 167-7778●
The M32C/87 is based on the M32C/80 CPU Core and has 16MB of address space. Maximum operating frequency is 32MHz. Mask
ROM and Flash Memory Versions are available. Internal Flash Memory is programmable on a single power source.
447695
Pins/ Program Internal Data Clock I/O Serial Supply Voltage Operating
Package FLASH RAM FLASH Frequency Pins Interface Ambient Price Each
Mftrs. List No. (KByte) (KByte) (KByte) (MHz) (V) Temperature Order Code 1+ 10+ 100+
Série MC16C
M30260F8AGP#U5A 48/LQFP 64 2 4 20 39 3xUART, IEBus, I²C, SSU 3 to 5.5 -20°C to +85°C 166-8660●
M30280FAHP#U5B 80/LQFP 96 8 4 20 71 3xUART, IEBus, I²C, SSU 3 to 5.5 -20°C to +85°C 166-8661●
M30290FCHP#U5A 80/LQFP 128 12 4 20 71 3xUART, IEBus, I²C, SSU, CAN 3 to 5.5 -20°C to +85°C 166-6254●
M30291FCHP#U3A 64/LQFP 128 12 4 20 55 I2C, SCI, UART 3 to 5.5 -20°C to +85°C 167-7798●
Semiconductors
M30290FCHP#U3A 80/LQFP 128 12 4 20 71 I2C, SCI, UART 3 to 5.5 -20°C to +85°C 167-7799●
R5F3640DDFA#U0 100/QFP 256 16 4 25 88 I2C, UART 2.7 to 5.5 -40°C to +85°C 167-7782●
R5F3640DDFB#U0 100/LQFP 256 16 8 25 88 I2C, UART 2.7 to 5.5 -40°C to +85°C 167-7784●
R5F3650EDFA#U0 100/QFP 256 20 8 32 88 I2C, UART 3 to 5.5 -40°C to +85°C 167-7786●
R5F3640MDFA#U0 100/QFP 512 31 8 25 88 I2C, UART 2.7 to 5.5 -40°C to +85°C 167-7783●
R5F3650MDFA#U0 100/QFP 512 31 8 32 88 I2C, UART 3 to 5.5 -40°C to +85°C 167-7787●
R5F3650TDFA#U0 100/QFP 768 47 8 32 88 I2C, UART 3 to 5.5 -40°C to +85°C 167-7788●
R5F3650TDFB#U0 100/LQFP 768 47 8 32 88 I2C, UART 3 to 5.5 -40°C to +85°C 167-7791●
Série MC32C
M30879FLGP#U3 100/LQFP 1024 48 4 32 87 4.2 to 5.5 -20°C to +85°C 167-7797●
Operating
Pins/ ROM RAM Frequency I/O Interface Supply Voltage Operating Price Each
Mftrs. List No. Package (KByte) (KByte) (MHz) Pins Type (V) Temperature Order Code 1+
DF70834AD80FTV TQFP100/ 256 16 80 65 I2C, SCI 3 to 3.6 -40°C to +85°C 167-7754●
DF70835AD80FTV 100/TQFP 512 32 80 65 I2C, SCI 3 to 3.6 -40°C to +85°C 167-7753●
DF70844AD80FPV 112/LQFP 256 16 80 76 I2C, SCI 3 to 3.6 -40°C to +85°C 167-7752●
DF70845AD80FPV 112/LQFP 512 32 80 76 I2C, SCI 3 to 3.6 -40°C to +85°C 167-7751●
DF70854AD80FPV 144/LQFP 256 16 80 100 I2C, SCI 3 to 3.6 -40°C to +85°C 167-7750●
DF70865AD80FPV 176/LQFP 512 32 80 118 I2C, SCI 3 to 3.6 -40°C to +85°C 167-7748●
Price Each
Pins Pkg Description Mftrs. List No. Order Code 1+ + +
4F2472 176 BGA 16 Bit Microcontroller, 512KB FLASH, 40KB RAM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . R4F2472VBR34V 167-7796●
5F61622 144 LQFP 32 Bit Microcontroller, 256KB FLASH, 24KB RAM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . R5F61622N50FPV 167-7793●
5F61648 144 LQFP 32 Bit Microcontroller, 1MB FLASH, 56KB RAM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . R5F61648N50FPV 167-7794●
61664 144 LQFP 32 Bit Microcontroller, 512KB FLASH, 40KB RAM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DF61664N50FPV 167-7795●
64F2128 64 QFP 16-Bit Microcontroller, 128k Flash, 43 I/O lines, 20MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . HD64F2128FA20V 119-3599●
64F2144 100 QFP 16-Bit Microcontroller, 128k Flash, 4Kb RAM, 74 I/O lines, 20MHz. . . . . . . . . . . . . . . . . . . . . . . . . . HD64F2144FA20V 119-3598●
64F2378 144 LQFP 16-Bit Microcontroller, 512k Flash, 32Kb RAM, 96 I/O lines, 34MHz. . . . . . . . . . . . . . . . . . . . . . . . . HD64F2378RVFQ34V 117-2312●
64F2633 128 QFP 16-Bit Microcontroller, 256kb Flash, 16kb RAM, 73 I/O lines, 25MHz . . . . . . . . . . . . . . . . . . . . . . . . HD64F2633F25V 119-3601●
64F2633 128 QFP 16-Bit Microcontroller, 256kb Flash, 16kb RAM, 73 I/O lines, 25MHz . . . . . . . . . . . . . . . . . . . . . . . . HD64F2633F25V 119-3601●
64F3664 64 LQFP 16-Bit Microcontroller, 32K Flash, 2K RAM, 29 I/O lines, 8 Input lines. . . . . . . . . . . . . . . . . . . . . . . . HD64F3664FPV 883-0126●
64F3687 64 QFP 16-Bit Microcontroller, 56kb Flash, 4K RAM, 45 I/O lines, I²C/SCI interface, 20MHz . . . . . . . . . . . . . HD64F3687HV 119-3603●
145
146 farnell.com element14.com
Price Each
Mftrs. List No. Description Order Code 1+ 10+ 100+
DS76190B125BGV 32-Bit RISC Microcomputer SuperH™ SH7619 Series. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 160-3143●
DS76190N125BGV 32-Bit RISC Microcomputer SuperH™ SH7619 Series. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 160-3144●
HD6417616SFV 32-Bit RISC Microcomputer SuperH™ SH7600 Series. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 160-3148●
HD6417710FV 32-Bit RISC Microcomputer SuperH™ SH7700 Series. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 160-3149●
Ì 32-bit RX CPU core Ì System Clocking with Clock Monitoring and real time clock
Ì Low-power design and architecture (2.7V to 3.6V operation from a single supply) Ì Two independent watchdog timers
Ì Main Flash Memory, no Wait-State Ì Up to 14 communications interfaces and 20 extended function timers
Ì Data flash memory Ì External address space
Ì SRAM, no Wait-State Ì 1-MHz ADC units with two combination choices
Ì DMA Ì 10-bit DAC, 2 channels
Ì Reset and supply management Ì Up to 128 GPIO
662654
RX630 Series
100-MHz 32-bit RX MCU, on-chip FPU, 165 DMIPS, up to 2-MB flash memory, USB 2.0 full-speed function interface, CAN, 10- & 12-bit A/D
converter, RTC, up to 22 comms interfaces.
146
farnell.com element14.com 147
RX62T Series
100-MHz 32-bit RX MCUs, FPU, 165 DMIPS, 12-bit ADC (3 S/H circuits, double data register, amplifier, comparator): two units, 10-bit ADC one
unit, the three ADC units are
capable of simultaneous 7-ch. sampling, 100-MHz PWM (two three-phase complementary channels and four single-phase complementary channels or three three-phase complementary
channels and one single-phase complementary channel)
Ì 32-bit RX CPU core
Ì Operating voltage: Single 3.3- or 5-V supply; 5-V analog supply is possible with 3.3-V products Ì Reset and supply management
Semiconductors
Ì Low-power design and architecture Ì Clock functions
Ì On-chip main flash memory, no wait states Ì Independent watchdog timer
Ì On-chip data flash memory Ì Up to 7 communications interfaces and 16 16-bit timers
Ì On-chip SRAM, no wait states Ì Three A/D converter units for 1-MHz operation, for a total of 20 channels
Ì DMA Ì CRC (cyclic redundancy check) calculation unit
Ì Up to 61 input-output ports and up to 21 input-only ports
662655
147
148 farnell.com element14.com
Mftrs. List No. Order Code Price Each 10+ 50+ 100+
Microcontrollers V850ES CPU Core
UPD70F3735GK-GAK-AX 210-1541●
UPD70F3736GK-GAK-AX 210-1542●
UPD70F3735GC-GAD-AX 210-1544●
UPD70F3736GC-GAD-AX 210-1545●
UPD70F3740GC-UEU-AX 210-1546●
Semiconductors
UPD70F3742GC-UEU-AX 210-1547●
UPD70F3834GC-UEU-AX 210-1548●
UPD70F3837GC-UEU-AX 210-1549●
UPD70F3737GC-UEU-AX 210-1550●
UPD70F3738GC-UEU-AX 210-1551●
UPD70F3794GC-UEU-AX 210-1552●
UPD70F3796GC-UEU-AX 210-1553●
UPD70F3737GF-GAS-AX 210-1554●
UPD70F3738GF-GAS-AX 210-1556●
UPD70F3793GC-UEU-AX 210-1557●
The V850ES/JC3-H and V850ES/JE3-H are 32-bit single-chip microcontrollers that use the UPD70F3760GC-UEU-AX 210-1558●
V850ES CPU core and incorporate peripheral functions such as ROM/RAM, a timer/coun-
UPD70F3762GC-UEU-AX 210-1559●
ter, serial interfaces, an A/D converter, a D/A converter, a DMA controller, CAN, and a USB
UPD70F3770GC-UEU-AX 210-1560●
1 function controller.
662506 UPD70F3844GC-UEU-AX 210-1561●
Manufacturers part numberCPU SpeedCore Size Controller Family/ Digital IC Case order code UPD70F3842GC-UEU-AX 210-1562●
Series Style UPD70F3764GC-UEU-AX 210-1563●
Integrated Circuits & Development Kits
UPD70F3833GK-GAK-AX 210-1540●
148
farnell.com element14.com 149
Semiconductors
Ì Supports both JTAG and Spy-Bi-Wire (2-wire JTAG) debug protocols
Order Code Price Each
Kit Contents:
MSP-FET430U14 14 Pin Pkg Board and USB Programmer 117-2230 Ì Development board with 40-pin QFN (RHA) ZIF socket (MSP-TS430RHA40A)
MSP-FET430U64 64 Pin Pkg Board and USB Programmer 117-2231 Ì JTAG Header cable
MSP-FET430U80 80 Pin Pkg Board and USB Programmer 117-2233 Ì MSP430 USB debugging Interface (MSP-FET430UIF)
Ì Two MSP430FR5739iRHA FRAM memory silicon devices
660008
CrossWorks for MSP430 Price Each
CrossWorks is the complete development solution for all your Mftrs. List No. Order Code 1+
MSP430 projects - from initial design through final production, MSP-FET430U40A 189-3310▲
CrossWorks has it covered. Based on the successful work we have
provided to OEM customers, our offering continues to move from
strength to strength. CrossWorks for MSP430 sets the standard for Texas Instruments MSP-FET430 Parallel Port Debug Interface
MSP430 development tools. The toolset provides a complete and cost-effective solution
for programming the MSP430 family of low-power microcontrollers. CrossWorks supports
The MSP-FET430PIF is a Parallel Port interface (tar- 1
get board not included) that is used to program and
all variants of the MSP430 family, from the smallest to the largest. We bundle an ANSI C debug MSP430 FET tools and test boards through
compiler, macro assembler, linker/locator, libraries, core simulator, flash downloader, the JTAG interface. This interface is included in our
JTAG debugger, and our class-leading integrated development environment, CrossStudio.
The MSP-FET430PIF is a Parallel Port interface and the MSP-FET430UIF is a USB interface
MSP430 USB Debugging Interface
pod (neither include target board) that are used to program and debug MSP430 FET tools
and test boards through the JTAG interface. These interfaces communicate to the Debug- The MSP-FET430UIF is a USB interface pod
ger Software (IAR Kickstart software included) running on the PC. The parallel interface us- (does not include target board) that is used
es the standard 14 pin header to communicate to the MSP430 device using the standard to program and debug MSP430 FET tools
JTAG protocol whereas the USB interface can also use the pin saving Spy-Bi-Wire (2-wire and test boards through the JTAG interface.
JTAG) protocol. The USB pod uses a USB PC port to com-
The flash memory can be erased and programmed in seconds with only a few keystrokes, municate to the Debugger Software running
and since the MSP430 flash is extremely low power, no external power supply is required. on the PC. It uses the standard 14 pin head-
The tool has an integrated software environment and connects directly to the PC which er to communicate to the MSP430 device ei-
greatly simplifies the set-up and use of the tool. The flash development tool supports de- ther using the standard JTAG or pin saving
velopment with all MSP430 flash parts. Spy-Bi-Wire (2-wire JTAG) protocol.
Features:
Features:
Ì MSP430 debugging interface to connect a MSP430-Flash-device to a USB port on a
Ì MSP430 debugging interface
PC
Ì Supports both JTAG and Spy-Bi-Wire (2-wire JTAG) debug protocols
Ì Provided with a USB cable and a 14-conductor target cable
Ì USB cable and a 14-conductor target cable
Ì Integrated IAR Kickstart user interface which includes an assembler, linker, simulator,
Ì Integrated IAR Kickstart user interface
source-level debugger, and limited C-compiler
Ì Full documentation on CD ROM Technical Specifications:
Ì Backwardly compatable with existing FET tool boards Ì Software Configurable supply voltage between 1.8 and 5 volts at 100mA
492663
149
150 farnell.com element14.com
Mftrs. List No. Description Order Code Price Each MSP430 In-System Gang Programmer
MSP430-JTAG-ISO Programming / Emulation Tool 170-1522●
MSP430-JTAG-RF Wireless Programming / Emulation Tool 170-1523● This in-system gang programmer tool can be used to program up to 8 MSP430 FLASH de-
MSP430-P149 MPS430F149 Development Board 170-1524●
vices simultaneously. These devices can be programmed in-circuit or in stand-alone sock-
ets and software is included to facilitate device programming. The programming can be
MSP430-P249 MPS430F249 Development Board 170-1525●
done with a PC or standalone. Since the graphical user interface (GUI) is DLL-based the
MSP430-P1611 MPS430F1611 Development Board 170-1527● DLL can be used independently from the GUI. Several examples show how the DLL could
MSP430-P169 MPS430F169 Development Board 170-1528● be applied at various high level languages (C, C++, VisualBasic, LabView).
Features:
Ì In circuit gang programmer
Ì 1 Cable Sub-D 9 Pin and one Cable Sub-D 25 Pin
Ì Target expansion board
Ì Eight 14-pin cables for connectivity of up to 8 targets
Ì Literature including Read Me First and MSP-GANG430 User’s Guide
Ì CD-ROM with MSP-GANG430 Software
Ì CE-Confirmation Letter
150
farnell.com element14.com 151
339879 663028
Semiconductors
Ì Power supply voltage regulators and filtering capacitor
Ì Extension headers for all μC pins
556091
MSP430 Header Boards
Mftrs. List No. Description Order Code Price Each
MSP430-169LCD Starter Kit / Dev Board 177-6328● Features:
Ì JTAG connector
Ì JTAG Power_In/Out jumpers
MSP430F44x Evaluation Board Ì 32768 Hz crystal oscillator
Ì Optional high frequency crystal
Ì RST/NMI pin pull-up
Ì Analog-Digital GND jumper
Ì Analog-Digital VCC jumper
Ì Power supply filter capacitors
Ì 0.1” (2.54 mm) extension slots
MSP430-H149 1
MSP430 Header Boards provides easy way for developing and prototyping with MSP430
microcontrollers.
556066
151
152 farnell.com element14.com
152
farnell.com element14.com 153
Semiconductors
MSP430F2012IPW 14/TSSOP 2KB 128Byte 10 I2C, SPI 147-1274●
MSP430F2012IN 14/DIP 2KB 128Byte 10 USI (SPI or I2C) 161-0175●
MSP430F2012IRSAT 16/QFN 2KB 128Byte 10 USI 164-9403●
MSP430F2012TN 14/PDIP 2KB 128Byte 10 USI 164-9404●
MSP430F2012TPW 14/TSSOP 2KB 128Byte 10 USI 164-9405●
MSP430F2013TN 14/PDIP 2KB 128Byte 10 USI 164-9406●
MSP430F2013IN 14/DIP 2KB 128Byte 10 USI (SPI or I2C) 161-0176●
MSP430F2013TPW 14/TSSOP 2KB 128Byte 10 USI (SPI or I2C) 161-0177●
MSP430F2013IPW 14/TSSOP 2KB 128Byte 10 I2C, SPI 117-2228●
MSP430F2111IPW 20/TSSOP 2KB 128Byte 16 UART 164-9409●
MSP430F2011TRSAT 16/QFN 2KB 128Byte 10 UART 175-3254●
MSP430F2012TRSAT 16/QFN 2KB 128Byte 10 I2C, SPI 175-3255●
MSP430F2111IDGV 20/TVSOP 2KB 128Byte 16 UART 175-3262● 1
MSP430F2111IDW 20/SOIC 2KB 128Byte 16 UART 175-3263●
MSP430F2111IRGET 24/QFN 2KB 128Byte 16 UART 175-3264●
MSP430F2111TDGV 20/TVSOP 2KB 128Byte 16 UART 175-3266●
153
154 farnell.com element14.com
MSP430F2254IDA 38/TSSOP 16KB 512Byte 32 UART, LIN, IrDA, SPI, I2C 164-9422●
MSP430F2254IRHAT 40/QFN 16KB 512Byte 32 UART, LIN, IrDA, SPI, I2C 164-9423●
MSP430F2254TRHAT 40/QFN 16KB 512Byte 32 UART, LIN, IrDA, SPI, I2C 164-9424●
MSP430F2252IRHAT 40/QFN 16KB 512Byte 32 I2C, IrDA, LIN, SPI, UART 175-3294●
MSP430F2254TDA 38/TSSOP 16KB 512Byte 32 I2C, IrDA, LIN, SPI, UART 175-3295●
MSP430F235TPM 64/LQFP 16KB 2KB 48 UART, LIN, IrDA, SPI, I2C 164-9432●
MSP430F235TRGCT 64/VQFN 16KB 2KB 48 UART, LIN, IrDA, SPI, I2C 164-9433●
MSP430F2350IRHAT 40/QFN 16KB 2KB 32 UART, LIN, IrDA, SPI, I2C 164-9430●
MSP430F2350TRHAT 40/QFN 16KB 2KB 32 UART, LIN, IrDA, SPI, I2C 164-9431●
MSP430F4260IDL 48/SSOP 24KB 256Byte 32 UART 155-5270●
MSP430FR5739IRHAT 40/VQFN 16KB 1KB 33 206-4094●
MSP430F436IPZ 100/LQFP 24KB 1KB 48 SPI, UART 164-9463●
MSP430F156IRTDT 64/QFN 24KB 1KB 64 I2C, SPI, UART 175-3240●
MSP430F2370IYFFR 49/DSBGA 32 KB 2KB 32 I2C, SPI, UART 190-3432●
MSP430F2471TPM 64/LQFP 32 KB 4KB 48 I2C, SPI, UART 190-3435●
MSP430F2471TRGCR 64/VQFN 32 KB 4KB 48 I2C, SPI, UART 190-3436●
MSP430F247TRGCR 64/VQFN 32 KB 4KB 48 I2C, SPI, UART 190-3437●
MSP430F427AIPM 64/LQFP 32 KB 1KB 14 SPI, UART 190-3441●
MSP430F147IPAG 64/TQFP 32KB 1KB 48 SPI, UART 164-9389●
MSP430F5510IZQER 80/BGA 32 KB 6KB 47 I2C, LIN, SPI, UART 189-2144●
MSP430F147IRTDT 64/QFN 32KB 1KB 48 SPI, UART 164-9390●
MSP430F147IPM 48/LQFP 32KB 1KB 48 SPI, UART 147-1269●
MSP430F157IPM 64/LQFP 32KB 1KB 48 I2C, SPI, UART 117-2225●
MSP430F167IPM 64/LQFP 32KB 1KB 48 I2C, SPI, UART 147-1271●
MSP430FW427IPM 64/LQFP 32KB 1KB 48 UART 155-5282●
MSP430F437IPZ 100/QFP 32KB 1KB 48 SPI, UART 121-4412●
MSP430F447IPZ 100/LQFP 32KB 1KB 48 SPI, UART 147-1276●
MSP430F2274TRHAT 40/QFN 32KB 1KB 32 I2C, SPI, UART 153-7193●
MSP430F2274IDA 38/TSSOP 32KB 1KB 32 USCI (UART/LIN/IrDA/SPI and I2C/SPI) 161-0179●
MSP430F2274TDA 38/TSSOP 32KB 1KB 32 USCI (UART/LIN/IrDA/SPI and I2C/SPI) 161-0180●
MSP430F4371IPZ 100/LQFP 32KB 1KB 48 SPI, UART 164-9464●
MSP430F157IRTDT 64/QFN 32KB 1KB 48 I2C, SPI, UART 175-3242●
MSP430F167IRTDT 64/QFN 32KB 1KB 48 I2C, SPI, UART 175-3243●
MSP430F2370TRHAT 40/QFN 32KB 2KB 32 UART, LIN, IrDA, SPI, I2C 164-9434●
MSP430F2370IRHAT 40/QFN 32KB 2KB 32 I2C, IrDA, LIN, SPI, UART 175-3298●
MSP430F2370IYFFT 49/DSBGA 32KB 2KB 32 I2C, IrDA, LIN, SPI, UART 175-3299●
MSP430F247TPM 64/LQFP 32KB 4KB 48 UART, LIN, IrDA, SPI, I2C 164-9443●
MSP430F247TRGCT 64/VQFN 32KB 4KB 48 UART, LIN, IrDA, SPI, I2C 164-9444●
MSP430F1610IRTDT 64/QFN 32KB 5KB 48 SPI, UART 164-9392●
MSP430F1610IPM 64/LQFP 32KB 5KB 48 I2C, SPI, UART 147-1273●
MSP430F1481IRTDT 64/QFN 48KB 2KB 48 SPI, UART 164-9391●
MSP430F168IRTDT 64/QFN 48KB 2KB 48 I2C, SPI, UART 175-3244●
154
farnell.com element14.com 155
Semiconductors
MSP430FG4617IPZ 100/LQFP 92KB 8KB 80 I2C, SPI, UART 155-5276●
MSP430F2417TZQW 113/BGA 92KB 8KB 64 I2C, IrDA, LIN, SPI, UART 175-3302●
MSP430F2616TPN 80/LQFP 92KB 4KB 64 I2C, IrDA, LIN, SPI, UART 175-3311●
MSP430F2616TZQW 113/BGA 92KB 4KB 64 I2C, IrDA, LIN, SPI, UART 175-3312●
MSP430F2617TZQW 113/BGA 92KB 8KB 64 I2C, IrDA, LIN, SPI, UART 175-3313●
MSP430F2418TPM 64/LQFP 116KB 8KB 48 UART, LIN, IrDA, SPI, I2C 164-9441●
MSP430F2418TPN 80/LQFP 116KB 8KB 64 UART, LIN, IrDA, SPI, I2C 164-9442●
MSP430F2618TPM 64/LQFP 116KB 8KB 48 UART, LIN, IrDA, SPI, I2C 164-9455●
MSP430F2618TPN 80/LQFP 116KB 8KB 64 UART, LIN, IrDA, SPI, I2C 164-9456●
MSP430F2418TZQW 113/BGA 116KB 8KB 64 I2C, IrDA, LIN, SPI, UART 175-3303●
MSP430FG4618IZQWR 113/BGA 116 KB 8KB 80 I2C, SPI, UART 190-3455●
MSP430F2619TPM 64/LQFP 120KB 4KB 48 UART, LIN, IrDA, SPI, I2C 164-9457●
MSP430FG4619IPZ 100/LQFP 120KB 4KB 80 I2C, SPI, UART 155-5278● 1
MSP430F2419TPM 64/LQFP 120KB 4KB 48 I2C, IrDA, LIN, SPI, UART 175-3304●
MSP430F2419TZQW 113/BGA 120KB 4KB 64 I2C, IrDA, LIN, SPI, UART 175-3306●
MSP430F2619TZQW 113/BGA 120KB 4KB 64 I2C, IrDA, LIN, SPI, UART 175-3315●
Price Each
Pins/Pkg FLASH RAM GPIO Frequency Interface Mftrs. List No. Order Code 1+ 10+ 100+ + +
32/QFN 1 KB 256Byte 24 16MHz I2C, SPI, UART MSP430G2153IRHB32T 190-3586●
32/QFN 2 KB 256Byte 24 I2C, SPI, UART MSP430G2253IRHB32T 190-3587●
32/QFN 4 KB 256Byte 24 I2C, SPI, UART MSP430G2303IRHB32T 190-3588●
32/QFN 8 KB 512Byte 24 I2C, SPI, UART MSP430G2403IRHB32T 190-3589●
48/VQFN 8KB 4KB 31 25MHz I2C, SPI, UART MSP430F5500IRGZR 197-2383●
32/QFN 16 KB 512Byte 24 I2C, SPI, UART MSP430G2553IRHB32T 190-3590●
64/QFN 16 KB 6KB 47 25MHz I2C, SPI, UART MSP430F5308IRGCR 186-6785●
48/QFN 16 KB 6KB 31 25MHz I2C, SPI, UART MSP430F5501IRGZT 186-6791●
64/QFN 16 KB 6KB 47 25MHz I2C, SPI, UART MSP430F5508IRGCR 186-6796●
48/QFN 16 KB 6KB 31 25MHz I2C, SPI, UART MSP430F5508IRGZR 186-6797●
48/QFN 24 KB 6KB 31 25MHz I2C, SPI, UART MSP430F5309IRGZR 186-6786●
48/QFN 24 KB 6KB 31 25MHz I2C, SPI, UART MSP430F5502IRGZR 186-6792●
48/QFN 24 KB 6KB 31 25MHz I2C, SPI, UART MSP430F5506IRGZR 186-6793●
48/QFN 24 KB 6KB 31 25MHz I2C, SPI, UART MSP430F5509IRGZT 186-6798●
48/LQFP 32 KB 6KB 31 25MHz I2C, SPI, UART MSP430F5310IPT 186-6787●
64/QFN 32 KB 6KB 47 25MHz I2C, SPI, UART MSP430F5310IRGCR 186-6788●
48/QFN 32 KB 6KB 31 25MHz I2C, SPI, UART MSP430F5310IRGZR 186-6789●
80/BGA 32 KB 6KB 47 25MHz I2C, SPI, UART MSP430F5310IZQER 186-6790●
48/VQFN 8KB 4KB 31 25MHz I2C, SPI, UART MSP430F5500IRGZR 197-2383●
48/QFN 32 KB 6KB 31 25MHz I2C, SPI, UART MSP430F5507IRGZT 186-6794●
48/LQFP 32 KB 6KB 31 25MHz I2C, SPI, UART MSP430F5510IPT 186-6799●
64/QFN 32 KB 6KB 47 25MHz I2C, SPI, UART MSP430F5510IRGCR 186-6800●
48/QFN 32 KB 6KB 31 25MHz I2C, SPI, UART MSP430F5510IRGZT 186-6802●
64/QFN 32 KB 6KB 47 25MHz I2C, SPI, UART MSP430F5513IRGCT 186-6803●
80/BGA 32 KB 6KB 47 25MHz I2C, SPI, UART MSP430F5513IZQE 186-6804●
80/LQFP 32 KB 8KB 63 25MHz I2C, SPI, UART MSP430F5521IPN 186-6810●
155
156 farnell.com element14.com
ing, wireless sensing and automatic metering infrastructure (AMI). a MSP430FG4618 and is compatible with TI’s wireless evalua-
546705
tion modules. Two JTAG headers are accessible to program and
Mftrs. List No. Description Order Code Price Each debug each MSP430 individually and allows for communication
MSP-EXP430F5438 Experimenter Board 174-0343▲ to external devices or between the two MSP430s. Power may be
supplied over the USB FET or from the included AAA batteries.
156
farnell.com element14.com 157
Semiconductors
Features This evaluation module controls the colour and
Ì eZ430-RF2500T, wireless target board brightness of a lamp or runs an automatic col-
Ì eZ430-RF, debugging interface our light animation program. The colour is
Ì Supports development with all Spy Bi-Wire devices (MSP430F20xx and F22xx) generated by three LEDs (red, green and blue).
Ì Supports MSP430 Application UART allowing serial communication to PC An MSP430 ultra-low power microcontroller
Ì Removable USB stick enclosure controls the brightness of each LED with con-
Ì Battery expansion board with 2 AAA batteries stant current generated by three TPS62260
Ì SimpliciTI, low power network stack buck converters, one for each LED. The mod-
Ì Example wireless sensor network project measuring temperature, voltage and signal ule is pin compatible with the TPS62240 buck
strength converter for lower current LED applications
Ì Integrated development environment which includes an assembler, linker, simulator, and the TPS62290 for higher current LED ap-
source-level debugger and limited C-compiler plications.
Ì Full documentation on CD-ROM Features:
493420
Ì 2 V to 6 V Supply Voltage Range
Order Code Price Each Ì Output Current up to 600 mA Ì Typ. 15 μA Quiescent Current 1
EZ430-RF2500 RF2500 Board for MSP430 Kit 138-2267▲ Ì 2.25 MHz Fixed Frequency Operation Ì Soft Start
Ì Power Save Mode at Light Load Currents Ì Voltage Positioning at Light Loads
524586
EasyWeb2 EasyWeb3
The MSP430-EasyWeb2 & MSP430-EasyWeb3 TCP/IP boards with MPS430F149 are
based on Andreas Dannenbergs easyWeb TCP/IP.
157
158 farnell.com element14.com
Microcontrollers and Microprocessors - 16- QuickStart™ PLUS Evaluation Kit for ADuC7xxx
Bit TEXAS INSTRUMENTS - continued Series
Microcontroller
16Bit MSP430 Microcontroller
The ADuC7xxx (ARM7姞-core) QuickStart
The Texas Instruments PLUS Kit features non-intrusive JTAG em-
MSP430FR57xx family of ultra- ulation, and an assembly and C-source
low-power microcontrollers debugging environment. The evaluation
consists of multiple devices board also features a prototype develop-
featuring embedded FRAM nonvolatile memory, ul- ment area.
tralow power 16-bit MSP430 CPU, and different pe-
ripherals targeted for various applications. The archi-
tecture, FRAM, and peripherals, combined with sev-
en low-power modes, are optimized to achieve ex-
tended battery life in portable and wireless sensing
applications. Hardware Contents:
Ì ADuC7xxxx Evaluation Board
663702 Ì mIDAS-Link JTAG Emulator
CPU FRAM Package Mftrs. Price Each
Ì USB Cable and Serial Download Cable
Ì International Power Supply
Speed Size Style List No. Order Code 1+ 25+ 50+ Software Features:
8MHz 4KB 24VQFN MSP430FR5720IRGET 207-6778● Ì Keil DKRAM Evaluation version, featuring IDE, C Compiler, Simulator and Debugger
8MHz 4KB 40VQFN MSP430FR5721IRHAT 209-3987● Ì IAR Embedded workbench (Evaluation Version, featuring IDE, C Compiler, ARM7TDMI
8MHz 8KB 24VQFN MSP430FR5722IRGET 209-3988● core Simulator & Debugger (debugger is 32K code-size limited for evaluation version)
8MHz 16KB 40VQFN MSP430FR5727IRHAT 209-3989● and ROM monitor to debug directly via UART
24MHz 4KB 24VQFN MSP430FR5730IRGET 207-6777● Ì PLA Tool
Ì Example Code, Function Libraries, Datasheets, Application Notes, etc.
24MHz 4KB 40VQFN MSP430FR5731IRHAT 209-3990●
418771
24MHz 16KB 40VQFN MSP430FR5737IRHAT 209-3991●
Semiconductors
662690
Ì 32.768 kHz watch crystal
Mftrs. Price Each
Ì ADR291 2.5 V external reference chip
List No. Order Code 1+ Ì Reset/Download/IRQ0 push-buttons
EVAL-ADUC7060QSPZ 206-8026● Ì Power indicator/general-purpose LEDs
Ì Access to all ADC inputs and DAC output from external header
Ì Surface-mount and through hole general-purpose prototype area
Ì External memory and latch footprint
523306
The ADuC7xxx precision analog microcontroller family featuring ARM7-based programmability are designed for high-precision measurement and control, and
data acquisition systems with basic digital programming needs. The ADuC7xxx solutions integrate an ARM7TDMI® core that features a flash-based 16-/32-
bit RISC MCU with processing capability up to 45 MIPS peak performance. The devices support flexible power-down and wake-up modes and are specified
for 3-V operation, working within industrial temperature ranges.
Features: Ì Flash memory for program & data storage Ì 10 ppm voltage reference
Ì 45 MHz 16/32-bit ARM7TDMI microcontroller core Ì JTAG emulation Ì Programmable logic array
Ì Multichannel 12-bit 1 MSPS ADC Ì SPI, I2C, UART Ì Uncommitted analog comparator
Ì Multiple 12-bit 10 μs DACs (10-bit DAC on ADuC712x Series) Ì 3-phase PWM
429271
Pins/ Flash SRAM 12 Bit ADC 12 Bit DAC Three-phase 16-bit I/O pins Firmware Price Each
Mftrs. List No. Package (KByte) (KByte) Channels Channels PWM generator Downloader Order Code 1+ 10+ 100+
ADUC7019BCPZ62I LFCSP40/ 62 8 5 3 — 14 I2C 116-2633●
ADUC7020BCPZ62 40/LFCSP 62 8 5 4 — 14 UART 116-2634●
ADUC7021BCPZ32 40/LFCSP 62 4 8 2 — 13 UART 127-4142●
ADUC7021BCPZ62 40/LFCSP 62 8 8 2 — 13 UART 116-2635●
ADUC7022BCPZ62 40/LFCSP 62 8 10 — — 13 UART 116-2637●
ADUC7024BCPZ62 40/LFCSP 62 8 10 2 ✓ 30 UART 116-2641●
ADUC7024BSTZ62 40/LQFP 62 8 10 2 ✓ 30 UART 116-2642●
ADUC7025BSTZ62 40/LQFP 62 8 12 — ✓ 30 UART 116-2645●
ADUC7026BSTZ62 40/LQFP 62 8 12 4 ✓ 40 UART 116-2646●
ADUC7028BBCZ62 64/CSPBGA 62 8 8 4 — 30 UART 143-9046●
ADUC7121BBCZ 108/BGA 126 KB 8 9 4 — 32 I2C 189-8420●
ADUC7128BCPZ126 64/LFCSP 126 8 10 — ✓ 28 UART 135-1738●
158
farnell.com element14.com 159
Semiconductors
Ì JTAG/ICE debug interface
Microcontroller ARM Ì Four buffered analog inputs
Ì Four general-purpose LEDs and push-
buttons
Ì Expansion connector
Ì Prototyping area
Kit Contents:
Ì AT91SAM7S-EK board
Ì A/B-type USB cable
Ì DVD-ROM containing summary and full datasheets and an AT91 software package with
C and assembly listings
ARM Microcontroller 449076
Manufacturers part number CPU Speed Flash Memory Embedded Interface Digital IC Case order code Mftrs. List No. Description Order Code Price Each
AT91SAM9G15-CU 400MHz
Size
32bit
Type
Serial, SPI, UART
Style
BGA 206-6287
AT91SAM7S-EK AT91SAM7S-EK Evaluation Board 109-5463 1
AT91SAM9G25-CU 400MHz 32bit Serial, SPI, UART BGA 206-6288
AT91SAM9G35-CU 400MHz 32bit Serial, SPI, UART BGA 206-6289
AT91SAM9X25-CU 400MHz 32bit Serial, SPI, UART BGA 206-6290
AT91SAM7A3-EK Evaluation Board
159
160 farnell.com element14.com
Ì Atmel 32 Mbit serial DataFlash® (AT45DB321C-CNC) Mftrs. List No. Description Order Code Price Each
Kit Contents: SAM7-EX256 Development Board 170-1510●
Ì AT91SAM7X-EK development board SAM7-P256 Development Board 170-1511●
Ì A/B-type USB cable, crossed RS-232 cable and crossed RJ-45 Ethernet cable
Ì Universal Input AC/DC power supply
Ì Software DVD-ROM AT91SAM-ICE JTAG Emulator
450946
Mftrs. List No. Order Code Price Each The SAM-ICE is a JTAG emulator designed for use with At-
mel AT91 ARM cores. It connects via USB to a PC running
AT91SAM7X-EK 128-8329●
Microsoft Windows 2000 or XP. SAM-ICE has a built-in 20-
pin JTAG connector, which is compatible with the standard
SAM7 Development Boards 20-pin connector defined by ARM.
Features of SAM-ICE:
Ì Supports any Atmel AT91 ARM7/ARM9 core
Ì Seamless integration into the IAR Workbench
Ì Powered through USB
Semiconductors
Pins/ Flash SRAM PDC Ethernet Serial Comms I/O pins Price Each
Mftrs. List No. Package (KByte) (KByte) Channel MAC 10/100 Order Code 1+ 5+ 10+
AT91SAM9260B-CU 217/CBGA — 8 24 ✓ MCI, SPI, SSC, TWI, USART 96 171-5466●
AT91SAM9R64-CU 144/LFBGA — 64 22 — SPI, USART 49 177-3390●
AT91SAM9263B-CU 324/FBGA — 96 22 ✓ MCI, SPI, SSC, TWI, USART 160 171-5468●
AT91SAM9G20B-CU 217/CBGA — 96 24 ✓ MCI, SPI, SSC, TWI, USART 96 171-5469●
AT91SAM9261B-CU 217/BGA – 160 19 — I2C, SPI, SSC, UART, USART, USB 96 174-8504●
AT91SAM7S16-AU 48/LQFP 16 4 9 — SPI, SSC, TWI, USART 21 171-5465●
AT91SAM7S321-AU 64/LQFP 32 8 11 — USB, 2x UART, SPI, SSC 32 128-8323●
AT91SAM7SE32-AU 128/LQFP 32 8 11 — USB, 2x USART, SPI, SSC 88 145-5082●
AT91SAM7L64-AU 128/LQFP 64 6 11 — I2C, SPI, UART, USART 80 174-8501●
AT91SAM7L128-AU 128/LQFP 128 6 11 — I2C, SPI, UART, USART 80 174-8500●
AT91SAM7X128B-AU 100/LQFP 128 32 13 ✓ CAN, I2C, SPI, SSC, UART, USART, USB 62 174-8502●
AT91SAM7X256B-AU 100/LQFP 256 64 13 ✓ CAN, I2C, SPI, SSC, UART, USART, USB 62 174-8503●
AT91SAM7A3-AU 100/LQFP 256 32 19 ✓ CAN, USB, 3x USART, 2x SPI, SSC 62 145-5079●
AT91SAM7SE512-AU 128/LQFP 512 32 11 — USB, 2x USART, SPI, SSC 88 145-5083●
AT91SAM9XE512-QU 208/PQFP 512 32 24 ✓ MCI, SPI, SSC, TWI, UART, USART 96 171-5473●
AT91SAM7X512-AU 100/LQFP 512 128 11 ✓ CAN, USB, 3x UART, 2x SPI, SSC 60 128-8328●
160
farnell.com element14.com 161
Semiconductors
Ì Atmel serial DataFlash姞 Ì Atmel AT73C213 Audio DAC
Ì Atmel TWI serial EEPROM Ì Power & general-purpose LEDs The AT91SAM9G20-EK
Ì USB device port interface Ì user, Wakeup & reset push buttons Evaluation Kit supports the AT91SAM9G20
Ì Two USB Host port interfaces Ì DataFlash, SD/MMC card slot ARM926EJ-S-based 32-bit RISC microcon-
Ì DBGU serial communication port Ì four expansion connectors troller for real-time code development and
Ì Complete MODEM serial communication port Ì BGA-like EBI expansion footprint evaluation.
Ì Additional serial communication port with connector Evaluation Board Features:
RTS/CTS handshake control Ì Lithium Coin Cell Battery Retainer Ì 64 Mbytes of SDRAM memory
Ì JTAG/ICE debug interface Ì 256 Mbytes of NAND Flash memory
523995
Ì 1x Atmel serial DataFlash姞
Mftrs. List No. Description Order Code Price Each
Ì 1x Atmel TWI serial EEPROM
AT91SAM9XE-EK AT91SAM9XE-EK Evaluation Board 164-8590● Ì 1x USB Device port interface
Ì 2x USB Host port interfaces
AT91SAM9260-EK Evaluation Kit Ì 1x DBGU serial communication port
Ì 1x complete MODEM serial communica-
1
The AT91SAM7SE-EK tion port
evaluation board en- Ì 1x additional serial communication port with RTS/CTS handshake control
161
162 farnell.com element14.com
TWR-K60F120M-KIT contains:
Ì TWR-K60F120M MCU module i.MX27 Development Tools
Ì TWR-ELEV - Primary and Secondary Elevator Modules
Ì TWR-SER - Serial module including USB host/device/OTG, Ethernet, CAN, RS232 and The i.MX27 Lite Kit enables
RS485 rapid design of embedded products targeting the medical,
662563
industrial, wireless, consumer markets and general pur-
Mftrs. Price Each pose markets. Leverage the power of the i.MX27 multime-
List No. Order Code 1+ dia processor in this cost-effective development solution.
TWR-K60F120M 206-8184▲ This kit has been developed between Logic Product Devel-
opment and Freescale.
TWR-K60F120M-KIT 206-8185▲
The i.MX27 Application Development System
(MCIMX27ADSE) is a development tool which is designed
K70 Tower Board and Development Kit to run software applications designed for the i.MX27 proc-
i.MX27 LIte Kit essor.
526301
162
farnell.com element14.com 163
Kinetis K20 72 MHz MCU Module Ì Potentiometer, 4 LEDs, 2 pushbuttons, infrared port
IAR J-Link Lite for Cortex-M Debug Probe
TWR-K20D72M Ì SWD/SWO support for Cortex-M0/M1/M3/M4 devices
Ì JTAG clock up to 4MHz
The K20D72M Tower MCU Module (TWR- Ì Flash download
K20D72M) is a low-cost evaluation, demon- Ì 3.3V target interface voltage (5V tolerant)
stration and development board, which fea- Ì 19 pin adapter cable included
tures the Kinetis K20 72MHz low-power microcontroller. 660080
These devices are based on the ARM姞 Cortex™-M4 architec-
Price Each
ture with USB 2.0 full-speed OTG controller. The TWR-
K20D72M microcontroller module can operate in stand-alone Mftrs. List No. Order Code 1+
mode or as part of the Freescale Tower System, a modular TWR-K60N512-IAR 187-6991●
development platform that enables rapid prototyping and tool
re-use through reconfigurable hardware.
Freescale Tower System
Features: TWR-PXN20
Ì Stand-alone USB host and device function
Ì S08JM60 based Open Source BDM (OSBDM) circuit The TWR-PXN20 is a modular develop-
Ì Four user-controlled status LEDs ment platform that enables rapid proto-
Ì Two Capacitive Touch Pads and two mechanical push buttons typing and tool re-use through reconfigur-
Ì Socket for Capacitive Touch Pad daughter board (Touch TWRPI) able hardware. Elevate your design to the next level with
Ì Socket for Tower Plug-in (TWRPI, e.g. sensor board) this industrial power house by building your Tower Sys-
Ì Microphone, buzzer, potentiometer and MMA8451Q three-axis accelerometer tem today.
Ì Battery holder for 20 mm lithium battery (e.g. 2032, 2025) The 32-bit dual core PXN20 Power Architecture® micro-
Ì Board power select with 3.3-volt or 1.8-volt MCU operation controllers support a variety of communication protocols,
662209 allowing the designer to put together a cost effective, re-
liable industrial gateway with cutting-edge performance. A
Order Code Price Each
large amount of on-chip flash, on-chip SRAM with error correction code capability, 36-
TWR-K20D72M 208-4603● channel ADC, dual cores and a host of serial I/Os make the PXN20 a compelling solution
for your next design cycle.
Semiconductors
Features:
Development Kit for Kinetis 50 MHz K20 Family Ì MPXN2020VMG116 MCU
TWR-K20D50M Ì CAN transceiver
Ì RS485 half duplex transceiver
The TWR-K20D50M microcon- Ì RS232 transceiver
troller module is designed to work Ì Four LEDs for user interface
either in stand alone mode or as Ì Two mechanical push buttons for user interface
part of the Freescale Tower Sys- Ì Potentiometer for ADC testing
tem, a modular development platform that enables rapid Ì 3-axis accelerometer (MMA8451Q)
prototyping and tool re-use through reconfigurable Ì General-purpose TWRPI socket (Tower plug-in module)
hardware. Take your design to the next level and begin Ì OSJTAG open source JTAG debug interface (MC9S08JM60)
constructing your Tower System today by visiting frees- Ì OSJTAG virtual serial port using USB
cale.com/tower for additional Tower System microcon- Ì NEXUS, JTAG debug interface
troller modules and compatible peripherals. Ì Universal header (GPIO)
Contents:
1
Features: Ì TWR-PXD20 board with a PXN20 32-bit Power Architecture® MCU
Ì Tower compatible microcontroller module Ì USB cable
Ì Three axis accelerometer
Ì DVD with lab tutorials, software, training and collateral
Ì Touch Tower Plug-in Socket Ì Microphone (ADC) Order Code Price Each
Ì General purpose Tower Plug-in (TWRPI) socket Ì Buzzer
Ì On-board debug circuit MC9S08JM60 open source Ì Battery backup for RTC TWR-PXN20 209-5572●
JTAG (OSJTAG) with virtual serial port TWR-PXN20-KIT 209-5573●
661528
163
164 farnell.com element14.com
508939
Mftrs. List No. Order Code Price Each 10+ 50+ 100+
Kinetis K Series MCU
MK10DN512ZVLL10 207-0276●
MK10DN512ZVLQ10 207-0277●
Ì Voltage range: 1.71V MK20DN512ZVLL10 207-0278●
to 3.6 V MK20DN512ZVLQ10 207-0279●
Ì Temperature range MK30DN512ZVLQ10 207-0280●
(ambient): -40°C to MK40DN512ZVLL10 207-0281●
+105°C
MK40DN512ZVLQ10 207-0282●
Ì 100 MHz ARM Cortex-M4 core with DSP
MK60DN512ZVLL10 207-0283●
instructions delivering 1.25 Dhrystone
MIPS per MHz MK60DN512ZVLQ10 207-0284●
1 Ì 32 kHz crystal oscillator
Ì 16-channel DMA controller, supporting Ì Two 16-bit SAR ADCs Microcontrollers and Microprocessors -
up to 64 request sources Ì Two 12-bit DACs
663284 ARM-based NXP
Integrated Circuits & Development Kits
The EM773 is NXP’s first ARM-based product designed specifically for energy metering in
non-billing applications. Built around a low-power, cost-effective ARM Cortex-M0 core that
operates at up to 48 MHz, the EM773 also includes an automatic, single-phase metrology
engine for power and energy, with 32 kB of flash memory, 8 kB of SRAM memory, and a
50 MHz entry-level K10 microcontrollers (MCUs) are the lowest power Kinetis ARM 姞Cor- full set of serial peripherals.
tex™-M4 devices with high feature integration in a small form factor. Features:
662516 Ì 1% accurate, single-phase metrology engine
Manufacturers part CPU Flash Memory Embedded Interface Type Digital IC order code Ì Automatic calculations for a range of metrics, without CPU intervention
number Speed Size Case Style Ì ARM Cortex-M0 (up to 48 MHz)
MK10DN512ZVLL10 100MHz 32bit CAN, I2C, SPI, UART LQFP 207-0276 Ì 32 kB flash memory
MK10DN512ZVLQ10 100MHz 32bit CAN, I2C, SPI, UART LQFP 207-0277 Ì 8 kB SRAM memory
MK20DN512ZVLL10 100MHz 32bit CAN, I2C, SPI, UART, USB LQFP 207-0278 Ì Integrated serial peripherals (UART, SPI, I2C, GPIO, counter/timer, WDT)
MK20DN512ZVLQ10 100MHz 32bit CAN, I2C, SPI, UART, USB LQFP 207-0279 Ì 33-pin HVQFN package
MK30DN512ZVLQ10 100MHz 32bit CAN, I2C, SPI, UART, USB LQFP 207-0280
MK40DN512ZVLL10 100MHz 32bit CAN, I2C, SPI, UART, USB LQFP 207-0281
Ì Supported by standard Cortex-M0 API
MK40DN512ZVLQ10 100MHz 32bit CAN, I2C, SPI, UART, USB LQFP 207-0282 Ì Available with open source M-Bus sample application
MK60DN512ZVLL10 100MHz 32bit CAN, I2C, SPI, UART, USB LQFP 207-0283 660060
MK60DN512ZVLQ10 100MHz 32bit CAN, I2C, SPI, UART, USB LQFP 207-0284 Price Each
Mftrs. List No. Order Code 1+
OM13006 187-6266
164
farnell.com element14.com 165
Semiconductors
48/LQFP 32 8 42 72 -40°C to +85°C LPC1313FBD48 176-2577●
33/HVQFN 32 8 28 72 -40°C to +85°C LPC1313FHN33 176-2578●
48/LQFP 32 8 42 72 -40°C to +85°C LPC1343FBD48 176-2580●
33/HVQFN 32 8 28 72 -40°C to +85°C LPC1343FHN33 176-2581●
604717
165
166 farnell.com element14.com
Pins/Pkg (kB) (kB) Lines (MHz) Temperature Mftrs. List No. Order Code 1+ 10+ 100+ 250+ 500+
80/LQFP 32 8 52 100 -40°C to +85°C LPC1751FBD80 171-8541●
80/LQFP 64 16 52 100 -40°C to +85°C LPC1752FBD80 171-8542●
80/LQFP 128 32 52 100 -40°C to +85°C LPC1754FBD80 171-8543●
80/LQFP 256 32 52 100 -40°C to +85°C LPC1756FBD80 171-8544●
80/LQFP 512 64 52 100 -40°C to +85°C LPC1758FBD80 171-8545●
100/LQFP 128 32 70 100 -40°C to +85°C LPC1764FBD100 171-8546●
100/LQFP 256 64 70 100 -40°C to +85°C LPC1765FBD100 171-8547●
100/LQFP 256 64 70 100 -40°C to +85°C LPC1766FBD100 171-8548●
100/LQFP 512 64 70 100 -40°C to +85°C LPC1768FBD100 171-8549●
LPC2000 Family
1
The 16/32-bit LPC2000 family is based on a 1.8V ARM7TDMI-S core operating at up to 60 MHz together with a wide range of peripherals including multiple
serial interfaces, 10-bit ADC and external bus options. These controllers are designed for use in a range of applications including industrial control, automo-
tive, medical, connectivity and any other general purpose embedded application requiring high performance and low power consumption.
Integrated Circuits & Development Kits
Features include;
Ì 16/32-bit ARM7TDMI-S processor Ì Up to 112 5V tolerant general purpose I/O pins
Ì Watchdog timer Ì 60/75 MHz max. CPU clock available from programmable on-chip
Ì In-system programming (ISP) and In-application Programming (IAP) via Phase-locked loop
on-chip boot-loader software Ì On-chip crystal oscillator with an operating range of 1MHz ^ 30MHz
Ì 2 low power modes, Idle and Power-down Ì CPU operating voltage range. 1.65V ^ 1.95V
Ì Operating temp. 0°C to 70°C Ì I/O power supply range. 3.0V ^ 3.6V
DEVICE FLASH RAM Timers PWM I/O Serial Interrupts Frequency
(bytes) (bytes) # Kanäle Interface (Ext.) (MHz)
LPC2101 8K 2K 6 — 32 UART, SPI, SSP, I2C 13 70
LPC2103 32K 8K 6 — 32 UART, SPI, SSP, I2C 13 70
LPC2104 128K 16K 4 6 32 2xUART, I2C, SPI 3 60
LPC2105 128KB 32K 2 6 32 I2C, SPI, SSP, UART 3 60
LPC2106 128K 64K 4 6 32 2xUART, I2C, SPI 3 60
LPC2119 128KB 16K 2 6 46 CAN, I2C, SPI, SSP – 60
LPC2131 32KB 8K 2 6 47 I2C, SPI, SSP, UART – 60
LPC2134 128KB 16K 2 6 47 I2C, SPI, SSP, UART – 60
LPC2136 256K 32K 4 6 47 2xUART, 2xI2C, 2xSPI 4 60
LPC2138 512KB 32K 2 6 47 I2C, SPI, SSP, UART – 60
LPC2142 64 K 16K 4 6 45 UART, SPI, SSP, I2C 9 60
LPC2212 128KB 16K 2 6 112 I2C, SPI, SSP, UART – 60
LPC2220 — 64K 4 6 112 2xUART, I2C, 1xSPI, 1xSPI/SSP 3 60
LPC2292 256KB 16K 2 6 112 I2C, SPI, SSP, UART – 60
LPC2294 256KB 16K 2 6 112 CAN, I2C, SPI, UART – 60
343599
Price Each
Pins Package Description Mftrs. List No. Order Code 1+ 10+ 100+
LPC2101 48 LQFP 16/32-Bit ARM7 MCU,8K Flash . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LPC2101FBD48 129-4786●
LPC2103 48 LQFP 16/32-Bit ARM7 MCU,16K Flash . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LPC2103FBD48 129-4788●
LPC2104 48 LQFP 32-Bit u/c, 128 kB, ISP/IAP Flash With 16 kB RAM - 60MHz . . . . . . . . . . . . . . . . . LPC2104BBD48.151 108-5364●
LPC2105 48 LQFP 32-bit Microcontroller, 128KB FLASH, 32KB RAM . . . . . . . . . . . . . . . . . . . . . . . . . LPC2105FBD48 172-7699●
LPC2119 64 LQFP 16/32-bit Microcontroller, 128KB FLASH, 16KB RAM . . . . . . . . . . . . . . . . . . . . . . LPC2119FBD64 172-7700●
LPC2131 64 LQFP 16/32-bit Microcontroller, 32KB FLASH, 8KB RAM . . . . . . . . . . . . . . . . . . . . . . . . LPC2131FBD64 172-7701●
LPC2132 64 LQFP 16/32-bit Microcontroller, 64KB FLASH, 16KB RAM . . . . . . . . . . . . . . . . . . . . . . . LPC2132FBD64 172-7702●
LPC2134 64 LQFP 16/32-bit Microcontroller, 128KB FLASH, 16KB RAM . . . . . . . . . . . . . . . . . . . . . . LPC2134FBD64 172-7703●
LPC2136 64 LQFP 32-Bit u/c, 256 kB, ISP/IAP Flash With 32 kB RAM - 60MHz . . . . . . . . . . . . . . . . . LPC2136FBD64,151 116-9489●
LPC2138 64 LQFP 16/32-bit Microcontroller, 512KB FLASH, 32KB RAM . . . . . . . . . . . . . . . . . . . . . . LPC2138FBD64 172-7704●
166
farnell.com element14.com 167
Price Each
Pins Package Description Mftrs. List No. Order Code 1+ 10+ 100+
LPC2142 64 LQFP 16/32-Bit ARM7 MCU,64K Flash . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LPC2142FBD64 129-4789●
LPC2212 144 LQFP 16/32-bit ARM Microcontroller, 128KB FLASH, 16KB RAM . . . . . . . . . . . . . . . . . . LPC2212FBD144 172-7705●
LPC2220 144 LQFP 16/32-Bit ARM7 MCU, 64K RAM. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LPC2220FBD144 129-4791●
LPC2292 144 LQFP 16/32-bit ARM Microcontroller, 256KB FLASH, 16KB RAM . . . . . . . . . . . . . . . . . . LPC2292FBD144 172-7706●
LPC2294 144 LQFP 32-Bit u/c, 256 kB, ISP/IAP Flash With 16 kB RAM - 60MHz . . . . . . . . . . . . . . . . . LPC2294HBD144/01,5 169-0392●
Semiconductors
OM11043 MBED Prototyping Module with LPC1768 MCU 176-1179
167
168 farnell.com element14.com
Ì Power supply filtering capacitor Mftrs. List No. Description Order Code Price Each
Ì Slide switch for ICSP-RUN mode LPC-P2378 Development Prototype Board 177-6325●
Ì Slide switch for Boot mode from external internal flash
Ì Reset circuit with exterman control of Philips ISP utility via USB-RS232 virtual port
Ì Reset button LPC-E2468 Development Board
Ì DBG jumper for JTAG enable
Ì BSL jumper for bootloader enable The LPC-E2468 μC Linux
Ì JRST jumper for enable/disable external RESET vontrol by RS232 development prototype board with LPC2468
Ì Removable crystal on socket USB, Ethernet and SD/MMC in credit card for-
Ì Extension headers for all uC ports with IDC34 connectors mat.
556078 Features:
Mftrs. List No. Description Order Code Price Each Ì MCU: LPC2468
LPC-H2294 Header Board for LPC2294 177-6322● Ì 16MB SDRAM
Ì 128 MB NAND Flash
Ì Standard JTAG connector with ARM 2x10
LPC-P2378 Development Prototype Board pin layout for programming/debugging with
With Ethernet, USB, 2x CAN, 2x RS232, SD/MMC ARM-JTAG
Ì Ethernet 100MBit
Features: Ì 2x USB hosts
Ì MCU: LPC2378 Ì USB-to-RS232 converter device connected to LPC2468 UART
Ì Standard JTAG connector with ARM 2x10 pin Ì SD/MMC card connector
layout for programming/debugging with ARM- Ì UEXT connector with I2C, SPI, RS232 and power supply
JTAG Ì RESET circuit with external control of Philips ISP utility via RS232 port
Semiconductors
LPC2000 Series
1 Based on an ARM7TDMI-S core operating at up to 75 MHz, these 32-bit microcontrollers deliver high performance and low power consumption in a cost-effective pack-
age. They are designed for use in general purpose and specialty embedded applications such as industrial control, automotive, medical, and connectivity.
496722
Integrated Circuits & Development Kits
ADC DAC
PWM 10-bit 10-bit Price Each
Pins Pkg Flash RAM Timers ch Ethernet USB UART I2C CAN SPI SSP I2S ch ch Mftrs. List No. Order Code 1+ 10+ 100+
2106 48 HVQFN 128K 64K 5 6 – – 2 1 – 1 – – – – LPC2106FHN48 152-4634●
2106 48 LQFP 128 KB 64KB 3 6 – – 2 1 – 1 – – – – LPC2106FBD48/01 183-2283●
2144 64 LQFP 128K 16K 5 6 – 1 2 2 – 1 – – 8+6 1 LPC2144FBD64 152-4639●
2148 64 LQFP 512K 40K 2 6 – 1 2 2 – 1 1 – 14 1 LPC2148FBD64 160-5539●
2364 100 LQFP 128K 34K 6 12 1 1 4 3 2 1 2 1 8 1 LPC2364FBD100 152-4643●
2366 100 LQFP 256K 58K 6 12 1 1 4 3 2 1 2 1 8 1 LPC2366FBD100 152-4644●
2368 100 LQFP 512K 58K 6 12 1 1 4 3 2 1 2 1 8 1 LPC2368FBD100 152-4645●
2378 144 LQFP 512K 58K 6 12 1 1 4 3 2 1 2 1 8 1 LPC2378FBD144 152-4646●
2468 208 LQFP 512K 98K 6 12 1 1 4 3 2 1 2 1 8 1 LPC2468FBD208 152-4647●
513352
168
farnell.com element14.com 169
Semiconductors
starting application development and evaluating lerometer and digital microphone, one audio DAC with integrated
STMicroelectronics ARM姞 core-based microcon- class D speaker driver, LEDs and push buttons and an USB OTG
trollers. micro-AB connector.
The REva starter kit from Raisonance is a cost-ef- Features:
fective, complete solutions for evaluating and Ì STM32F407VGT6 microcontroller featuring 32-bit ARM Cortex-M4F core, 1 MB Flash,
starting application development with a wide range 192 KB RAM in an LQFP100 package
of ST microcontrollers including the STM32 ARM姞 Ì On-board ST-LINK/V2 with selection mode switch to use the kit as a standalone ST-
Cortex™-M3 core-based microcontrollers. LINK/V2 (with SWD connector for programming and debugging)
Ì Board power supply: through USB bus or from an external 5 V supply voltage
Ì External application power supply: 3V and 5V
Ì LIS302DL, ST MEMS motion sensor, 3-axis digital output accelerometer
IAR Starter Kit Ì MP45DT02, ST MEMS audio sensor, omni-directional digital microphone
552213
Ì CS43L22, audio DAC with integrated class D speaker driver
Mftrs. List No Description Order Code Price Each Ì USB OTG FS with micro-AB connector 1
STM3210C-SK/IAR IAR KickStart Starter Kit 177-6349▲ Ì Extension header for all LQFP100 I/Os for quick connection to prototyping board and
STM3210C-SK/RAIS Raisonance REva Starter Kit 177-6350▲ easy probing
659992
169
170 farnell.com element14.com
STM32-P107 prototype board provides an easy way for developing and prototyping with
the new STM32F107VCT6 connectivity line microcontroller. The STM32-P107 has JTAG
port for programming and debugging, USB_OTG, user button, two status leds, and most of
the GPIOs are on extension headers where you can connect your additional circuits. The STM32-comStick is a complete, low-cost evaluation and development package that
provides a fast and easy introduction to the networking features of the STM32 Connectivity
Features:
Ì 64kB SRAM line. It is specifically designed to help application designers learn about STM32 features
Ì STM32F107VCT6 32bit ARM™ Cortex™ M3 MCU
Ì USB OTG, ethernet, 10 timers, that support 10/100 Ethernet, USB 2.0 full speed device/host/OTG (with on-chip PHY) and
Ì 256kB Flash
2 CANs & 2 ADCs CAN connectivity.
Ì 14 communication interfaces Features:
-JTAG connector with ARM 2x10 pin layout -Two user buttons Ì STM32F107VC microcontroller with 256-Kbyte Flash, Ethernet and USB device/host/
for programming/debugging -RESET button and circuit two status leds OTG (with on-chip PHY)
-USB OTG & HOST -3V battery connector Ì 10/100 Ethernet, USB 2.0 Full speed connectors for demo/user application
1 -100Mbit ethernet -Extension port connectors for many of mi- Ì Evaluation features for CAN, UART, ADC, etc...
-RS232 crocontrollers pins Ì In-circuit debugging/programming via dedicated USB connector
-PCB: FR-4, 1.5 mm (0.062"), soldermask, Ì USB bus powered
-Mini SD/MMC card connector
silkscreen component print Ì Complete toolchain: HiTOP for programming and debugging, Tasking C compiler for
-UEXT connector
Integrated Circuits & Development Kits
170
farnell.com element14.com 171
STM32F Advantages:
Ì Leading-edge architecture with the latest Cortex-M3 core from ARM Ì Superior and innovative peripherals
Ì Excellent real-time behaviour Ì Maximum integration
Ì Oustanding power efficiency Ì Easy development, fast time to market
481931
Semiconductors
32F101V8 100 LQFP 36 64 10 80 STM32F101V8T6 144-7631●
32F101CB 48 LQFP 36 128 16 36 STM32F101CBT6 160-6324●
32F101RB 64 LQFP 36 128 16 49 STM32F101RBT6 144-7632●
32F101VB 100 LQFP 36 128 16 80 STM32F101VBT6 144-7633●
32F101RC 64 LQFP 36 256 32 51 STM32F101RCT6 162-4126●
32F101VC 100 LQFP 36 256 32 80 STM32F101VCT6 162-4129●
32F101ZC 144 LQFP 36 256 32 112 STM32F101ZCT6 162-4133●
32F101RD 64 LQFP 36 384 48 51 STM32F101RDT6 162-4127●
32F101VD 100 LQFP 36 384 48 80 STM32F101VDT6 162-4130●
32F101ZD 144 LQFP 36 384 48 112 STM32F101ZDT6 162-4134●
32F101RE 64 LQFP 36 512 48 51 STM32F101RET6 162-4128●
32F101VE 100 LQFP 36 512 48 80 STM32F101VET6 162-4132●
32F101ZE 144 LQFP 36 512 48 112 STM32F101ZET6 162-4135●
Performance Line 1
32F103T6 36 VFQFPN 72 32 10 26 STM32F103T6U6 160-6328●
32F103T8 36 VFQFPN 72 64 20 26 STM32F103T8U6 160-6329●
32-bit MCU with up to 32 Kbytes Flash, timers, ADC Mftrs. List No. Order Code Price Each 10+ 50+ 100+
and communication interfaces STM32F050C6T6A 211-5059●
STM32F050K4U6A 211-5060●
STM32F050K6U6A 211-5061●
STM32F051C4T6 211-5063●
STM32F051C6T6 211-5064●
Manufacturers part number CPU Speed Core Size Controller Family/Series Digital IC Case order code STM32F051C8T6 211-5065●
Style STM32F051K4U6 211-5066●
STM32F050C6T6A 48 32bit STM32F LQFP 211-5059
STM32F050K4U6A 48 32bit STM32F UFQFPN 211-5060 STM32F051K6U6 211-5067●
STM32F050K6U6A 48 32bit STM32F UFQFPN 211-5061 STM32F051K8U6 211-5068●
STM32F051C4T6 48 32bit STM32F LQFP 211-5063 STM32F051R4T6 211-5069●
STM32F051C6T6 48 32bit STM32F LQFP 211-5064
STM32F051R6T6 211-5070●
STM32F051C8T6 48 32bit STM32F LQFP 211-5065
STM32F051R8T6 211-5071●
171
172 farnell.com element14.com
172
farnell.com element14.com 173
Semiconductors
Ì 1 CAN Interface Ì Debug Interface BEAGLEBONE BREADBOARD 207-5703
662435
Features:
Ì 168MHz STM32F407IG ARM Cortex™-M4 Ì Serial/UART Port BeagleBone Breakout Cape
processor-based MCU in 176-pin BGA Ì MicroSD Card Interface
Ì On-Chip Memory: 1MB Flash & 192KB Ì 5-position Joystick
RAM Ì 3-axis digital Accelerometer
Ì External Memory: 8MB NOR Flash, 512MB Ì 3-axis digital Gyroscope
NAND Flash, 2MB SRAM, 8KB I2C EEPROM Ì Analog Voltage Control for ADC Input
with NFC interface (potentiometer)
Ì 2.4 inch Color QVGA TFT LCD with resistive Ì Audio CODEC with Line-In/Out and
touchscreen Speaker/Microphone Provides easy access to beaglebone components and test points during trouble shooting
Ì 10/100 Ethernet Port Ì Digital Microphone procedure. When it comes to debugging BeagleBone boards, access to some test points
Ì USB 2.0 Full Speed - USB, USB-OTG, & Ì Digital VGA Camera and components are limited. This breakout cape solves this problem by seperating the
USB Host Ì Push-Buttons for Reset, Wakeup, beaglebone and its cape. Two cutouts of BeagleBone board shape are made to provide vis-
Ì USB 2.0 High Speed - USB, USB-OTG, & Tamper and User ibility to hidden test points and components. Each cutout is equipped with a set of two long
USB Host Ì 8 LEDs directly connected to port pins pin connectors for easy removal.
Ì 1 CAN Interface Ì Debug Interface 662557
662436 Mftrs. Price Each
Order Code Price Each List No. Order Code 1+
MCBSTM32F400UME 210-0029 BEAGLEBONE BREAKOUT CAPE 207-5704
173
174 farnell.com element14.com
The TMS470 family of products utilizes the ARM7TDMI core combined with intelligent peripherals and embedded memory to address a wide range of applications
549496
LM3S9B90 & LM3S9B92 ARM姞 kits also includes extensive source code ex-
Cortex™-M3-based microcontroller. amples, allowing the user to start building C
The kits includes two boards: the EK- code applications quickly.A 3 V lithium bat-
LM3S9Bxx evaluation board, and the tery, included in the LM3S1968 Evaluation
BD-ICDI In-Circuit Debug Interface Kits, supplies power to the Hibernation mod-
board.The evaluation board design ule and maintains data and real-time clock in-
highlights the LM3S9Bxx microcontroller’s 10/100 Mbit Ethernet port, full-speed USB-OTG formation for about two years in the absence
port, In-Circuit Debug Interface (ICDI) board, and easy connection to the GPIO ports. of USB power.
Features: Ì The kits are available in 3 versions:
Ì Small form factor - just 36mm x 102mm Ì LM3S1968 Ethernet Evaluation Kit for Keil™ RealView姞 MDK-ARM (16 KB code-size
Ì Stellaris LM3S9Bxx high-performance microcontroller limited)
Ì Ethernet 10/100 port with two LED indicators Ì LM3S1968 Ethernet Evaluation Kit for IAR Systems Embedded Workbench姞 (32 KB
Ì USB 2.0 Full-Speed OTG port code-size limited)
Ì Virtual serial communications port capability Ì LM3S1968 Ethernet Evaluation Kit for CodeSourcery G++ GNU (30-day limited)
Ì Oversized board pads for GPIO access The kits feature:
Ì Reset pushbutton and power LED Ì LM3S1968 Evaluation Board
Ì User pushbutton and LED Ì Stellaris LM3S1968 MCU with 256K flash, 64K SRAM, 8 ADCs, and up to 52 GPIOs
Ì Detachable In-Circuit Debug Interface board can be used for programming and debug- Ì Support for battery-backed hibernate mode
ging other Luminary Micro boards Ì OLED graphics display with 128 x 96 pixel resolution
538323 Ì User LED, navigation switches, and select pushbuttons
Order Code Price Each Ì Magnetic speaker
Mftrs. List No. Description Ì LM3S1968 I/O available on labeled break-out pads
Ì Standard ARM® 20-pin JTAG debug connector with input and output modes
LM3S9B90 Kits Ì USB and JTAG cables
EKK-LM3S9B90 Evaluation Kit for Keil™ RealView姞 MDK-ARM (16 KB Ì CD containing evaluation software tools, documentation, quickstart guide, Stellaris
code-size limited) 171-5832 Peripheral Driver Library, and example source code.
502050
EKI-LM3S9B90 Evaluation Kit for IAR Systems Embedded Workbench姞
(32 KB code-size limited) 171-5833 Mftrs. List No. Description Order Code Price Each
EKC-LM3S9B90 Evaluation Kit for CodeSourcery G++ GNU (30-day EKC-LM3S1968 Evaluation Kit for CodeSourcery’s G++ 155-1845●
limited) 171-5834
EKI-LM3S1968 Evaluation Kit for IAR Embedded Workbench 155-1846●
EKT-LM3S9B90 Evaluation Kit for Code Red Technologies Red Suite
EKK-LM3S1968 Evaluation Kit for Keil™ RealView姞 155-1847●
(board-locked) 171-5836
LM3S9B92 Kits
EKK-LM3S9B92 Evaluation Kit for Keil™ RealView姞 MDK-ARM (16 KB EKT-LM3S1968 Evaluation Kit
code-size limited) 171-5837
The Stellaris姞 LM3S1968 Evaluation Board is a
EKI-LM3S9B92 Evaluation Kit for IAR Systems Embedded Workbench姞
(32 KB code-size limited) 171-5838
compact and versatile evaluation platform for
the Stellaris LM3S1968 ARM姞 Cortex™-M3-
EKC-LM3S9B92 Evaluation Kit for CodeSourcery G++ GNU (30-day
limited) 171-5839
based microcontroller. The evaluation kit design
highlights the LM3S1968 microcontroller’s pe-
EKT-LM3S9B92 Evaluation Kit for Code Red Technologies Red Suite
(board-locked) 171-5840
ripherals and its Hibernation module.
174
farnell.com element14.com 175
Mftrs. List No. Description Order Code Price Each Stellaris姞 Serial-to-Ethernet Module
EKT-LM3S1968 Evaluation Kit for Code Red Technologies 171-2250●
The MDL-S2E offers a complete, ready-to-im-
plement solution designed to add web con-
Stellaris姞 LM3S8962 Evaluation Board nectivity to any serial device. The most com-
mon application for the MDL-S2E is for aug-
This Evaluation Kit provides a compact and menting legacy products that only contain a
versatile evaluation platform for Ethernet + serial port for a configuration or control inter-
CAN enabled Stellaris ARM姞 Cortex™-M3- face. In addition, newer computers, especially
based microcontrollers. Each board has an laptop computers, do not necessarily have
In-Circuit Debug Interface that provides serial ports, and a serial connection is limited
hardware debugging functionality for the by cable length.
on-board Stellaris devices, and any Stellaris
Features:
microcontroller-based target board.
Ì LM3S6432 in a 10 x 10 mm BGA pack-
age for reduced board size
Ì 10/100 Mbit Ethernet port
Ì Auto MDI/MDIX cross-over correction
Kit features: Ì Traffic and link indicators Serial ports
Semiconductors
Ì LM3S8962 Evaluation Board Ì UART ports include RTS/CTS for flow control
Ì Stellaris LM3S8962 MCU with fully-integrated 10/100 embedded Ethernet controller Ì UART0 has RS232 levels, transceiver runs at up to 230.4 Kbaud
Ì OLED graphics display with 128 x 96 pixel resolution Ì UART1 has CMOS/TTL levels, can run at 1.0 Mbaud
Ì User LED, navigation switches, and select pushbuttons 538454
Ì Magnetic speaker Mftrs. List No. Description Order Code Price Each
Ì LM3S8962 I/O available on labeled break-out pads MDL-S2E Serial-to-Ethernet Module 171-2294●
Ì Standard ARM® 20-pin JTAG debug connector with input and output modes
Ì Standalone CAN device board using Stellaris LM3S2110 microcontroller
Ì Ethernet cable Stellaris姞 Serial-to-Ethernet Reference Design Kit
Ì CAN ribbon cable
Ì USB and JTAG cables The RDK-S2E offers a complete, open-tool,
Ì CD ROM and ready-to-implement solution designed
502049 to add web connectivity to any serial de-
Mftrs. List No. Description Order Code Price Each vice.
EKK-LM3S8962 Ethernet + CAN Evaluation Kit 155-1844● This kit includes the Serial-to-Ethernet 1
Module (MDL-S2E). The most common
application for the MDL-S2E is for aug-
LM3S8962 Ethernet+CAN Evaluation Kits menting legacy products that only contain
175
176 farnell.com element14.com
abled Stellaris ARM® Cortex™-M3-based microcon- Ì Stellaris Peripheral Driver Library and example source code
trollers. Each board has an In-Circuit Debug Interface An evaluation version of one of the following:
that provides hardware debugging functionality not Ì Keil™ RealView Microcontroller
only for the on-board Stellaris devices, but also for Ì Development Kit (MDK-ARM)
any Stellaris microcontroller-based target board. Ì IAR Embedded Workbench
Ì Code Sourcery GCC development tools
The Stellaris® LM3S811 Evaluation Board is both a compact and versatile evaluation plat-
Features: form for the Stellaris LM3S811 ARM® Cortex™-M3-based microcontroller, and an In-Cir-
Ì LM3S6965 Evaluation Board cuit Debug Interface (ICDI) for any Stellaris microcontroller-based target board. The EVB al-
Ì Stellaris LM3S6965 microcontroller with fully integrated 10/100 (MAC+PHY) Ethernet lows users to evaluate, prototype, and create application-specific designs. Kit Contents are
controller LM3S811 Evaluation Board (EVB), USB cable & 20-pin JTAG/SWD target cable.
Ì Simple setup: USB cable provides serial communication, debugging, and power CD containing:
Ì OLED graphics display with 128 x 64 pixel resolution and 16 shades of gray Ì Keil™ RealView® Microcontroller Development Kit RVMDK (16 KB limited)
Ì User LED, navigation switches, and select pushbuttons Ì Complete documentation
1 Ì Magnetic speaker Ì Quickstart guide
Ì All LM3S6965 I/O available on labeled break-out pads Ì Quickstart source code
Ì Standard ARM® 20-pin JTAG debug connector with input and output modes Ì DriverLib and example source code
Ì MicroSD card slot 496649
Integrated Circuits & Development Kits
Ì Retracting Ethernet cable, USB cable, and JTAG cable Mftrs. List No. Description Order Code Price Each
Ì Quickstart sample application runs with or without Ethernet (direct connection to your EKK-LM3S2965 CAN Evaluation Kit for Keil LM3S2965 149-4127●
PC), right out of the box, including an embedded web server
538429 EKK-LM3S6965 Ethernet Evaluation Kit for Keil LM3S6965 149-4128●
Mftrs. List No. Description Order Code Price Each EKK-LM3S811 Evaluation Kit for Keil LM3S811 149-4129●
EKI-LM3S6965 Evaluation Kit for IAR Embedded Workbench 171-2247●
EKT-LM3S6965 Evaluation Kit for Code Red Technologies Tools 171-2253●
176
farnell.com element14.com 177
Semiconductors
100/LQFP 256 64 42 50 -40°C to +85°C LM3S6965-IQC50 149-4151●
100/LQFP 256 64 42 50 -40°C to +85°C LM3S8962-IQC50-A2. 156-4404●
100/LQFP 256 64 38 50 -40°C to +85°C LM3S8971-IQC50 171-2289●
Ethernet-Enabled Intelligent Display Modules The Luminary Micro Stellaris姞 Intelligent Display Reference Design Kit (RDK-IDM-SBC) of-
fers a complete, open-tool graphical touch-screen user interface design solution for con-
& RDK trol, automation, and instrumentation applications. Included in the RDK-IDM is the Stella-
ris® Intelligent Liquid Crystal Display Module with PoE (MDL-IDM)
The MDL-IDM offers a complete graphical 538452
touch-screen user interface solution for con- Mftrs. List No. Description Order Code Price Each
trol, automation, and instrumentation applica-
tions. Featuring Power-over-Ethernet (PoE), MDL-IDM Intelligent Display Module with PoE 171-2292●
the Stellaris姞 IDM offers a simple method to MDL-IDM28 Intelligent Display Module with Ethernet 171-2293●
produce intelligent terminals that can be si- RDK-IDM-SBC Single Board Computer Reference Design Kit 171-2296●
multaneously powered and network-connect-
ed by a single CAT5 Ethernet cable.
The MDL-IDM28 Intelligent Display Module TROUBLESHOOTING TIPS
features a 2.8” QVGA 16-bit color LCD resis-
tive touch panel display and offers a complete Chat online to one of our
graphical Human Machine Interface (HMI) technical engineers at farnell.com
control panel in control, automation, and instrumentation applications. Taking full advan-
tage of the vast connectivity features included in the integrated Stellaris LM3S6918 micro-
controller, the MDL-IDM28 offers full 10/100 Ethernet connectivity, which can serve as a
standard system network connection for monitoring and control and as a reprogramming
interface to update module firmware in the field.
177
178 farnell.com element14.com
The Stellaris Brushless DC Motor Control application. Combining the strength and
Module is a four-quadrant controller for flexibility of Luminary Micro’s Stellaris
three-phase brushless DC motors rated at microcontrollers with Fairchild Semicon-
up to 36 V, 500 W, and 60,000 RPM. It ductor’s power modules creates an ad-
centres around a Stellaris LM3S8971 mi- vanced ACIM motor control solution that
crocontroller which handles all PWM syn- has been carefully engineered for per-
thesis, position, and analog sensing as formance, cost, and flexibility.
well as Ethernet and CAN networking. Only Features:
a few additional power ICs are necessary Ì Advanced motor control for three-phase and single-phase AC induction motors
to complete the design. Ì Accelerated integration process through a flexible platform
Ì Dynamic braking circuit
Features: Ì Active in-rush control circuit
Ì Advanced motor control for three-phase brushless DC motors Ì Easily change line filter, bus capacitors, and JTAG interface
Ì Four quadrant operation Ì Includes code for main control algorithms including space-vector modulation and sine
1 Ì Hall Effect, Quadrature, and Sensorless operation modes control
Ì On-board braking circuit Ì Accurate current sensing using split low-side current sensing
Ì Incremental quadrature encoder input Ì Several isolated control input options
Ì Analog and digital control inputs Ì Electrically isolated JTAG port for software debugging
Integrated Circuits & Development Kits
Ì Test mode push-button Ì Bootloader for firmware upgrades over serial port
Ì Status LEDs indicate Power, Run, and Fault conditions 538435
Ì Optional power-managed fan for forced-air cooling Mftrs. List No. Description Order Code Price Each
Ì Screw terminals for all power and signal wiring MDL-ACIM AC Induction Motor Module 171-2290●
Ì JTAG/SWD port for software debugging
538436
Mftrs. List No. Description Order Code Price Each Stellaris姞 Stepper Motor Module
MDL-BLDC BLDC Motor Control Module 171-2291●
The MDL-STEPPER is a rapid-time-to-mar-
ket module solution that offers OEMs the
Brushed DC Motor Control capability of quickly adding stepper motion
Stand-Alone Module & Reference Design Kit control capability to any application. Step-
per motors are particularly suited for use in
The Stellaris姞 Brushed DC Motor Control two- and three-axis CNC equipment, sorting
is available as a stand-alone, ready-for- and grading equipment, specialized printers
production Module and a Reference De- and scanners, and factory automation.
sign Kit.
The Brushed DC motor control design of-
fers high performance CAN networking Features:
with variable speed control for 12V Ì Advanced chopper control of bipolar stepper motors
brushed DC motors at up to 40A contin- Ì Software-based chopper control to operate high-torque steppers at high step rates
uous Ì Fast and slow decay modes
current, along with a rich set of control Ì Full-Step, Half-Step, Micro-Step, and Wave modes
options and sensor interfaces, including Ì High step rates up to 10,000 steps/sec
analog and quadrature encoder interfaces. Ì Programmable holding current
The design uses highly optimized software and a powerful 32-bit Stellaris LM3S2616 mi- Ì Virtual COM port through integrated USB
crocontroller to implement open-loop speed control as well as closed-loop control of Ì Support for external debugger through standard 20-pin ARM header
speed, position, or motor current. Ì Easy power and motor connection using pluggable terminal blocks
The high-frequency Stellaris-based PWM enables DC motors to run smoothly and quietly Ì Bootloader for firmware upgrades over serial port
538456
over a wide speed range. The LM3S2616 microcontroller’s robust combination of features,
along with the efficient and deterministic performance of the ARM Cortex-M3 core, posi- Mftrs. List No. Description Order Code Price Each
tions the design into a wide variety of consumer and industrial applications. MDL-STEPPER Stepper Motor Module 171-2295●
526924
RDK-ACIM RDK-STEPPER
178
farnell.com element14.com 179
The Luminary Micro Stellaris Stepper Motor Control Reference Design Kit (Stepper RDK) Microcontrollers and Microprocessors -
contains all the necessary hardware and software for you to design, develop, and integrate
your stepper motor applications. The Stepper RDK combines the strength and flexibility of ARM-based TOOL
Luminary Micro’s Stellaris microcontrollers with Fairchild Semiconductor’s gate drivers
and MOSFETs to create an advanced stepper motor control design that has been carefully Raspberry Pi
engineered for performance, cost, and flexibility. Stepper motors are particularly suited for
use in two and three-axis CNC equipment, sorting and grading equipment, specialized
printers and scanners, and factory automation. Features:
The Luminary Micro Stellaris AC Induction Motor Reference Design Kit (ACIM RDK) con- Ì 700MHz ARM Cortex™-A8 / DS
tains all the necessary hardware and software for you to design, develop, and integrate Ì Ultra small size 86mm x 54mm
your AC induction motor applications. The ACIM RDK combines the strength and flexibility Ì Linux kernel-based operating systems
of Luminary Micro’s Stellaris microcontrollers with Fairchild Semiconductor’s power mod- Ì SoC Broadcom BCM2835
ules to create an advanced variable speed AC motor control design that has been carefully Ì 256M RAM
engineered for performance, cost, and flexibility. AC induction motors are particularly suit- Ì Powered by 5V μUSB port
ed for use in major home appliances (refrigerators, dishwashers, washing machines, and Ì JTAG Header
dryers), residential and light commercial HVAC systems, and three-phase industrial motor
drives. Connectivity:
μUSB, SD card slot, HDMI port, Ethernet port, 2 x USB 2.0, 3.5mm Audio Jack, RCA Vid-
Kit Contents: eo, 8 x GPIO, UART, I2C bus & SPI bus.
Luminary Micro Stellaris Stepper Motor Control The Raspbeery Pi is a low cost computer the size of a credit card. It is powerful enough to
Ì Main control circuit board, NEMA23 stepper motor, 24 V wall power supply, USB ca- handle full HD video 1080p. The board has an array of ports for connectivity and Linux op-
ble, Graphical control program for Windows™ on CD, Quickstart Guide, User’s Manual, erating system. This is an ideal platform for beginners to learn programming, with a con-
Software, Reference Manual, source code, BOM, schematics & Gerber files on CD. nection to a monitor and keyboard.
Luminary Micro Stellaris AC Induction Motor 654733
Ì Main control circuit board with a factory-installed heatsink, 3-phase appliance AC mo-
Price Each
tor (0-20000 rpm), Power cables, USB cable, Graphical control program for Windows
on CD, Quickstart Guide, User’s Manual, Software, Reference Manual, source code, Mftrs. List No. Order Code 1+
BOM, schematics & Gerber files on CD. RASPBRRY-PCBA Version B 208-1185●
496651
Semiconductors
RDK-ACIM Reference Design for AC Induction Motor Kit 149-4154●
Raspberry Pi
RDK-STEPPER Reference Design for Stepper Motor Kit 149-4155●
Model B
The Raspberry Pi is a single-
board computer developed in
Evaluation Board DLP™ LightCrafter姞 the UK by the Raspberry Pi
DLP™ LightCrafter姞 is a flexable ready to Foundation. The Raspberry
use evaluation module. Pi is a credit-card sized computer that plugs into
Light engine - includes the optics, red, your TV and a keyboard. It’s a capable little PC
green, and blue LEDs, and the 608 x 684 which can be used for many of the things that
diamond pixel 0.3” WVGA DMD. Capable of your desktop PC does, like spreadsheets, word-
20 lumens out-of-the-box with support to processing and games. It also plays high-defini-
50 lumens with user’s addition of active tion video. The design is based around a Broadcom BCM2835 SoC, which includes an
cooling. ARM1176JZF-S 700 MHz processor, VideoCore IV GPU, and 128 or 256 Megabytes of
RAM. The design does not include a built-in hard disk or solid-state drive, instead relying
Driver board - includes the LED driver cir-
cuits, DLPC300 DMD Controller, power management circuits and MSP430. on an SD card for booting and long-term storage. This board is intended to run Linux kernel 1
System board - includes TMS320DM365, FPGA, and several connectors for external in- based operating systems.
puts. Model B contains 256MB RAM memory, two USB ports and a 10/100 Ethernet controller.
As typical of modern computers, generic USB keyboards and mice are compatible with the
Mftrs. List No. Order Code Price Each Raspberry Pi. The Raspberry Pi use Linux-kernel based operating systems. Debian GNU/Li-
DLPLIGHTCRAFTER 208-1237●
nux, Iceweasel, Calligra Suite and Python are planned to be bundled with the Raspberry Pi.
The Raspberry Pi does not come with a real-time clock, so an OS must use a network time
server, or ask the user for time information at boot time to get access to time and date info
Microcontrollers and Microprocessors - for file time and date stamping. However a real time clock (such as the DS1307) with bat-
tery backup can be easily added via the I2C interface.
ARM-based TOSHIBA A concept SD Card image that can be loaded onto an SD Card to produce a preliminary op-
erating system. The image is based upon Debian 6.0 (Squeeze), with the LXDE desktop
TMP910 MCU and Starter Kit and the Midori browser, plus various programming tools. The image can also run on QEMU
allowing the Raspberry Pi to be emulated on various other platforms.
661716
179
180 farnell.com element14.com
Type List No. Order Code 1+ Manufacturers part number CPU Speed Core Size Controller Family/Series Digital IC Case Style
STM32 OLIMEXINO-STM32 206-1325● MB9BF506NABGL-GE1 80 32bit FM3 BGA
MB9BF506NABGL-GE1 80 32bit FM3 BGA
ATMEGA328 OLIMEXINO-328 206-1327● MB9BF506RAPMC-G-JNE1 80 32bit FM3 LQFP
PIC32 OTG PIC32-PINGUINIO-OTG 206-1328● MB9BF506NAPMC-G-JNE1 80 32bit FM3 LQFP
Prototyping Shield PROTO-SHIELD 206-1329● MB9BF106RAPMC-G-JNE1 80 32bit FM3 LQFP
MB9BF106NAPMC-G-JNE1 80 32bit FM3 LQFP
MB9BF306RAPMC-G-JNE1 80 32bit FM3 LQFP
ARM Development Boards MB9BF306NAPMC-G-JNE1 80 32bit FM3 LQFP
MB9BF516RPMC-GE1 144 32bit FM3 LQFP
MB9BF516NPMC-GE1 144 32bit FM3 LQFP
Development Boards based on MB9BF116RPMC-GE1 144 32bit FM3 LQFP
the ARM microcontrollers for MB9BF116NPMC-GE1 144 32bit FM3 LQFP
Semiconductors
CrossWorks for ARM is a complete C/C++ and assembly code de- Mftrs. List No. Order Code Price Each 10+ 50+ 100+
velopment system for ARM7, ARM9, XScale, and Cortex microcon-
SK-FM3-100PMC-9BF516N-JL 211-3104●
trollers. And when we say complete we mean complete - Cross-
Works for ARM is packed full of features such as: MB9BF506NABGL-GE1 211-3194●
Ì CrossStudio Integrated Development Environment-taking care of edit, build, download MB9BF506RAPMC-G-JNE1 211-3195●
and debug over JTAG. MB9BF506NAPMC-G-JNE1 211-3196●
Ì C, C++and Assembler toolchain from the GNU Compiler Collection. MB9BF106RAPMC-G-JNE1 211-3197●
Ì The CrossWorks C Library. This is our own non-GPL and non-LGPL C runtime library MB9BF106NAPMC-G-JNE1 211-3198●
that has been designed from the ground up for embedded processor applications. MB9BF306RAPMC-G-JNE1 211-3199●
Ì The CrossWorks Tasking Library, known as CTL. CTL is a royalty-free tasking library
MB9BF306NAPMC-G-JNE1 211-3201●
that provides a multi-priority, pre-emptive, task switching and synchronisation facility.
MB9BF516RPMC-GE1 211-3202●
Additionally, the library can also provide timer and interrupt handling support.
663006 MB9BF516NPMC-GE1 211-3203●
Version Order Code Price Each MB9BF116RPMC-GE1 211-3204●
Commercial CW-ARM-C 206-1357● MB9BF116NPMC-GE1 211-3205●
Educational CW-ARM-E 206-1358● MB9BFD18SPMC-GE1 211-3206●
Personal CW-ARM-P 206-1359● MB9BFD18TPMC-GE1 211-3207●
MB9BFD18TBGL-GE1 211-3208●
MB9BF618SPMC-GE1 211-3209●
ARM-JTAG Development Tools MB9BF618TPMC-GE1 211-3210●
MB9BF618TBGL-GE1 211-3212●
MB9BF518SPMC-GE1 211-3213●
MB9BF518TPMC-GE1 211-3214●
MB9BF518TBGL-GE1 211-3215●
MB9AF314NPMC-G-JNE1 211-3216●
MB9AF314MPMC-G-JNE1 211-3217●
MB9AF314LPMC1-G-JNE1 211-3218●
MB9AF314NBGL-GE1 211-3219●
ARM-USB-OCD
MB9AF114NPMC-G-JNE1 211-3220●
ARM-USB-OCD is unique device that combines JTAG debugging hardware, RS232 virtual MB9AF114MPMC-G-JNE1 211-3221●
port and power supply adapter in one single compact form. Many laptop computers have MB9AF114LPMC1-G-JNE1 211-3222●
no parallel nor serial ports but only USB, with ARM-USB-OCD you can debug your ARM MB9AF114NBGL-GE1 211-3224●
boards, have full featured virtual RS232 port with all modem signals on it and the power
MB9AF316NPMC-G-JNE1 211-3225●
jack can provide three fixed value voltages 5V - 9V - 12V DC via jumper selection.
534256
MB9AF316MPMC-G-JNE1 211-3226●
MB9AF112KPMC-G-JNE1 211-3227●
Mftrs. List No. Description Order Code Price Each
MB9AF132LPMC1-G-SNE1 211-3228●
ARM-USB-OCD Programmer / Debugger 170-1506●
180
farnell.com element14.com 181
610152
Semiconductors
610152
181
182 farnell.com element14.com
Digital Signal Processing - ANALOG Blackfin姞 BF518F EZ-Board™ & EZ-KIT Lite姞
DEVICES The Blackfin姞 ADZS-BF518F-EZBRD evaluation
board provides developers with a low-cost plat-
ADSP-BF609 Evaluation Hardware for the ADSP- form for initial evaluation of the ADSP-BF512/F,
BF60x Blackfin Processor Family BF514/F, BF516/F, BF518/F Blackfin processors
ADZS-BF609-EZBRD via an external JTAG emulator or standalone de-
bug agent board. The EZ-Board also supports
The ADSP-BF60x Evaluation Hardware uClinux姞.
provides a low cost hardware solution for Note: To debug you must have a Debug Agent
users to evaluate the Analog Devices Board or Emulator. The EZ-Board has an expan-
ADSP-BF60x Blackfin姞 processor family. sion interface that allows for modularity with dif-
ADSP-BF609 EZ-Board - The EZ-Board allows users to eval- ferent EZ-Extender boards.
uate the ADSP-BF60x processors without having to purchase The Blackfin姞 ADZS-BF518F-EZLITE provides developers with a cost-effective method for
additional emulators which they may already own. To evaluate initial evaluation of the ADSP-BF512/F, BF514/F, BF516/F, BF518/F Blackfin姞 Processors
the processor an emulator is required to connect to the EZ- via a USB-based, PC-hosted tool set. With this EZ-KIT Lite, users can learn more about the
Board. In addition CrossCore姞 Embedded Studio software is Analog Devices (ADI) ADSP-BF518F hardware and software development, and quickly pro-
also required. Accessories include a CE approved power sup- totype a wide range of applications.
ply and a USB cable. Other emulators supported by the ADSP- The EZ-KIT Lite includes an ADSP-BF518F Blackfin Processor desktop evaluation board
BF60x Evaluation Hardware include the ICE -100B, USB-ICE along with an evaluation suite of the VisualDSP++® development and debugging environ-
and HPUSB-ICE. ment, including the C/C++ compiler, assembler, and linker. The evaluation suite of Vi-
sualDSP++ is designed to be used with the EZ-KIT Lite only.
The EZ-KIT Lite also comes with a standalone debug agent board that is removable to al-
Ì ADSP-BF60x Blackfin processor family Ì USB Debug Agent Interface
low a user to plug-in an external emulator.
Ì 64Mx16 bit (1Gbit) DDR2 Memory Ì Expansion Interface III 549635
Ì 16M x 16-bit Burst flash memory Ì Standalone Debug Agent 2 (SADA2) Mftrs. List No. Description Order Code Price Each
Ì 32Mbit Quad SPI flash memory Ì 2GB SD CARD
Ì 10/100 Ethernet PHY Ì Ethernet Cable ADZS-BF518F-EZBRD EZ-Board Evaluation Board 175-8264●
Ì Temp Sensor Ì 5-in-1 USB Cable Set ADZS-BF518F-EZLITE EZ-KIT Lite Evaluation Kit 175-8265●
Ì UART Ì Accessories
Semiconductors
along with an evaluation suite of the VisualDSP++姞 development and debugging environ-
LabVIEW Embedded Module is every- ment, including the C/C++ compiler, assembler, and linker. The evaluation suite of Vi-
thing required to develop your applica- sualDSP++ is designed to be used with the EZ-KIT Lite only.
tion quickly in LabVIEW and ultimately target a custom-developed hardware solution, tak- The EZ-KIT Lite also comes with a standalone debug agent board that is removable to al-
ing designs from concept to production in a single integrated development environment. By low a user to plug-in an external emulator.
using this approach, engineers and scientists can achieve faster development times, lower 549642
development cost, and still deliver a high performance embedded processing solution. Mftrs. List No. Description Order Code Price Each
Features: ADZS-BF526-EZBRD EZ-Board Evaluation Board 175-8274●
Ì Utilizes LabVIEW system design capabilities and graphical programming ADZS-BF526-EZLITE EZ-KIT Lite Evaluation Kit 175-8276●
Ì Targets Blackfin high performance, low power, embedded processor family
Ì Delivers fully integrated solution from concept to deployment
Ì Offers ability to reuse existing embedded algorithms or legacy embedded software Blackfin姞 Media Player Starter Kit
Ì Includes fully integrated component drivers for several popular ADI converters
552054 The Blackfin media player starter kit provides the user with everything needed to get started
Mftrs. List No. Description Order Code Price Each on a media player application using a Blackfin EZ-KIT Lite and included software to perform
audio and graphic related tasks. Learn how to render audio contents and images to the on-
VDSP-LABVIEW-EVAL LabVIEW Embedded Module 177-6229▲
board peripherals from the onboard storage devices such as the hard drive and memory
sticks. The SDK contains source code, "how to" documents and various decoders that
EZ-KIT Lite™ Evaluation Boards for Blackfin姞 makes getting started easy and shortens the learning curve.
549676
Processors Mftrs. List No. Description Order Code Price Each
The EZ-KIT Lite range of development boards provide design engineers with a complete kit ADZS-BF527-MPSKIT Media Player Starter Kit 175-8277●
including hardware, software and drivers to evaluate the capabilities of Blackfin Proces-
sors.
All EZ-KIT Lite deveploment tools are supplied with an evaluation suite of the Vi- BlueTechnix CM-BF527 Blackfin™ Core Module
sualDSP++ development environment with the C/C++ compiler, assembler, and linker.
The minimum host PC requirements for EZ-KIT Lite boards are Pentium姞 166 MHz or high-
er with 32 MB of RAM, Windows姞 98, 2000, or XP and one USB port.
430962
Features:
ADSP-BF506F EZ-KIT Lite Ì ADI ADSP-BF527 Blackfin Processor
Ì 64MB SDRAM 133MHz
The ADSP-BF506F EZ-KIT Lite® provides developers Ì 600MHz clock
with a cost-effective method for initial evaluation of the Ì 8MB Flash
ADSP-BF504/F and BF506F Blackfin® Processors via a Ì 10/100MBit Ethernet
USB-based, PC-hosted tool set. With this EZ-KIT Lite, Ì 16Bit PPI, SPI, TWI/I2c, 2.0 USB, 2 x UART, 2 X
users can learn more about the Analog Devices (ADI) SPORT
ADSP-BF506F hardware and software development,
Applications:
and quickly prototype a wide range of applications.
Ì Mobile Embedded Device
Ì Network connected embedded system Ì Multi-media accessories - e.g. docks
Ì Industrial control Ì Networked audio
556048 Ì Voice over IP (VoIP) Ì Instrumentation
Mftrs. List No. Description Order Code Price Each Ì Multi-media application processor Ì Imaging
658370
ADZS-BF506F-EZLITE BF506F EZ-KIT Lite 178-1106●
Price Each
Mftrs. List No. Order Code 1+
CM-BF527-64SD 207-9856●
182
farnell.com element14.com 183
431022
Blackfin姞 Family Evaluation Kits Mftrs. List No. Order Code Price Each
ADZS-BF561-EZLITE 125-9229●
Semiconductors
Description Mftrs. List No. Order Code Price Each connection between the asynchronous memory bus of the Blackfin processor and either a
Evaluation Kit for the ADSP-BF52x Blackfin Family ADZS-BF527-EZLITE 164-2824● USB 2.0 or a 10/100 Mbps Ethernet device.
521950
Evaluation Kit for ADSP-BF533, ADSP-BF532, and ADZS-BF533-EZLITE
Description Mftrs. List No. Order Code Price Each
ADSP-BF531 164-2825●
Evaluation Kit for ADSP-BF538 and ADSP-BF538F ADZS-BF538F-EZLITE 164-2828● Blackfin EZ-Extender Board ADZS-BF-EZEXT-1 164-2823●
Evaluation Kit for the ADSP-BF54x Blackfin Family ADZS-BF548-EZLITE 164-2829● Blackfin Audio EZ-Extender Board ADZS-BFAUDIO-EZEXT 164-2831●
Blackfin FPGA EZ-Extender Board ADZS-BFFPGA-EZEXT 164-2833●
Blackfin USB-LAN EZ-Extender Board ADZS-USBLAN-EZEXT 164-2836●
ADSP-BF537 EZ-KIT Lite™ Development Kit
This kit provides developers with a cost-effective Blackfin Landscape LCD EZ-Extender Daughter
method for evaluation of the ADSP-BF537 Black-
fin® Processor and its rich set of system periph-
Board
erals, including the IEEE 802.3 10/100 Ethernet The Blackfin Landscape LCD EZ-Extender daughter board extends the
MAC and CAN 2.0B controller. capabilities of the EZ-KIT Lite by allowing users to connect to a land- 1
It includes an ADSP-BF537 Processor desktop scape LCD on the Blackfin BF52x, BF53x and BF54x processors. The
evaluation board along with an evaluation suite of EZ-Extender connects to the ADSP-BF526 EZ-Board/EZ-KIT Lite,
the VisualDSP++® development and debug- ADSP-BF537 EZ-KIT Lite, ADSP-BF538 EZ-KIT Lite, or the ADSP-
183
184 farnell.com element14.com
Digital Signal Processing - ANALOG The EXT-BF548-EXP Extender Board is an extender plug-on board for the DEV-BF548-Lite
or the DEV-BF548DA-Lite Board to evaluate the CM-BF548 Core Modules. All connector
DEVICES - continued pins of the expansion connector are available as solderable pads to facilitate the intercon-
nection of cables or peripheral modules. An additional RS232 serial converter and respec-
Blackfin姞 Audio and Multimedia Starter Kits - continued tive connector is available as well.
537798
get started on a multimedia application. A developer can use the Blackfin evaluation kit and Mftrs. List No. Description Order Code Price Each
extender card(s) to perform multimedia related tasks, learn how to render/capture video EXT-BF5XX-EXP. BF5xx Extender Board 170-0961●
and audio streams using various off the shelf multimedia devices. EXT-BF548-EXP. BF548 Extender Board 170-0962●
521977
Extender Boards The DEV-BF5xxDA-Lite Development Board is designed for evaluating and programming
Blackfin based Core Modules. The integrated Debug Agent is a USB based debug interface
which enables programming and debugging of the Blackfin Core Modules. The develop-
ment boards provides all interfaces on dedicated connectors or expansion connectors. Ex-
tender boards can be plugged on top of the Development Board in order to enable addition-
al interfaces. All Blackfin Core Modules have compatible connectors and can be replaced
with each other.
1 DEV-BF5xxDA-Lit Features:
Ì Socket for one Blackfin Core Module Ì Ethernet connector
EXT-BF5xx-EXP EXT-BF548-EXP Ì On-Board Debug Agent (USB JTAG) Ì USB2.0 connector (U-types only)
The EXT-BF5xx-EXP Experimental Extender Board is an extender plug-on board for the Ì 3.3V and 5V (1.5A) power supply Ì CAN transceiver and connector
Integrated Circuits & Development Kits
DEV-BF5xx or the EVAL-BF5xx Board to evaluate any Core Module ( CM-BF533, CM- Ì Buttons and Leds Ì SD-Card Socket
BF561, CM-BF537E, CM-BF537U and CM-BF527). All connector pins of the expansion Ì USB-to-UART converter Ì JTAG Connector
connector are available as solderable pads to facilitate the interconnection of cables or pe- 496600
ripheral modules. An additional RS232 serial converter and respective connector is availa- Mftrs. List No. Description Order Code Price Each
ble as well. EVAL-BF5XX Blackfin Evaluation Board 149-5608●
CM-BF533.
The TCM-BF537 is an ultra small Core Module designed for industrial temperature range and volume production. It combines Power Supply, RAM and FLASH into a module as small as a chip
package. Two 60 pin expansion connectors allow quick prototyping. The module is also available with Border Pads (BP) or a Ball Grid Array (BGA) for low height integrations into the final
product.
The CM-BF527 module provides a rich set of peripherals at low power consumption. It supports an on-board Ethernet 10/100Mbit function incl. physical transceiver as well as USB-OTG 2.0
function incl. physical transceiver as well as all other standard microcontroller interfaces.
The CM-BF548 Core Module is the most powerful Blackfin based single core processor module providing exceptional high performance and a large number of interfaces. The module allows
easy integration into high performance very space and power limited applications. Two 100 pin connectors bring all interfaces of the ADSP-BF548 on a baseboard.
The TCM-BF537 is an ultra small Core Module designed for industrial temperature range and volume production. It combines Power Supply, RAM and FLASH into a module as small as a chip
package. Two 60 pin expansion connectors allow quick prototyping. The module is available with Border Pads.
CM-BF533 CM-BF537E CM-BF561 TCM-BF537 CM-BF527 CM-BF548 TCM-BF537BP
Size (mm) 31.5x36.5 31.5x36.5 31.5x36.5 28x28 31.5x36.5 31.5x40.5 28x28
Processor ADSP-BF533 ADSP-BF537 ADSP-BF561 ADSP-BF537 ADSP-BF527 ADSP-BF548 ADSP-BF537
Clock Speed 600 MHz 600 MHz 600 MHz 500 MHz 600 MHz 600 MHz 500 MHz
RAM 32 MB 32 MB 64 MB 32 MB 32MB 64 MB 32MB
FLASH 2 MB 4 MB 8 MB 8 MB 8 MB 8 MB 8 MB
Ethernet – 10/100 MBit, incl. Physical – 10/100 MBit, external PHY – – 10/100 MBit, external PHY
chip required required
Temp. Range 0 to 70°C 0 to 70°C 0 to 70°C -40 to +85°C 0 to 70°C -40 to +85°C -40 to +85°C
524977
184
farnell.com element14.com 185
Blackfin姞 Processors
Blackfin姞 16/32-bit embedded processors offer software flexibility and scalability for convergent applications: multi-format audio, video,
voice and image processing, multimode baseband and packet processing, control processing, and real-time security.
Semiconductors
429247
185
186 farnell.com element14.com
Digital Signal Processing - ANALOG ging environment including the C/C++ compiler, assembler, and linker. The evaluation
suite of VisualDSP++ is designed to be used with the EZ-KIT Lite only.
DEVICES - continued Features:
Ì ADSP-21364 SHARC姞 Processor Ì 512 Kbit SPI® FLASH memory
VisualDSP++ Development Software Ì 1M x 8-bit FLASH memory Ì AD1835 stereo, 96 kHz, 24-bit codec
Ì 512K x 8-bit SRAM Ì USB-based debugger interface
This software is an easy-to-use integrated development and debug-
512096
ging tool. The software will enable efficient management of digital
signal processing (DSP) applications from start to finish using a Mftrs. List No. Description Order Code Price Each
single interface ADZS-21364-EZLITE EZ-KIT Lite Evaluation Kit for the
ADSP-2136x SHARC姞 Processor Family 160-8853●
Features:
Ì Fully integrated user interface including project management,
debugging, profiling, plotting EZ-KIT Lite Evaluation Kit
Ì Support a variety of debug targets (emulation, simulation, compiled simulation, and 3rd For the ADSP-21369 SHARC姞 Processor
party offerings)
Ì C/C++ compiler, assembler (with C data type support), expert linker, loader The ADSP-21369 EZ-KIT Lite姞 provides developers
Ì VisualDSP++ Kernel (VDK) with multiprocessor messaging capability with a cost-effective method for initial evaluation of the
Ì Automation API and Automation Aware Scripting Engine ADSP-21367, ADSP-21368 and/or ADSP-21369
Ì Background Telemetry Channel (BTC) support with data streaming capability SHARC姞 Processor architecture via a USB-based, PC-
Ì Profile-Guided Optimization(PGO) hosted tool set. With this EZ-KIT Lite, users can learn
Ì TCP/IP & USB Support and Processor configuration/Start-up code wizard (Blackfin more about Analog Devices’ ADSP-21369 hardware
processors) and software development and quickly prototype a wide
Ì Multiple project management (SHARC and TigerSHARC processors) range of applications.
521954
Mftrs. List No. Description Order Code Price Each The EZ-KIT Lite includes an ADSP-21369 SHARC Processor desktop evaluation board
VDSP-BLKFN-PC-FULL VisualDSP++ Development Software 164-2837 along with an evaluation suite of the VisualDSP++® development and debugging environ-
ment including the C/C++ compiler, assembler, and linker. The evaluation suite of Vi-
sualDSP++ is designed to be used with the EZ-KIT Lite only.
EZ-KIT Lite Evaluation Kit
Semiconductors
Features:
For the ADSP-21262 SHARC姞 Processor
Ì ADSP-21369 SHARC Processor Ì 2 Mbit SPI FLASH memory
The ADSP-21262 EZ-KIT Lite™ provides developers Ì AD1835 stereo, 96 kHz, 24-bit codec Ì ADM3202 RS-232 driver/receiver
with a cost-effective method for initial evaluation of the Ì 1M x 8-bit FLASH memory Ì USB-based debugger interface
ADSP-21262 SHARC姞 DSP architecture via a USB- Ì 1M x 32-bit x 4 banks SDRAM Ì Evaluation suite of VisualDSP++ develop-
based, PC-hosted tool set. With this EZ-KIT Lite, users Ì 512K x 8-bit SRAM ment tools
can learn more about Analog Devices ADSP-21262 512097
hardware and software development and quickly proto- Mftrs. List No. Description Order Code Price Each
type a wide range of applications. ADZS-21369-EZLITE EZ-KIT Lite Evaluation Kit for ADSP-21369
The EZ-KIT Lite includes an ADSP-21262 Processor SHARC姞 Processor 160-8854●
desktop evaluation board along with an evaluation suite
of the VisualDSP++ development and debugging envi- ADSP-2189M EZ-KIT Lite Evaluation Kit
ronment with the C/C++ compiler, assembler, and linker. VisualDSP++ development
and debugging software, along with the USB-based debugger interface, enables users to The ADSP-2189M EZ-KIT Lite provides developers
1 perform standard debugging functions (such as read and write memory, read and write with a cost-effective method for initial evaluation of
registers, load and execute executables, set and clear breakpoints, and single-step as- the powerful ADSP-218x DSP family architecture.
sembly, C, and C++ source code). The ADSP-2189M EZ-KIT Lite includes a stand-
alone ADSP-2189M DSP board and fundamental
Integrated Circuits & Development Kits
ADZS-21262-EZLITE EZ-KIT Lite Evaluation Kit for ADSP-21262 Mftrs. List No. Description Order Code Price Each
SHARC姞 Processor 160-8852● ADZS-2189M-EZLITE EZ-KIT Lite Evaluation Kit 177-6224●
ADSP-21xx Processors
The ADSP-21xx family Processors share the same base architecture and algebraic assembly language. The simplicity of the assembly programming language is
designed to make learning code, reading code, and using code easy.
488451
186
farnell.com element14.com 187
TigerSHARC Processors
Targeted at numerous signal processing applications that rely on multiple processors working together to execute computationally-intensive real-time functions, ADI’s TigerSHARC processor
is well-suited to video and communication markets, including the 3G cellular and broadband wireless base stations, as well as defense, medical imaging, industrial instrumentation. The
ADSP-TS101S features a static superscaler architecture which combines RISC, VLIW and standard DSP functionality. Native support of fixed and floating point data types.
488660
Semiconductors
Features:
Ì 12VDC power supply input
barrel connector
Ì Standard 14-pin ONCE JTAG
debug connector
Ì Video Encoder Wrapper connected to Omnivision Freescale’s StarterTRAK Mini USB kit is designed for you to easily
connector supporting Omnivision camera evaluation and inexpensively give Freescale’s 32-bit Qorivva microcontrollers a
boards test drive. Along with the on-board MPC5602P Qorivva MCU, it fea-
Ì Serial Audio Interface connected to the Audio con- tures a PCI card-edge for compatibility with the Freescale Tower Sys-
nector supporting Freescale Sahara SGTL5000 daughter card tem, which means you can create your own custom development system based on your
Ì Onboard ethernet physical interface + MII lite connector design needs.
Ì FlexCAN channel routed to the prototyping area with DB9 connectors to allow additions Features:
CAN physical interface to be easily integrated Ì Qorivva processor Ì Temperature sensor
Ì LINFLEX Molex Connector and 0.1" 4pin header are available Ì Three user-programmable multi-colored LEDs Ì Primary Tower System connector 1
Ì Two user-programmable push buttons Ì OSBDM debugger through USB
MPC5604E EVB for Automotive safety and chassis control applications. The EVB kit con- 657902
Features:
The MC56F84xx includes advanced high-speed and highaccuracy peripherals such as
Ì High-performance 180 MHz e200z7d dual cores
high-resolution pulse-width modulation (PWM) with 312 pico-second resolution, dual high-
Ì Up to 2 MB flash memory with ECC
speed 12-bit analog-to-digital controllers (ADCs) with built-in PGA sampling up to 3.3
Ì Up to 512 KB on-chip RAM ECC
mega samples per second (Msps) and one high-precision 16-bit ADC.
Ì Sphere of replication (SoR) for key components (such as core, eDMA, XBAR)
Manufacturers part CPU Speed Flash Memory Embedded Interface Digital IC Case order code Ì Redundancy checking units
number Size Type Style Ì SoR connected to a fault collection and control unit (FCCU)
MC56F84789VLL 100MHz 256 CAN, I2C, SPI, UART LQFP 209-5577
MC56F84766VLK 256 LQFP 209-5578
Ì Three PWM units with four 16-bit channels per module
MC56F84553VLH 128 LQFP 209-5581 Ì Ethernet
MC56F84540VLF 209-5582 Ì Up to four 12-bit analog-to-digital converters (ADCs)
MC56F84587VLL 128 LQFP 209-5583 Ì Multi-port DDR DRAM Controller
MC56F84565VLK 80MHz 128 CAN, I2C, SPI, UART LQFP 209-5584
MC56F84452VLH 128 LQFP 209-5585 Contents:
MC56F84441VLF 60MHz 64 CAN, I2C, SPI, UART LQFP 209-5586 Ì TWR-PXS3020 board with a PXS30 32-bit Power Architecture® MCU
662508 Ì USB cable
Mftrs. List No. Order Code Price Each 10+ 50+ 100+ Ì DVD with lab tutorials, software, training and collateral
Ì Quick Start Guide document
MC56F84789VLL 209-5577●
Ì TWR-SER - Tower System Serial Module
MC56F84766VLK 209-5578● Ì TWR-ELEV - Tower System Elevator Modules
MC56F84553VLH 209-5581● 662261
MC56F84540VLF 209-5582● Order Code Price Each
MC56F84587VLL 209-5583●
TWR-PXS3020-KIT 209-5575●
MC56F84565VLK 209-5584●
MC56F84452VLH 209-5585●
MC56F84441VLF 209-5586●
187
188 farnell.com element14.com
Ì USB cable Ì eLBC, TDM, I2S, eSDHC, Dual I²C, DUART, PIC, DMA, GPIO, advanced power manage-
Ì DVD with lab tutorials, software, training and collateral ment
Ì Quick Start Guide document Ì Package: 689-pin temperature-enhanced plastic BGA (TEPBGA2)
Ì TWR-SER - Tower System Serial Module Ì 0C to 105C Tj -40C to 125C Tj option
Ì TWR-ELEV - Tower System Elevator Modules Ì The P1022 is included in Freescale’s product longevity program, with assured supply
662258 for a minimum of 10 years after launch
Order Code Price Each 661107
TWR-PXS2010-KIT 209-5574●
Order Code Price Each
P1013NXE2LFB 207-9451
P1013NXN2LFB 207-9452
P1022NXE2LFB 207-9453
P1022NXN2LFB 207-9454
1
Digital Signal Processing - ST
Integrated Circuits & Development Kits
Audio Processors
555914
188
farnell.com element14.com 189
Semiconductors
USB JTAG emulation. Each kit contains
Mftrs. List No. Order Code 1+ a 28335 controlCARD. The control-
TMDSEZD2812.. 187-5317▲ CARD is a complete board level module
that utilizes and industry-standard
DIMM form factor to provide a low-pro-
files single-board controller solution. Kit is complete with Code Composer Studio IDE v3.3
C28x Free 32K Byte Version. C2000 applications software with example code and full
hardware details also available. No JTAG emulator required, as docking station features on
board USB JTAG emulation.
547041
189
190 farnell.com element14.com
179/BGA 400 200MHz 256KB 64KB Async SRAM, EPROM, SDRAM TMS320VC5509AGHH 161-0194▲
176/LQFP 600 300MHz 64KB 32KB Async SRAM, EPROM, SDRAM, SBRAM TMS320VC5502PGF300 161-0192●
288/FCBGA 2400 600MHz 512KB – SRAM, EPROM, SDRAM, SBSRAM TMS320C6418ZTS600 161-0186●
Floating Point
144/LQFP – – 136KB – – TMS320VC33PGE-120 121-4395●
144/HTQFP 400 200MHz 64KB 384KB SDRAM, Async NOR Flash, SRAM, NAND Flash TMS320C6720BRFP200 155-5284●
144/TQFP 500 225MHz 256KB384KB SDRAM, Async NOR Flash, SRAM, NAND Flash TMSDC6726BRFPA225 155-5289●
144/HTQFP 500 250MHz 128KB384KB SDRAM, Async NOR Flash, SRAM, NAND Flash TMS320C6722BRFP250 155-5287●
144/HTQFP 532 266MHz 256KB384KB SDRAM, Async NOR Flash, SRAM, NAND Flash TMS320C6726BRFP266 175-3340●
C5509 eZdsp Development Kit Ì Integrated peripherals and devices for quick system evaluation
Ì Included sample code, drivers and Board Support Package
Ì Low-power 16-bit DSP
1 Ì TMS320C5502 with 300 MHz or 600 MMACS performance
660002
Price Each
Integrated Circuits & Development Kits
The C5509A eZdsp Development Tool is compact, affordable and offers easy development. TMDSHVBLPFCKIT HV Bridgeless
The low cost USB-powered DSP development tool which includes all the hardware and PFC Developer’s Kit
software needed to evaluate the industry’s lowest power 16-bit DSP provides more evalua-
tion options such as USB2.0 and SD interface. The USB port provides enough power to op- The High Voltage Bridgeless
erate the ultra-low-power C5502 so no external power supply is required. PFC Developer’s Kit uses a
630316 Piccolo microcontroller to
Mftrs. List No. Description Order Code Price Each control a 300W high efficiency BRIDGELESS
TMDX5509EZDSP C5509 eZdsp Development Kit 189-3107▲
PFC stage. Additions to this EVM include a fast
Feed Forward (FF) control loop based on half cy-
cle RMS measurement of the AC line. This con-
TMDX5502EZDSP C5502 eZdsp Development Kit trol loop offers designers a faster response to in-
put voltage transients without sacrificing Power Factor. This EVM is designed to operate off
a universal ac line input of 85V to 265V and provides a regulated 390V dc output, with the
option to power the digital circuitry from an external power supply.
Features: Kit Contents:
Ì Piccolo F28035 Digital PFC Control Ì HV Bridgeless PFC Development Kit
Ì Fast Feed Forward half cycle RMS control loop Ì Software Installation CD
Ì RMS Input voltage and frequency monitoring Ì TMDSCNCD28035 controlCARD
Ì 300W Bridgeless 2-Phase Interleaved PFC
Ì Universal AC Line Input (85 to 265V)
Ì 390V Regulated DC Output
Ì Isolated USB JTAG Emulation Onboard USB JTAG emulation
Ì Detailed lab and discussion of PFC Principles
660010
The C5502 eZdsp Development Tool is compact, very affordable and offers easy develop-
ment. The USB-powered DSP development tool which includes all the hardware and soft- Price Each
ware needed to evaluate the industry’s lowest power 16-bit DSP provides more evaluation Mftrs. List No. Order Code 1+
options such as USB2.0 and SD interface. The USB port provides enough power to operate TMDSHVBLPFCKIT 189-3313▲
the ultra-low-power C5502 so no external power supply is required. This tool has embed-
ded XDS100 emulator for full source level debug capability and supports Code Composer
Studio™ Integrated Development Environment (IDE) - v4 and eXpressDSP™ software TMDSHVPFCKIT High Voltage PFC
which includes the DSP/BIOS™ kernel. The full contents of the Development Tool include: Developer’s Kit
C5502 eZDSP board Code Composer Studio IDE v4. The High Voltage PFC De-
Features: veloper’s Kit uses a Picco-
Ì On-board emulation - saves cost of external JTAG emulator lo microcontroller to con-
Ì Full-featured Code Composer Studio IDE v4 license - for complete application develop- trol a 300W, two-phase interleaved, PFC stage.
ment The EVM is designed to operate off a universal
Ì Ease of use and faster time-to-market ac line input of 85V to 265V and provides a
Ì Small form factor - about the size of a credit card regulated 390V dc output. The Piccolo MCU
Ì USB-powered digitally controls the two-phase interleaved DC/
Ì On-board emulation - does not need external JTAG emulator DC boost stage using closed loop techniques.
The kit includes isolated USB JTAG emulation.
190
farnell.com element14.com 191
Features: Ì MicroSD card pre-programmed with the DSP function code - MP3 playback, record
Ì Piccolo F28027 Digital PFC Control and music storage (file storage)
Ì 300W 2-Phase Interleaved PFC Ì Mini-USB cable for connection with a computer to power up the system
Ì Universal AC Line Input (85 to 265V) Ì Capacitive touch scroll wheel, proximity sensor and on-board LEDs
Ì 390V Regulated DC Output Ì OLED display - monochrome 96×16 pixels
Ì Isolated USB JTAG Emulation 663291
660011 Mftrs. Price Each
Price Each List No. Order Code 1+
Mftrs. List No. Order Code 1+ 430BOOST-C55AUDIO1 213-7140▲
TMDSHVPFCKIT 189-3314▲
Semiconductors
Ì Supports Peak Current Mode Control with Slope Compensation Ì Isolated USB JTAG Interface (XDS100v2)
Ì Quick Start Graphical User Interface Ì Micro-USB, Ethernet, and MicroSD communication interfaces
Ì 380-400V DC input, 500 watt 12V regulated DC output Ì Standard 100-pin DIMM Interface
Ì Multiple feedback methods for experimentation Ì Analog I/O, digital I/O and JTAG signals at DIMM interface
Ì Lossless current sensing circuit for fault protection Ì Single 5V power rail for full operation
Ì Onboard USB JTAG emulation 660033
Ì Open source hardware and software with full documentation Price Each
Ì Detailed lab and discussion of PSFB Principles
Mftrs. List No. Order Code 1+
Kit Contents:
Ì F28027 MCU-based daughter card (non-standard) Ì Software Installation CD TMDXCNCDH52C1 196-1717▲
Ì HV Phase Shifted Full Bridge Development Kit
660014
Price Each
H52C1 Concerto Experimenter Kit
Mftrs. List No. Order Code 1+ The C2000 Experimenter Kit is ideal product for ini- 1
TMDSHVPSFBKIT 189-3315▲ tial device exploration and testing. The Concerto
H52C1 Experimenter Kit has a docking station that
features access to all controlCARD signals, bread-
TMDSHVRESLLCKIT HV Resonant
191
192 farnell.com element14.com
546614
DM6446 Digital Video Evaluation Module
Mftrs. List No. Description Order Code Price Each
TMDSEMU510U USB Plus JTAG Emulator 175-5860▲ The Digital Video Evaluation Module (DVEVM)
enables developers to build start immediate
evaluation of DaVinci™ processors and begin
building digital video applications such as
TROUBLESHOOTING TIPS videophones, automotive infotainment, digital
still cameras, streaming media, IP set-top
Chat online to one of our boxes, video security systems and digital vid-
technical engineers at farnell.com eo products that have yet to be invented.
It allows developers to write production-ready
application code for the ARM and provides
access to the DSP core using DaVinci APIs to begin immediate application development for
Semiconductors
C2000 Code Composer Studio™ Ì Quick start graphical user interface (USB and Ethernet)
Ì Ethernet remote control and monitoring with Concerto MCU
Code Composer Studio™ (CCStudio) Development Tools are a key element of the eX- Ì On-board USB JTAG Emulation
pressDSP™ Software and Development Tools. CCStudio delivers all of the host tools and Ì Fully documented open source hardware and software
runtime software support for your TMS320™ DSP based real-time embedded application. 661646
CCStudio’s easy to use development environment allows DSP designers of all experience
Order Code Price Each
levels to move quickly through each phase of the application development process includ-
ing design, code and build, debug, analyze and optimize. TMDSHV1PHINVKIT 208-1121
Software Features:
Ì Real-time analysis capabilities Ì Editor High Voltage Isolated Solar MPPT Developers Kit
Ì Easy to use debugger Ì Visual project manager TMDSHVMPPTKIT
Ì C/C++ Compiler Ì Simulators
Ì Assembler Ì XDS560 and XDS510 emulation drivers The C2000 High Voltage MPPT kit is in-
Ì Linker Ì DSP/BIOS support tended to jump start engineers in devel-
518665
oping solar based inverter and converter applications.
Mftrs. List No. Description Order Code Price Each
Based on the Piccolo F28035, this evaluation board fea-
TMDSCCS2000-1 C2000 Code Composer Studio™ 161-0185 tures a two phase interleaved boost stage for maximum
power point tracking, and a half-bridge resonant LLC iso-
lation stage, both digitally controlled from a single MCU.
High Voltage Single Phase Inverter Development Kit Included are Incremental Conductancs and Perturb and
TMDSHV1PHINVKIT Observe algorithms, allowing designers the flexibility to
test different options for maximum power point tracking.
The C2000 High Voltage inverter develop- Featuring a 400VDC output voltage, this evaluation board
ers kit is intended to show users how to directly complements the TMSHV1PHINVKIT providing a
quickly implement a digitally controlled, four switch DC/ complete DC to AC solar inverter system. Built in USB JTAG emulation eliminates the need
AC inverter, supporting both solar and renewable energy for external hardware, and a quick start graphical user interface is included as well. All
types of applications as well as standalone SINE inverter hardware and software is fully documented, and open source for design use.
designs. This is a HIGH VOLTAGE kit intented to support
common worldwide grid-voltage levels, and gives design- Features:
ers the opportunity to experiment with different control Ì 200-300VDC Input up to 500Watts
methods. This kit is an excellent companion to the Ì 400VDC Output
TMSHVMPPTKIT providing a complete evaluation platform Ì 2 Phase DC/DC Boost for Maximum Power Point Tracking
for solar applications. The EVM also features on board Ì 1:1 Resonant LLC for isolation
JTAG emulation, eliminating the need for external emula- Ì Onboard USB JTAG Emulation
tors. Based on the controlCARD platform, this kit allows designers to experiment with mul- Ì Fully documented open source hardware and software
tiple C2000 MCU’s and includes both Piccolo F28035 and Concerto F28M35 control- 661645
CARDs. Also included with this kit is a quick start GUI, ControlSUITE, and a limited version Order Code Price Each
of Code Composer Studio. Full hardware and software documentation is also included. TMDSHVMPPTKIT 208-1119
Features:
Ì Piccolo or Concerto based control 400VDC Input
Ì High Efficiency >96%
Ì 110/220VAC Selectable output
Ì Grid-Tie with Enable / Disable
192
farnell.com element14.com 193
Solar Explorer Development Kits tional intelligent communications such as DALI, DMX512, and Power Line Communica-
tions (PLC, sold separately). The integrated power supply is full AC-mains connected with
TMDSSOLARPEXPKIT / TMDSSOLARCEXPKIT Piccolo MCU control of an efficient Isolated Resonant LLC DC/DC power topology.
Through controlSUITE, C2000’s MCU’s software portal, the development kit comes with
F28035 based solar explorer kit is intend- full example projects detailing the digital power, lighting, and communications control. Us-
ed to give designers the opportunity to ers are guided from simple open loop design through full closed loop control. Communica-
evaluate different power stages used in solar applications tions software examples are likewise featured, detailing common lighting communication
in a safe, low voltage environment. This kit also offers de- protocol software implementations. An included graphical user interface allows users to
signers of single panel off-grid applications such as light- quickly begin experimentation with the kit before further experimentation at the software
ing or motor control systems a robust development plat- level. All kit hardware and software is completely open source and available for free
form to start from by utilizing the 36VDC intermediate bus. through controlSUITE.
All of the primary application power stages are controlled
with a single C2000 MCU, including a single switch boost stage for maximum power point Features:
tracking, a SEPIC battery charging stage, and a grid-tie-capable DC/AC inverter stage capa- Ì AC-mains powered, full LED lighting power supply, 90% efficient
ble of outputting 24VAC. Integrated USB JTAG emulation eliminates the need for external Ì Power Factor Correction (PFC) control via UCC28810D, >0.99 PF
JTAG development hardware. A built in panel emulation circuit also allows designers to Ì Isolated Resonant LLC DC/DC via Piccolo digital control
evaluate different shading situations without the need for expensive external hardware. A Ì Remote connectivity via Piccolo, including DALI, DMX512, and power line communica-
quickstart graphical user interface is included, as well as fully documented, open source tions (PLC, sold separately)
hardware and software libraries. Ì Piccolo-enabled 6 channel independent and multi-string dimming of up to 250W
Ì C2000’s modular controlCARD concept, allowing developers to experiment with vari-
Features: ous C2000 MCUs to fit price, performance and peripheral feature set requirements.
Ì 20VDC/ 50W Non Isolated Design Ì Included controlSUITE software provides easy-to-use and open source demonstration
Ì Built in panel emulator GUIs, software examples, and documentation for full closed loop control of an AC/DC
Ì Single switch DC/DC Boost for Maximum Power Point Tracking power supply, multi-string LED control, and advanced communications.
Ì DC/DC SEPIC for MPPT and Battery Charging 661640
Semiconductors
Technology Order Code Price Each
Piccolo TMDSSOLARPEXPKIT 208-1116 Evaluation Module
Concerto TMDSSOLARCEXPKIT 208-1117
The 8168 evaluation mod-
ule enables developers to
C2000 Power Line Communications Add-on Kit immediately start evaluat-
TMDSPLCMODA-P3X ing DM816x DaVinci™
MPUs (DM8168, DM8167, DM8166,
The C2000 PLC Add-on Kit includes DM8165), C6A816x C6-Integra™ DSP+ARM
hardware modules to enable power line communications processors (C6A8168, C6A8167), and
(PLC) applications with the AC LED Lighting & Communi- AM389x Sitara™ ARM MPUs (AM3894, AM3892). It also enables developers to begin
cations Kit. building solutions such as surveillance DVRs, video conferencing systems, video broad-
casting systems, media servers, single-board computers, gateways, routers, servers, in-
dustrial automation, human machine interfaces, point-of-service data terminals, machine
vision, test and measurement, and tracking and control applications. 1
Features:
Ì 8168 evaluation module with connectors for composite video, S-video, component vid-
Features: eo, serial port, SD, SATA, PCIe, USB, Ethernet, audio input/output, and HDMI Tx
Ì AFE031 analog front end plug-in module compatible with the AC LED Lighting & Com-
Price Each
C2000 Piccolo MCU AC LED Lighting & Communica- Mftrs. List No. Order Code 1+
tions Kit TMDXEVM8168C 189-3311▲
TMDSIACLEDCOMKIT
The C2000 Piccolo MCU LED Lighting & Communications
Kit allows developers to get started with digital control in
lighting and lighting communications. Featuring Piccolo
MCU’s digital control of the power supply, lighting, and
communications, developer’s can get jump started with
intelligent lighting designs. The development board fea-
tures up to 250W output supporting 6 LED strings and op-
Data Bus Data Ram Max Clock Supply Mftrs. Price Each
Pins/Pkg Width Size Frequency Instructions Voltage Range List No. Order Code 1+ 10+ 50+ 100+ +
1031/FCBGA 32bit 32KB 1.2GHz 8000MIPS 950mV to 1.05V TMS320DM8168ACYG2 206-1745●
193
194 farnell.com element14.com
542556
Package Cores Clock Speed L2 Cache FSB Speed FSB Parity Inst Set Embedded Lithography Max TDP VID Voltage Range Mftrs.List No. Order Code Price Each
FCBGA 1 1.1 GHz 512 KB 400 MHz ✓ 32-bit ✓ 45 nm 2W 0.75 - 1.1V AC80566UC005DE S LB2C 174-0828●
FCBGA 1 1.6 GHz 512 KB 533 MHz – 32-bit ✓ 45 nm 2.5 W 0.9V - 1.1625V AC80566UE025DW S LB6P 174-0831●
FCBGA 1 1.6 GHz 512 KB 533 MHz – 32-bit ✓ 45 nm 2.5 W 0.9V - 1.1625V AU80586GE025D S LB73 174-0832●
FCBGA 1 1.6 GHz 512 KB 533 MHz – 32-bit ✓ 45 nm 2.2 W 0.8V - 1.1V CH80566EE025DW S LGPN 174-0833●
FCBGA 1 1.33 GHz 512 KB 533 MHz – 32-bit ✓ 45 nm 2.2 W 0.9V - 1.1V CH80566EE014DT S LGPP 174-0834●
FCBGA 1 1.1 GHz 512 KB 400 MHz – 32-bit ✓ 45 nm 2.2 W 0.75V - 1.1V CH80566EC005DT S LGPR 174-0835●
Intel姞 Atom™ Processor Z5xx Series and Intel姞 System Controller Hub US15W Development Kit
Board Peripherals Features:
Ì 1x single-channel SDVO port on x16 connector
Ì Single-channel 24-bit LVDS interface; BLI and LED backlight support
Ì 2x x1 PCI Express or mini card connectors
Ì 1x IDE connector
Ì 8x USB 2.0 ports Ì ITP-XDP debug port
Ì 3x SDIO ports (one 8-bit port and two 4-bit ports) Ì 1x Intel姞 High Definition Audio2 MDC header
Ì PS/2 port keyboard and mouse Ì LPC connector
Ì Scan matrix keyboard header Ì Port 80 display
The Intel姞 Atom™ processor Z5xx series and Intel® System Controller Hub US15W development kit offers a versatile platform for numerous embedded applications including in-vehicle info-
tainment, medical, interactive client (kiosks, point-of-sale terminals), gaming, and industrial control. The Intel姞 Embedded Graphics Drivers and video BIOS, developed specifically for embed-
ded products and applications, also support the platform. The Intel姞 Atom™ processor drives enhancements in low-power design, extending the benefits of Intel姞 microarchitecture to small
form factor, thermally constrained and fanless embedded applications. Implemented on 45nm process technology, this power optimized processor provides increased performance-per-watt
in an ultra-small 13x14 mm package. It features embedded lifecycle support and maintains compatibility with 32-bit Intel姞 architecture software and complementary silicon.
546671
542542
194
farnell.com element14.com 195
Pins/Pkg Description Features Mftrs. List No. Order Code Price Each
998/FCBGA Inte姞l 82945GSE Graphics Memory Controller Hub 533 MHz front-side bus; Up to 2 GB of 400/533 MHz DDR2 system memory (SO- QG82945GSE S LB2R
DIMM and/or memory down); Inte姞l GMA 950 174-0838●
652/BGA Intel姞 I/O Controller Hub 7-M (ICH7-M) Direct connection to GMCH via Direct Media Interface; Four PCI Express root ports; NH82801GBM S L8YB
Two-port Serial ATA controller; Up to eight USB 2.0 ports; Intel姞 High Definition
Audio1 interface; PCI; IDE; LCI 174-0839●
μVGA - Embedded VGA Graphics Modules μOLED - OLED Display Graphics Modules,
The μVGA-PICASO-MD1 is Carrier Boards & Development Platform
a compact & cost effective The μOLED-96-G1 is a all in one SMART OLED
ready to go drop-in em- display with an embedded graphics controller
bedded graphics engine that will deliver that will deliver stand-alone functionality to a project.
stand-alone functionality to a project. The μOLED-128-G1 & μOLED-160-G1 are compact and cost ef-
The simple to use embedded commands fective all in one ’SMART’ display modules that use the latest
not only control background colour but can state of the art Passive Matrix OLED (PMOLED) technology with
produce text in a variety of sizes as well as an embedded GOLDELOX-GFX graphics processor that delivers
Semiconductors
draw shapes (which can include user definable bitmapped characters such as logos) in stand-alone functionality to any project.
256 colours whilst freeing up the host processor from the processor hungry screen control The CB-xxx-G1 compact Carrier-Boards are designed to provide a
functions. simple means of adding user input to the already capable μOLED
Also available is a Base Board Adaptor for the μVGA-PICASO-MD1 intelligent display modules.
536482 μOLED-96-G1
Mftrs. List No. Description Order Code Price Each
6D305 μVGA Graphics Controller 170-2963●
6D306 μVGA Base Board 170-2964●
Image Processors
Advantages:
Ì High dynamic range
Ì Low-light and near-IR sensitivity
Ì High speed
This complete camera system-on-a-chip solution requires only a power supply, lens, and clock source for basic operation. Built with Micron’s exclusive
DigitalClarity姞 technology, sensor features exceptionally low noise levels and low-light sensitivity. It achieves superior resolution delivering CCD image qual-
ity (based on SNR and low-light sensitivity) along with the low cost, low power, high performance, small form factor, and fast time-to-market of CMOS.
With very low power consumption and variable functions, including gain, frame rate, and exposure, this sensor outputs high-quality images at high speeds
and can be programmed through a simple two-wire serial interface.
530886
Price Each
Pins/Pkg Resolution Optical Format Pixel Size Frame Rate Chroma Mftrs. List No Order Code 1+ 10+ 100+
48/CLCC VGA 1/4 inch 5.6 μm 30 fps RGB MT9V131C12STC 169-5398●
48/CLCC VGA 1/4 inch 5.6 μm 30 fps RGB MT9V135C12STC 169-5402●
52/BGA VGA 1/4 inch 5.6 μm 30 fps RGB MT9V125IA7XTC 169-5405●
195
196 farnell.com element14.com
Price1+
Each
Pins/Pkg Resolution Optical Format Pixel Size Frame Rate Chroma Mftrs. List No Order Code 1+ 10+ 100+
48/ILCC 5 Mp 1/2.5 inch 2.2 μm 15 fps RGB MT9P031I12STC 169-5390●
48/PLCC 3 Mp 1/2 inch 3.2 μm 12-93 fps RGB MT9T031C12STC 177-9798
Optical Frame
Image Sensor Demo System Kits Resolution Format Rate Chroma Package
MT9T031C12STCD ES Demo Kit 3 Mp 1/2 inch 12-93 fps RGB PLCC
The CMOS image sensor demonstration kits in- MT9T031P12STCH ES Headboard 3 Mp 1/2 inch 12-93 fps RGB PLCC
clude a PCB sensor headboard with a lens and a MT9P031I12STCD ES Demo Kit 5 Mp 1/2.5 inch 14 fps RGB iLCC
base camera demo board, as well as a USB cable MT9P031I12STCH ES Headboard 5 Mp 1/2.5 inch 14 fps RGB iLCC
MT9V131C12STCD ES Demo Kit VGA 1/4 inch 30 fps RGB CLCC
and tripod. Purchasing a demo kit also grants a li-
MT9V131C12STCH ES Headboard VGA 1/4 inch 30 fps RGB CLCC
cense to download and use Micron’s DevSuite MT9V135C12STCD ES Demo Kit VGA 1/4 inch 30 fps RGB CLCC
characterization software. MT9V135C12STCH ES Headboard VGA 1/4 inch 30 fps RGB CLCC
The CMOS image sensor headboards are made 530882
with a standardised connector, so multiple head- Mftrs. List No Description Order Code Price Each
boards can be tested on a single demo kit base
board. The headboard PCB comes complete with MT9T031C12STCD ES MT9T031 Colour 3Mp Complete Kit 187-7459
an image sensor and lens. MT9T031P12STCH ES MT9T031 Colour 3Mp Headboard 169-5397●
MT9P031I12STCD ES MT9P031 Colour 5Mp Complete Kit - 7° Shift 169-5392●
MT9P031I12STCH ES MT9P031 Colour 5Mp Headboard - 7° Shift 169-5394●
MT9V131C12STCD ES MT9V131 Colour VGA Complete Kit 169-5399●
Semiconductors
The internal registers are programmed through a 3-wire serial digital interface. Programmable features include gain adjustment, black level adjustment, input configuration, and power-down
modes.
Mftrs. List No. Description Order Code Price Each Mftrs List No. Description Order Code Price Each
196
farnell.com element14.com 197
12 pins VSOJ 14 pins SOP 18 pins DIP 20 pins VSOJ 22 pins SON 24 pins SSOP 24 pins SOP
Semiconductors
These clock crystal units are adjustement-free efficient operation and multifunction operation monitor. These devices come in three different control interface types.The RTC-6242A/3A are
both drop in replacement for the obsolete RTC-4553 and the RX-4045SAAA is a drop in replacement for the obsolete RTC-4553.
Frequency Clock Additional features Output Mftrs.
Tolerance List No.
±10ppm Gregorian 24h/12h changeable Leap year auto adjustable – RTC-62421A
±20ppm Gregorian 24h/12h changeable Leap year auto adjustable – RTC-62423A
5±23ppm Selectable clock, built in sec/ digital pace adjustment functions, alarm & timer Temperature sensor & low current consumption Compatible with SRAM RTC-7301DG
5±23ppm Full calendar/ alarm & interrupt /timer functions Voltage monitor CMOS output with control pin RTC-8564JE
5±23ppm Full calendar alarm timer Voltage monitor EEPROM & CMOS output with control pin RTC-9701JE
5±5ppm Full calendar Low back up current & time, calendar, detection & interrupt – RX-4045SAAA
450983
210792
Price Each
Mftr. Pins Pkg Description Mftrs. List No. Order Code 1+ 10+ 100+ 250+
41 ST 16 QFN Serial I2C bus RTC with battery switchover . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M41T83SQA6F 139-1132●
41 ST 8 SO Serial Access Real-Time Clock. Counters for Seconds, Minutes, Hours, Day, Date, M41T00SM6E
Month, Year and Century, Supports I2C Bus, IND TEMP. . . . . . . . . . . . . . . . . . . . . . . . . 122-4439●
41 ST 16 QFN Serial Access Real-Time Clock With Alarms. Counters for Seconds, Minutes, Hours, M41T62Q6F
Day, Date, Month, Year and Century, Supports I2C Bus, IND TEMP . . . . . . . . . . . . . . . . 122-4441●
41 ST 8 SOIC Serial Access Real-Time Clock With Alarms. Counters for Tenths/Hundredths of Sec- M41T81SM6E*
onds, Seconds, Minutes, Hours, Day, Date, Month, Year and Century, Supports I2C
Bus, IND TEMP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 122-4442●
68 INTS 16 DIP CMOS Real Time Clock (seconds to years) With 32 bytes RAM and Power Sense/Con- CDP68HC68T1EZ*
trol. Leap year correction. 12 or 24 hour mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 101-8167●
351 TI 24 SSOP 1 Line to 10 Line 3.3V Clock Driver with Tri-State Output . . . . . . . . . . . . . . . . . . . . . . . . CDC351DB..* 164-8760●
4287 TI 24 DIP Real-Time Clock Module With NVRAM Control . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . BQ4287MT-SB2* 162-4422●
8563 NXP 8 DIP CMOS Real-Time Clock/Calender With Low Power Consumption and I²C-Bus Interface . PCF8563P/F4,112** 890-6122●
8563 NXP 8 SOIC CMOS Real-Time Clock/Calender With Low Power Consumption and I²C-Bus Interface . PCF8563T/F4,112* 890-6130●
8583 NXP 8 DIP Clock/Calendar/Alarm (100ths of seconds to months) With a 256 x 8-Bit Static RAM. PCF8583P*
I²C Bus interface. 12 or 24 hour mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 403-908●
8593 NXP 8 DIP CMOS Clock/Calender (hundredths of a second to months) With I²C-Bus Interface . . . . PCF8593P,112** 890-6254●
8593 NXP 8 SOIC CMOS Clock/Calender (hundredths of a second to months) With I²C-Bus Interface PCF8593T/1.112 890-6262●
72421 EPS 18 DIP CMOS Clock/Calendar (seconds to years) With built-in quartz crystal and INTEL Bus in- RTC72421B*
terface (120ns access time). Features Low Current consumption, back-up function,
leap year correction, and 12 or 24 hour mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 121-6228●
72423 EPS 24 SOIC CMOS Clock/Calendar (seconds to years) With built-in quartz crystal and INTEL Bus in- RTC72423B*
terface (120ns access time). Features Low Current consumption, back-up function,
leap year correction, and 12 or 24 hour mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 121-6229●
197
198 farnell.com element14.com
Price Each
Mftr. Pins Pkg Description Mftrs. List No. Order Code 1+ 25+ 100+ 250+ +
INTS 8 SOIC Real-Time Clock/Calendar with Embedded Unique ID . . . . . . . . . . . . . . . . . ISL12024IBZ 136-0964●
INTS 8 SOIC Real-Time Clock/Calendar with EEPROM . . . . . . . . . . . . . . . . . . . . . . . . . . ISL12025IBZ 136-0965●
INTS 8 SOIC Real Time Clock/Calendar with EEPROM . . . . . . . . . . . . . . . . . . . . . . . . . . ISL12026IBZ 136-0967●
INTS 8 SOIC Real Time Clock/Calendar with EEPROM . . . . . . . . . . . . . . . . . . . . . . . . . . ISL12027IB27AZ 136-0968●
MAX 24 EDIP RTC with Integrated Crystal and Battery . . . . . . . . . . . . . . . . . . . . . . . . . . . DS12887A+ 160-6597●
MAX 8 MSOP I²C Serial Real-Time Clock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DS1337U+ 137-9737●
MAX 8 DIP I²C Serial Real-Time Clock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DS1337+ 137-9812●
MAX 8 SOIC I²C Serial Real-Time Clock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DS1337S+ 137-9748●
MAX 8 SOIC I2C RTC with Trickle Charger . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DS1340Z-33+ 137-9739●
MAX 8 SOIC Trickle-Charge Timekeeping Chip . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DS1302ZN+ 137-9808●
MAX 20 TSSOP Serial Alarm Real-Time Clock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DS1305EN+ 137-9809●
MAX 20 TSSOP Serial Alarm Real-Time Clock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DS1306EN+ 137-9779●
MAX 16 SOIC I2C Realtime Clock with Temp Comp. Oscillator & Crystal . . . . . . . . . . . . . DS3231SN# 159-3292●
MAX 20 SOIC I2C Realtime Clock with Temp Comp. Oscillator & SRAM . . . . . . . . . . . . . . DS3232SN# 159-3293●
MAX 20 SOIC SPI Realtime Clock with Temp Comp. Oscillator, Crystal & SRAM. . . . . . . . DS3234S# 159-3294●
MAX 28 DIP Presettable up/down counter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ICM7217AIPI+ 209-5868●
and automatically restore signals to their correct state upon power up. They can store signals that may change without notice, and allow the storage of system settings without the system
overhead of serial memory.
528682
660303
No. of Supervisor Reset Supply Delay Threshold Operating Mftrs. Price Each
Pins/Pkg /Monitors Type Current Time Voltage Temperature Range List No. Order Code 1+ 10+ 100+ + +
16/MSOP 8 Active-High 1.1mA 277ms – 0°C to +70°C LTC2991CMS#PBF 200-9071●
10/DFN 1 Active-High / Active-Low 1.2μA 200μs 800mV 0°C to +70°C LTC2955CDDB-1#TRMPBF 209-7935●
10/DFN 1 Active-High / Active-Low 1.2μA 200μs 800mV 0°C to +70°C LTC2955CDDB-2#TRMPBF 209-7936●
10/DFN 1 Active-High / Active-Low 1.2μA 200μs 800mV -40°C to +85°C LTC2955IDDB-1#TRMPBF 209-7937●
10/DFN 1 Active-High / Active-Low 1.2μA 200μs 800mV -40°C to +85°C LTC2955IDDB-2#TRMPBF 209-7938●
8/TSOT-23 1 Active-High / Active-Low 1.2μA 200μs 800mV 0°C to +70°C LTC2955CTS8-1#TRMPBF 209-7939●
8/TSOT-23 1 Active-High / Active-Low 1.2μA 200μs 800mV 0°C to +70°C LTC2955CTS8-2#TRMPBF 209-7940●
8/TSOT-23 1 Active-High / Active-Low 1.2μA 200μs 800mV -40°C to +85°C LTC2955ITS8-1#TRMPBF 209-7942●
8/TSOT-23 1 Active-High / Active-Low 1.2μA 200μs 800mV -40°C to +85°C LTC2955ITS8-2#TRMPBF 209-7943●
6/SOT-23 2 Active-Low / Open-Drain 1.1mA 6μs 880mV -40°C to +85°C LTC1696ES6#PBF 211-5937●
8/DFN 2 Manual, Active-Low 850nA 7μs 400mV -40°C to +85°C LTC2960IDC-1#PBF 209-7972●
8/DFN 2 Manual, Active-Low 850nA 7μs 400mV -40°C to +85°C LTC2960IDC-2#PBF 209-7973●
8/DFN 2 Manual, Active-Low 850nA 7μs 400mV -40°C to +85°C LTC2960IDC-3#PBF 209-7974●
8/DFN 2 Manual, Active-Low 850nA 7μs 400mV -40°C to +85°C LTC2960IDC-4#PBF 209-7975●
198
farnell.com element14.com 199
No. of Supervisor Reset Supply Delay Threshold Operating Mftrs. Price Each
Pins/Pkg /Monitors Type Current Time Voltage Temperature Range List No. Order Code 1+ 10+ 100+ + +
8/TSOT-23 2 Manual, Active-Low 850nA 7μs 400mV -40°C to +85°C LTC2960ITS8-1#PBF 209-7976●
8/TSOT-23 2 Manual, Active-Low 850nA 7μs 400mV -40°C to +85°C LTC2960ITS8-2#PBF 209-7978●
8/TSOT-23 2 Manual, Active-Low 850nA 7μs 400mV -40°C to +85°C LTC2960ITS8-3#PBF 209-7979●
8/TSOT-23 2 Manual, Active-Low 850nA 7μs 400mV -40°C to +85°C LTC2960ITS8-4#PBF 209-7980●
5/SOT-23 3 Active-Low 10μA 200ms 2.338V -40°C to +85°C LTC1728ES5-2.5#PBF 210-2603●
8/TSOT-23 3 Active-Low / Open-Drain 30μA 200ms 500mV 0°C to +70°C LTC2911CTS8-5#TRMPBF 211-5939●
10/MSOP 4 Manual, Active-Low 43μA 100ns 4.675V -40°C to +85°C LTC2900-1IMS#PBF 210-2613●
64/QFN 4 Active-High 10mA – – -40°C to +85°C LTC2974IUP#PBF 209-7944●
8/TSOT-23 6 Active-Low / Open-Drain 24μA 200ms 3.086V 0°C to +70°C LTC2908CTS8-B1#PBF 211-5938●
Semiconductors
3/SOT-23B Active-High 2.32V 9 μA 1V to 5.5V -40°C to +125°C TCM810ZVNB713 184-0907●
3/SOT-23B Active-Low 2.32V 9 μA 1V to 5.5V -40°C to +125°C TCM809ZVNB713 184-0908●
3/SOT-23B Active-Low / Open-Drain 2.32V 1 μA 1V to 5.5V -40°C to +125°C MCP102T-240E/TT 185-1870●
3/SC-70 Active-Low / Open-Drain 2.32V 1 μA 1V to 5.5V -40°C to +125°C MCP111T-240E/LB 185-1876●
3/SC-70 Active-Low 2.32V 1 μA 1V to 5.5V -40°C to +125°C MCP112T-240E/LB 185-1882●
3/SOT-23B Active-Low 2.32V 1 μA 1V to 5.5V -40°C to +125°C MCP112T-240E/TT 185-1884●
3/TO-92 Active-Low / Open-Drain 2.32V 1 μA 1V to 5.5V -40°C to +125°C MCP121-240E/TO 185-1902●
3/SOT-23B Active-Low / Open-Drain 2.32V 1 μA 1V to 5.5V -40°C to +125°C MCP121T-240E/TT 185-1907●
3/TO-92 Active-Low / Open-Drain 2.32V 1 μA 1V to 5.5V -40°C to +125°C MCP131-240E/TO 185-1920●
3/SOT-23B Active-Low / Open-Drain 2.32V 1 μA 1V to 5.5V -40°C to +125°C MCP131T-240E/TT 185-1924●
3/SOT-23 Active-Low 2.625V 45 μA 1V to 5.5V -40°C to +85°C MCP100T-270I/TT 185-1859●
3/TO-92 Active-High 2.625V 45 μA 1V to 5.5V -40°C to +85°C MCP101-270DI/TO 185-1863●
3/SOT-23 Active-High 2.625V 45 μA 1V to 5.5V -40°C to +85°C MCP101T-270I/TT 185-1866● 1
3/TO-92 Active-Low 2.625V 45 μA 1V to 5.5V -40°C to +85°C MCP120-270DI/TO 185-1892●
3/TO-92 Active-Low 2.625V 45 μA 1V to 5.5V -40°C to +85°C MCP130-270DI/TO 185-1917●
3/SC-70 Active-High 2.63V 9 μA 1V to 5.5V -40°C to +125°C TCM810RVLB713 184-0909●
199
200 farnell.com element14.com
Microprocessor Supervisors
The MAX16055 precision hex voltage microprocessor (μP) supervisory circuit monitors up to six system-supply voltages and asserts a single reset when any one supply voltage drops below
its preset threshold. A variety of factory-trimmed threshold voltages are available to accommodate different supply voltages and tolerance with minimal external components. The MAX16055
includes internally fixed threshold options for monitoring 3.3V, 3.0V, 2.5V, 1.8V, 1.5V, 1.2V, 1.1V, 1.0V, and 0.9V supplies with -5% or -10% tolerance. The MAX16055 is also available with
one to five adjustable threshold inputs to monitor voltages down to 0.5V. A single active-low, open-drain output asserts when any monitored input falls below its associated threshold. The re-
set output features a weak internal pullup (typically 70μA) to supply input IN1. The reset output remains low for the reset timeout period (140ms, min) after all voltages rise above the selected
thresholds. The reset output remains valid as long as either IN1 or IN2 input voltage is above 1V.
1 Nominal Input Voltage
Mftrs. List No. IN1 IN2 IN3 IN4 IN5 IN6
MAX16055AAUB+ 3.3 2.5 1.5 1.8 1.2 0.9
Integrated Circuits & Development Kits
608966
200
farnell.com element14.com 201
Semiconductors
111 MCHIP 3 SOT-23 Ultra low power 4.5V Reset, output delay, Open Drain output -40°C to +125°C MCP111T-475E/TT
4.63V, Microprocessor Supervisory Circuit with Push Pull Output
186-3908●
111 MCHIP 3 TO-92 Ultra low power 4.5V Reset, output delay, Open Drain output -40°C to +125°C MCP111-475E/TO
4.63V, Microprocessor Supervisory Circuit with Push Pull Output
186-3907●
112 MCHIP 3 SOT-23B Ultra low power 2.7V Voltage detector, CMOS output . 2.63V Mi--40°C to +125°C MCP112T-270E/TT
cropower Voltage Detector 162-7195●
120 MCHIP 3 SOT-23 Microcontroller Supervisory Circuit with Open Drain Output . . . . .-40°C to +85°C MCP120T-270I/TT 129-2232●
120 MCHIP 3 SOT-23 Microcontroller Supervisory Circuit with Open Drain Output . . . . .-40°C to +85°C MCP120T-300I/TT 129-2233●
120 MCHIP 3 SOT-23 Microcontroller Supervisory Circuit with Open Drain Output and -40°C to +85°C MCP130T-460I/TT
5k Pullup Resistor. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 129-2234●
123 CAT 8 SOIC Ultra low power 2.7V Voltage detector, CMOS output . . . . Supply-40°C to +85°C CAT1232LPV-G
Monitor Watchdog Timer 165-6122●
380 TI 5 SC-70 Ultra small supply voltage supervisor, 2.64V -40°C to +85°C TPS3801L30DCKR 207-5439●
1
560 ZET 3 SOT-223 Ultra low power 3.0V Voltage detector, CMOS output Supply Volt--40°C to +85°C ZSM560GTA
age Monitor With reset, Precision thresholds and hysteresis 113-2703●
201
202 farnell.com element14.com
threshold 211-3171●
707 ADI 8 SOIC Ultra low power 2.7V Voltage detector, CMOS output . . . Voltage-40°C to +85°C ADM707ARZ
Monitoring Microprocessor Supervisory Circuit 166-1072●
707 MAX 8 DIP Ultra low power 2.7V Reset, output delay, Open Drain output . . 0°C . . - to +70°C MAX707CPA+
Microprocessor Supervisory Circuit 118-8005●
707 MAX 8 SOIC Ultra low power 4.5V Reset, output delay, Open Drain output . . 0°C . . - to +70°C MAX707CSA+
Microprocessor Supervisory Circuit 972-4249●
707 MAX 8 SOIC Microprocessor Supervisory Circuit (IND TEMP) . . . . . . . . . . . . .-40°C to +85°C MAX707ESA+ 972-4290●
708 ADI 8 SOIC Ultra low power 2.7V Voltage detector, CMOS output . . . Voltage-40°C to +85°C ADM708ARZ
Monitoring Microprocessor Supervisory Circuit 166-1074●
708 ADI 8 SOIC Ultra low power 2.7V Voltage detector, CMOS output . . . Voltage-40°C to +85°C ADM708SARZ
Monitoring Microprocessor Supervisory Circuit 166-1075●
708 MAX 8 DIP Microprocessor Supervisory Circuit. . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C MAX708CPA+ 972-6101●
708 MAX 8 SOIC Microprocessor Supervisory Circuit. . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C MAX708CSA+ 972-4257●
708 ADI 8 SOIC Ultra low power 2.7V Voltage detector, CMOS output. . 5V power-40°C to +85°C ADM708TARZ
supply/battery + uP monitor, reset generator 143-9107●
708 MAX 8 SOIC Supervisor circuit with power monitor, 4.4V threshold 0°C to +70°C MAX708TCSA+ 211-3172●
708 MAX 8 SOIC 3V Voltage monitoring supervisory circuit 0°C to +70°C MAX708SCSA+ 208-5144●
709 MAX 8 DIP Power Supply Monitor With Reset. Reset Level 4.65V . . . . . . . . .0°C to +70°C MAX709LCPA+ 118-8004●
709 MAX 8 SOIC Power Supply Monitor With Reset. Reset Level 4.65V . . . . . . . . .0°C to +70°C MAX709LCSA+ 972-5997●
791 MAX 16 SOIC Microprocessor Supervisory Circuit. . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C MAX791CSE+ 972-4303●
791 MAX 16 SOIC Microprocessor supervisory circuit with Watchdog, 1.25Vth -40°C to +85°C MAX791ESE+ 211-3173●
793 MAX 16 SOIC Microprocessor supervisory circuit with Watchdog, 3-3.15Vth 0°C to +70°C MAX793TCSE+ 211-3174●
803 Micrel 3 SOT-23 4.5μA, 35ms Delay, 2.63V Threshold, MPU Supervisor -40°C to +125°C MIC803-26D2VM3 TR 192-4761●
803 Micrel 3 SOT-23 4.5μA, 230ms Delay, 2.63V Threshold, MPU Supervisor -40°C to +125°C MIC803-26D3VM3 TR 192-4762●
803 Micrel 3 SOT-23 4.5μA, 1.8s Delay, 2.63V Threshold, MPU Supervisor -40°C to +125°C MIC803-26D4VM3 TR 192-4763●
803 Micrel 3 SOT-23 4.5μA, 35ms Delay, 2.93V Threshold, MPU Supervisor -40°C to +125°C MIC803-29D2VM3 TR 192-4764●
803 Micrel 3 SOT-23 4.5μA, 230ms Delay, 2.93V Threshold, MPU Supervisor -40°C to +125°C MIC803-29D3VM3 TR 192-4765●
803 Micrel 3 SOT-23 4.5μA, 1.8s Delay, 2.93V Threshold, MPU Supervisor -40°C to +125°C MIC803-29D4VM3 TR 192-4766●
803 Micrel 3 SOT-23 4.5μA, 35ms Delay, 3V Threshold MPU Supervisor -40°C to +125°C MIC803-30D2VM3 TR 192-4767●
803 Micrel 3 SOT-23 4.5μA, 230ms Delay, 3V Threshold MPU Supervisor -40°C to +125°C MIC803-30D3VM3 TR 192-4768●
803 Micrel 3 SOT-23 4.5μA, 1.8s Delay, 3V Threshold MPU Supervisor -40°C to +125°C MIC803-30D4VM3 TR 192-4769●
803 Micrel 3 SOT-23 4.5μA, 35ms Delay, 3.08V Threshold MPU Supervisor -40°C to +125°C MIC803-31D2VM3 TR 192-4770●
803 Micrel 3 SOT-23 4.5μA, 230ms Delay, 3.08V Threshold MPU Supervisor -40°C to +125°C MIC803-31D3VM3 TR 192-4772●
803 Micrel 3 SOT-23 4.5μA, 1.8s Delay, 3.08V Threshold MPU Supervisor -40°C to +125°C MIC803-31D4VM3 TR 192-4773●
803 Micrel 3 SOT-23 4.5μA, 35ms Delay, 4V Threshold MPU Supervisor -40°C to +125°C MIC803-40D2VM3 TR 192-4774●
803 Micrel 3 SOT-23 4.5μA, 230ms Delay, 4V Threshold MPU Supervisor -40°C to +125°C MIC803-40D3VM3 TR 192-4775●
803 Micrel 3 SOT-23 4.5μA, 1.8s Delay, 4V Threshold MPU Supervisor -40°C to +125°C MIC803-40D4VM3 TR 192-4776●
803 Micrel 3 SOT-23 4.5μA, 35ms Delay, 4.1V Threshold MPU Supervisor -40°C to +125°C MIC803-41D2VM3 TR 192-4777●
803 Micrel 3 SOT-23 4.5μA, 230ms Delay, 4.1V Threshold MPU Supervisor -40°C to +125°C MIC803-41D3VM3 TR 192-4778●
803 Micrel 3 SOT-23 4.5μA, 1.8s Delay, 4.1V Threshold MPU Supervisor -40°C to +125°C MIC803-41D4VM3 TR 192-4779●
803 Micrel 3 SOT-23 4.5μA, 35ms Delay, 4.38V Threshold MPU Supervisor -40°C to +125°C MIC803-44D2VM3 TR 192-4780●
803 Micrel 3 SOT-23 4.5μA, 230ms Delay, 4.38V Threshold MPU Supervisor -40°C to +125°C MIC803-44D3VM3 TR 192-4781●
202
farnell.com element14.com 203
Semiconductors
809 MAX 3 SOT-23 Ultra low power 4.5V Voltage detector, Open Drain output . . . . .-40°C . M- to +105°C MAX809SEUR+T
icroprocessor Supervisory Circuit With Reset. Active-Low Reset
Output With 2.93V threshold 118-8029●
809 MAX 3 SOT-23 Ultra low power 4.5V Voltage detector, Open Drain output . . . . .-40°C . M- to +105°C MAX809TEUR+
icroprocessor Supervisory Circuit With Active Low reset output,
3.08V threshold (IND TEMP) 972-5768●
809 MCHIP 3 SOT-23B Ultra low power 4.5V Reset, output delay, CMOS output. . . . . . . .-40°C . . M-to +85°C TCM809LENB713
icrocontroller Supervisory Circuit With Active-Low Reset output,
4.63V threshold. (IND TEMP) 976-2744●
809 MCHIP 3 SOT-23B Ultra low power 2.7V Reset, output delay, Open Drain output . . -40°C . . - to +85°C TCM809RENB713
Microcontroller Supervisory Circuit With Active-Low Reset,
2.63V threshold (IND TEMP) 976-2752●
809 MCHIP 3 SC70 Ultra low power 4.5V Reset, output delay, Open Drain output . . -40°C . . - to +125°C TCM809RVLB713
Microcontroller Supervisory Circuit With Active-Low Reset,
2.63V threshold (Automotive TEMP) 121-2922● 1
809 MCHIP 3 SOT-23B Microcontroller Supervisory Circuit With Active-Low Reset, -40°C to +85°C TCM809SENB713
2.93V threshold ((IND TEMP) . . . . . . . . . . . . . . . . . . . . . . . . . . . 976-2760●
809 MCHIP 3 SOT-23B Microcontroller Supervisory Circuit With Active-Low Reset, -40°C to +85°C TCM809TENB713
203
204 farnell.com element14.com
1232 MAX 8 DIP Ultra low power 2.7V Voltage detector, CMOS output Low Power0°C to +70°C DS1232LP+
DS1232 118-7997●
1232 MAX 8 SOIC Ultra low power 3.0V Voltage detector, CMOS output . Super Low0°C to +70°C DS1232LPS-2+
Power Microprocessor supervisory circuit, 5V, 5% or 10% se-
lectable 972-4877●
1232 LT 8 DIP Ultra low power 3.0V Voltage detector, CMOS output . . . . . . . . . 0°C . Micr-
to +70°C LTC1232CN8#PBF
oprocessor Supervisory Circuit 956-0939●
1232 MAX 8 DIP Ultra low power 2.0V Voltage detector, Open Drain output . . Low0°C to +70°C MAX1232CPA+
Power CMOS Micro-Monitor 972-3757●
1232 MAX 8 SOIC Ultra low power 2.0V Voltage detector, Open Drain output . . Low0°C to +70°C MAX1232CSA+
Power CMOS Micro-Monitor 972-5903●
1232 MAX 8 DIP Ultra low power 2.7V Voltage detector, Open Drain output . . Low-40°C to +85°C MAX1232EPA+
Power CMOS Micro-Monitor (IND TEMP) 972-4885●
1232 MAX 8 SOIC Ultra low power 2.7V Voltage detector, Open Drain output . . Low-40°C to +85°C MAX1232ESA+
Power CMOS Micro-Monitor 972-4664●
1233 MAX 3 TO-92 Ultra low power 2.7V Voltage detector, Open Drain output MICRO0°C to +70°C DS1233-10+
MONITOR 125-7837●
1233 MAX 3 TO-92 Ultra low power 4.5V Voltage detector, Open Drain output Mi -40°C to +85°C DS1233-5+
cro-auto-restart on Power failure, monitors 5V supply and push-
button for external override alLowing for Power and processor to
stabilise, 5% Acc. 972-5881●
1233 MAX 3 TO-92 Ultra low power 4.5V Voltage detector, Open Drain output Mi -40°C to +85°C DS1233A-10+
cro-auto-restart on Power failure, monitors 3.3V supply and
push-button for external override alLowing for Power and proc-
essor to stabilise, 10% Acc 972-6454●
1233 MAX 3 TO-92 4.375Vth microprocessor reset circuit with temperature com- -40°C to +85°C DS1233D-10+
pensated monitor 211-3154●
1318 MCHIP 3 SOT-23 Ultra low power 2.7V Voltage detector, CMOS output . 4.6V Volt--40°C to +125°C MCP1318MT-46LE/OT
age Supervisor 162-7185●
1319 MCHIP 5 SOT-23 Ultra low power 2.7V Voltage detector, CMOS output Voltage Su--40°C to +125°C MCP1319MT-29LE/OT
pervisor 2.9V Reset O/P A: Open-Drain with Internal Pull-up Re-
sistor, O/P B:Push-Pull, Active High; Manual Reset I/P 143-9361●
1319 MCHIP 5 SOT-23 Ultra low power 2.7V Voltage detector, CMOS output Voltage Su--40°C to +125°C MCP1319MT-46LE/OT
pervisor 4.6V Reset O/P A: Open-Drain with Internal Pull-up Re-
sistor, O/P B:Push-Pull, Active High; Manual Reset I/P 143-9362●
1320 MCHIP 5 SOT-23 Ultra low power 2.7V Voltage detector, CMOS output Voltage Su--40°C to +125°C MCP1320T-29LE/OT
pervisor 2.9V Open-Drain Reset, External Pull-up Resistor;
Watchdog & Manual Reset I/P 143-9363●
1320 MCHIP 5 SOT-23 Ultra low power 2.7V Voltage detector, CMOS output Voltage Su--40°C to +125°C MCP1320T-46LE/OT
pervisor 4.6V Open-Drain Reset, External Pull-up Resistor;
Watchdog & Manual Reset I/P 143-9365●
1321 MCHIP 6 SOT-23 Ultra low power 2.7V Voltage detector, CMOS output Voltage Su--40°C to +125°C MCP1321T-29LE/OT
pervisor 2.9V Reset O/P A: Open-Drain, External Pull-up Resis-
tor, O/P B:Push-Pull, Active High; Watchdog I/P 143-9366●
1322 MCHIP 5 SOT-23 Ultra low power 2.7V Voltage detector, CMOS output Voltage Su--40°C to +125°C MCP1322T-29LE/OT
pervisor 2.9V Reset O/P A: Open-Drain, External Pull-up Resis-
tor, O/P B:Push-Pull, Active High; Manual Reset I/P 143-9368●
204
farnell.com element14.com 205
Semiconductors
1812 MAX 3 SOT-23 Microprocessor Supervisory Circuit With Power-on reset to al- -40°C to +85°C DS1812R-10+
Low system Power and processor to stabilise, output intended
for systems that require active High reset, 5V . . . . . . . . . . . . . . . 972-6152●
1813 MAX 3 TO-92 Microprocessor Supervisory Circuit With Power-on reset to al- -40°C to +85°C DS1813-10+
Low system Power and processor to stabilise, output pulled up
With internal resistor, reset line internally debounced for push-
button implementation, 5V . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 972-6179●
1813 MAX 3 SOT-23 Microprocessor Supervisory Circuit With Power-on reset to al- -40°C to +85°C DS1813R-10+
Low system Power and processor to stabilise, output pulled up
With internal resistor, reset line internally debounced for push-
button implementation, 5V . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 972-6160●
1813 MAX 3 SOT-23 5V Temperature compensated supply monitor and manual reset -40°C to +85°C DS1813R-10+T&R
i/p 211-3157●
1813 MAX 3 SOT-23 5V Temperature compensated supply monitor and manual reset -40°C to +85°C DS1813R-5+T&R
i/p 211-3158●
1813 MAX 3 SOT-23 Microprocessor Supervisory Circuit With Power-on reset to al- -40°C to +85°C DS1813R-5+ 1
Low system Power and processor to stabilise, output pulled up
With internal resistor, reset line internally debounced for push-
button implementation, 5V± 5% . . . . . . . . . . . . . . . . . . . . . . . . . 972-4826●
205
206 farnell.com element14.com
2935 LTC 8 DFN Ultra low power 4.5V Reset, output delay, Open Drain output . . 0°C . . - to +70°C LTC2935CDC-1#TRMPBF
Supervisor, Adj, Ulow Power 171-5075●
2935 LTC 8 DFN Ultra low power 4.5V Reset, output delay, Open Drain output . . 0°C . . - to +70°C LTC2935CDC-2#TRMPBF
Supervisor, Adj, Ulow Power 171-5076●
2935 LTC 8 DFN Ultra low power 4.5V Reset, output delay, Open Drain output . . -40°C . . - to +85°C LTC2935IDC-1#TRMPBF
Supervisor, Adj, Ulow Power 171-5077●
2935 LTC 8 DFN Ultra low power 4.5V Reset, output delay, Open Drain output . . -40°C . . - to +85°C LTC2935IDC-2#TRMPBF
Supervisor, Adj, Ulow Power 171-5078●
2990 LT 10 MSOP Quad, I2C Current, Voltage, Temperature Monitor -40°C to +85°C LTC2990IMS#PBF 189-8629●
3002 MCHIP 3 SOT-23A Ultra low power 4.5V Reset, output delay, Open Drain output -40°C to +85°C TC54VC3002ECB713
. . . . . . . . . . . . . . . . . . . . . . . . . . . 3.0V, 1.1μA Voltage Detector 185-2224●
3002 MCHIP 3 TO-92 Ultra low power 4.5V Reset, output delay, Open Drain output -40°C to +85°C TC54VC3002EZB
. . . . . . . . . . . . . . . . . . . . . . . . . . . 3.0V, 1.1μA Voltage Detector 185-2225●
3002 MCHIP 3 TO-92 Ultra low power 4.5V Reset, output delay, Open Drain output -40°C to +85°C TC54VN3002EZB
1 . . . . . . . . . . . . . . . . . . . . . . . . . . . 3.0V, 1.1μA Voltage Detector 185-2233●
3103 TI 6 SOT-23 Ultra low power 4.5V Voltage detector, Open Drain output ULTRA-40°C to +85°C TPS3103K33DBVT
Low Voltage Processor SVS 845-7018●
3106 TI 6 SOT-23 Ultra low power 4.5V Voltage detector, Open Drain output ULTRA-40°C to +85°C TPS3106K33DBVT
Integrated Circuits & Development Kits
206
farnell.com element14.com 207
Semiconductors
age Supervisor 845-7220●
3823 TI 5 SOT-23 Ultra low power 2.0V Voltage detector, Open Drain output. . . . . . -40°C . . S- to +85°C TPS3823-33DBVTG4
upervisor With Watchdog 123-4637●
3823 TI 5 SOT-23 Ultra low power 2.0V Voltage detector, Open Drain output. . . . . . -40°C . . S- to +85°C TPS3823-50DBVTG4
upervisor With Watchdog 123-4683●
3824 TI 5 SOT-23 Ultra low power 2.7V Voltage detector, Open Drain output Supply-40°C to +85°C TPS3824-33DBVRG4
Voltage Supervisor W/Watchdog Input, Reset, /Reset 128-7673●
3824 TI 5 SOT-23 Ultra low power 2.7V Voltage detector, Open Drain output. . . . . . -40°C . . S- to +85°C TPS3824-33DBVTG4
upervisor With Watchdog 123-4679●
3824 TI 5 SOT-23 Ultra low power 2.7V Voltage detector, Open Drain output. . . . . . -40°C . . S- to +85°C TPS3824-50DBVTG4
upervisor With Watchdog 123-4678●
3825 TI 5 SOT-23 Ultra low power 4.5V Voltage detector, Open Drain output Supply-40°C to +85°C TPS3825-50DBVT
Voltage Supervisor 845-7247●
3828 TI 5 SOT-23 Ultra low power 4.5V Reset, output delay, CMOS output . Supply-40°C to +85°C TPS3828-33DBVT
Voltage Supervisor 845-7255● 1
3828 TI 5 SOT-23 Ultra low power 2.7V Reset, output delay, Open Drain output -40°C to +85°C TPS3828-50DBVT
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . Supply Voltage Supervisor 845-7263●
3836 TI 5 SOT-23 220nA Supply, 200ns Delay, Active-Low / Push-Pull Reset, -40°C to +125°C TPS3836K33QDBVRQ1
207
208 farnell.com element14.com
208
farnell.com element14.com 209
Semiconductors
MCP103T-315E/TT 875-2869●
MCP130-450DI/TO 113-7307●
MCP130-475DI/TO 119-6792● MCP103T-475E/TT 875-2877●
MCP130-450FI/TO 108-4256● MCP111-450E/TO 133-2056●
MCP111T-290E/TT 133-2057●
MCP130-475FI/TO 975-8453●
MCP111T-300E/TT 160-5552●
MCP130-450HI/TO 121-2676●
MCP130-475HI/TO 975-8461● MCP111T-450E/TT 133-2058●
MCP112-450E/TO 133-2060●
MCP130T-315I/TT 975-8470●
MCP112T-290E/TT 133-2061●
MCP130T-450I/TT 975-8488●
MCP112T-450E/TT 133-2062●
MCP130T-475I/TT 975-8496●
MCP120-300DI/TO 133-2063●
MCP131T-450E/TT 875-2907●
MCP120-450DI/TO 975-8429●
MCP809T-270I/TT 108-4314●
MCP120-315HI/TO 121-2675●
MCP809T-315I/TT 108-4257●
MCP130-300DI/TO 133-2069●
MCP809T-450I/TT 975-8747●
MCP130T-300I/TT 133-2070●
1
MCP809T-475I/TT 975-8755●
MCP810T-315I/TT 121-2677● MCP130-450DI/TO 113-7307●
MCP810T-450I/TT 113-7308● MCP130-475DI/TO 119-6792●
660320
No. of Supervisor Reset Supply Delay Threshold Operating Mftrs. Price Each
Pins/Pkg /Monitors Type Current Time Voltage Temperature Range List No. Order Code 1+ 10+ 100+ 250+ 500+
6/WLCSP 2 Manual, Active-Low 2.2μA 0.5s — -40°C to +85°C MIC2782ELYCS TR 209-6037●
8/MLF 2 Active-High / Active-Low 7.4μA 140ms 4.625V -40°C to +85°C MIC2786-XAYMT TR 209-6038●
8/MLF 2 Active-High / Active-Low 7.4μA 140ms 2.925V -40°C to +85°C MIC2787-XDYMT TR 209-6039●
8/MLF 2 Active-High / Active-Low 2.9μA 140ms — -40°C to +85°C MIC2788-XYMT TR 209-6040●
8/MLF 2 Active-High / Active-Low 2.9μA 140ms — -40°C to +85°C MIC2789-XYMT TR 209-6041●
621628
620840
209
210 farnell.com element14.com
8/SOIC Active-Low Pushpull 200ms 40μA 20mA 3.08V 1.2V to 5.5V STM706TM6E 928-2947●
8/SOIC Active-High / Active-Low Pushpull 200ms 40μA 20mA 3.08V 1.2V to 5.5V STM708TM6E 928-2971●
492389
Reset
1 Threshold Price Each
Mftrs. List No. Pins/Package (V) Features Order Code 1+ 10+ 100+ 250+ 500+
3724 LM3724EM5-3.08 5/SOT-23 3.08 Active-Low Open-Drain RESET Output 131-2735●
Integrated Circuits & Development Kits
210
farnell.com element14.com 211
210515
Crystal Oscillator Modules Frequency Price Each
MHz Mftr. Order Code 1+ 10+ 100+
Single Output Modules
8 pin DIL Package - TTL Output (with output enable function) 3.6864 CMAC 971-2968●
4 CMAC 971-2976●
8 CMAC 971-2984●
12 CMAC 971-2992●
16 CMAC 971-3000●
Pin 1 = O/E
20 CMAC 971-3018●
Pin 2 = GND
Pin 3 = OUTPUT 32 CMAC 971-3026●
Pin 4 = +5V ±10% 40 CMAC 971-3034●
These single output oscillators are housed in a DIL plastic package. The package has 4 50 CMAC 971-3042●
pins spaced as the corner pins of a 0.3" 8 pin DIL. Outputs are at TTL levels.
4 to 24MHz 32 to 50MHz 8 pin DIL - Universal Output
Output rise time 8ns 5ns
Output fall time 8ns 7ns Rise/fall times Max. supply currents
Supply current 25mA 35mA
3.6864MHz to 20MHz 10ns 20mA
Output Load 10TTL (50pF) 5TTL (50pF)
24MHz to 64MHz 6ns 40mA
Temperature range to Duty cycle 40 to 60%
Temperature range 0°C to 70°C Duty cycle 45 to 55%
Frequency stability ±100ppm Mftrs. List No. SG531P4MHZ+ Frequency in MHz
Temperature stability ±100ppm
210514
Pin 1 = NC
Frequency Price Each Pin 4 = GND
MHz Order Code 1+ 10+ 100+ 250+ Pin 5 = Output
Pin 8 = +VDC
4 121-6189● 210691
4.9152 121-6190● Frequency Price Each
8.0 121-6191●
MHz Order Code 1+ 10+ 100+
Semiconductors
10.0 121-6192●
3.6864 951-0095●
16.0 121-6195●
8 951-0109●
20.0 121-6196●
12 951-0117●
24.0 121-6197●
16 951-0125●
50.0 121-6201●
20 951-0133●
25mA4MHz 24 951-0141●
100ppm
32 951-0150●
88ns 40 951-0168●
4060%
50 951-0176●
64 951-0184●
Single Output Modules
8 pin DIL - HCMOS Output
8 pin DIL - Universal Output with Enable/Disable
Pin configurations as above with Pin1 = Enable/Disable. 1
210693
Pin 1 = NC
Pin 4 = GND l Frequency Price Each
Pin 5 = Output
MHz Order Code 1+ 10+ 100+
211
212 farnell.com element14.com
Crystal Oscillator Modules - continued 14 pin DIL - Universal Output with Enable/Disable
Single Output Modules
14 pin DIL - Universal Output Rise/fall times Max. supply currents
8MHz to 20MHz 10ns 20mA
Single output oscillators in 25MHz to 50MHz 6ns 40mA
hermetically sealed 14 pin
DIL packages. Temperature range 0°C to 70°C Duty cycle 40 to 55%
Temperature stability 100ppm
Pin 1 = Enable/Disable
Pin 7 = GND
Pin 8 = Output
Pin 14 = +V DC
210696
Price Each
Frequency Frequency Supply Supply Temp.
Range MHz Stability Voltage Current Rise Time Fall time Duty Cycle Range Frequency MHz Order Code 1+ 10+ 100+
1MHz - 5MHz 100ppm 5V±0.25V 30mA 15ns 15ns 45/55% 0 - + 70°C 8.00 951-0044●
5MHz - 16MHz 100ppm 5V±0.25V 30mA 15ns 15ns 45/55% 0 - + 70°C 16.0 951-0052●
16MHz - 30MHz 100ppm 5V±0.25V 15mA 10ns 10ns 45/55% 0 - + 70°C
20.0 951-0060●
30MHz - 50MHz 100ppm 5V±0.25V 30mA 10ns 10ns 45/55% 0 - + 70°C
50MHz - 66MHz 100ppm 5V±0.25V 40mA 8ns 8ns 45/55% 0 - + 70°C 25.0 951-0079●
210849 50.0 951-0087●
Frequency Price Each
MHz Order Code 1+ 10+ 100+ Single Output Modules
1 971-2402● SMD - Tri-state HCMOS (3.3V)
1.8432 971-2410●
A range of single output oscillators
2 971-2429● housed in surface mount packages.
3.6864 971-2437● Output rise time 6ns
Semiconductors
30 971-2607● 16 971-3514●
32 971-2674● 16.384 971-3522●
40 971-2623● 20 971-3530●
48 971-2631● 24 971-3549●
50 971-2640● 32.768 971-3557●
64 971-2658● 40 971-3565●
66 971-3689● 50 971-3573●
60 971-3581●
Single Output Modules 80 971-3590●
14 pin DIL - Universal Output 100 971-3603●
125 971-3620●
As above (177-410 through to 483-400) with Universal Output (Both TTL and CMOS com-
patible)
210569
539650
212
farnell.com element14.com 213
Semiconductors
Ì Current consumption at 25.0 & 32.0 MHz 3.5mA max with no load SG-310 SCF C 25.0MHZ 127-8067●
Ì Current consumption at 40.0 & 48.0 MHz 4.5mA max with no load SG-310 SCF C 32.0MHZ 127-8068●
Ì Duty cycle 45/55% SG-310 SCF C 4.0MHZ 127-8051●
Ì SMD size 3.2x2.5x1.05mm SG-310 SCF C 40.0MHZ 127-8069●
SG-310 SCF C 48.0MHZ 127-8070●
SG-310 SCF C 8.0MHZ 127-8055●
Frequency
Frequency Can Tolerance Input Temperature Price Each
MHz Style @25°C Symmetry Voltage Range Order Code 1+ 10+ 100+
4.0 DXO57 ± 50ppm T 3.3V 0°C to +70°C 166-7028●
8.0 DXO57 ± 50ppm T 3.3V 0°C to +70°C 166-7029●
10.0 DXO57 ± 50ppm T 3.3V 0°C to +70°C 166-7030●
12.0 DXO57 ± 50ppm T 3.3V 0°C to +70°C 166-7031●
16.0 DXO57 ± 50ppm T 3.3V 0°C to +70°C 166-7032●
20.0 DXO57 ± 50ppm T 3.3V 0°C to +70°C 166-7033●
24.0 DXO57 ± 50ppm T 3.3V 0°C to +70°C 166-7034●
32.768 DXO57 ± 50ppm T 3.3V 0°C to +70°C 166-7035●
40.0 DXO57 ± 50ppm T 3.3V 0°C to +70°C 166-7036●
50.0 DXO57 ± 50ppm T 3.3V 0°C to +70°C 166-7037●
60.0 DXO57 ± 50ppm T 3.3V 0°C to +70°C 166-7039●
100.0 DXO57 ± 50ppm T 3.3V 0°C to +70°C 166-7042●
Operating
Frequency Frequency Temperature Load Rise Time Fall Time Mftrs. Price Each
MHz Package Stability Range Cap (tr) (tf) Duty Cycle List No. Order Code 1+ 10+ 100+
6 5 x 3mm ±50ppm -40°C to +85°C 15pF 6ns 6ns 45 / 55% LF SPXO025820 167-4659●
10 5 x 3mm ±50ppm -40°C to +85°C 15pF 6ns 6ns 45 / 55% LF SPXO024807 167-4660●
24 5 x 3mm ±50ppm -40°C to +85°C 15pF 6ns 6ns 45 / 55% LF SPXO024986 167-4662●
50 5 x 3mm ±50ppm -40°C to +85°C 15pF 6ns 6ns 45 / 55% LF SPXO024589 167-4666●
213
214 farnell.com element14.com
211011
Oscillators with identifier A7BR frequency ranges are available from ±50ppm over
-40°C to +85°C, with identifier A5BC frequency ranges are available from ±25ppm
Frequency Price Each
over -20°C to +70°C.
MHz Mftrs List No. Order Code 1+ 10+ 100+ 250+ 500+
Technical data
80 S1903C-80.00-E 121-0012●
Frequency range 1MHz - (selectable)
120 S1903C-120.00-E 121-0018● Temp.-Stability +-50ppmppm or +-25ppm
Operating Temp. -20°C - +70°C -40°C - 85°C
Output CMOS/TTL (selectable)
8 - pin SMD - High Stability TCXO Tristate/Power-Down Function
Voltage V or 5V (selectable)
Order Code Description
Semiconductors
Price Each
Mftrs. List. No. Order Code 1+ 10+ 100+
CPPLX7-A7BR-XX.XXXNP 841-0674●
CPPLX1-A5BC-XX.XXXNP 841-0747●
A surface mountable TCVCXO series, named ’PLUTO’ - a single chip oscillator and ana-
CPPLX5-A5BC-XX.XXXNP 841-0763●
logue compensation circuit capable of sub 1ppm performance over extended temperature.
Supply Voltage - Optimum 3.3V ±10% Output fall time 8ns
Frequency Stability ±1ppm Output load 15pF 4-pin SMD Temperature Compensated
Operating Temperature -40°C to +85°C Duty cycle 4555/%
Output rise time 8ns Case style 7 x 5mm Voltage Controlled Oscillator
1 Frequency Price Each
240243
12.8 971-3387●
38.88 971-3433●
40 971-3441●
Price Each
CFPS-32 Low Voltage Surface Mount Oscillator
Mftrs. List. No Order Code 1+
PG3000 Programmer 841-0631 A range of single output oscillators
SMD-Adapter for Programmer housed in surface mount packages.
SC2000 SMD-Adapter for 5x7 Blanks 841-0640 Output rise time 5ns (1.8 to 80MHz),
4ns (80 to 125MHz),
Output fall time 5ns (1.8 to 80MHz),
4ns (80 to 125MHz),
INTELLIGENT ONLINE BUYING Supply current 28mA (1.8 to 32MHz),
SYSTEM PROVIDING 18mA (32 to 80MHz),
28mA (80 to 125MHz),
Complete cost control, reduced Supply voltage 2.5V ± 5%
administration time, visibility of your Duty cycle 55 to 60%
spend, flexibility and personalised to Temperature stability 50 PPM
Temperature range -40°C to 85°C
your company’s needs. Case Style CFPS-32
farnell.com/ibuy 451078
214
farnell.com element14.com 215
Semiconductors
Frequency Case Type Price Each
MHz Order Code 1+ 10+ 100+
451090 3.68 CFPS-69 127-6668●
Frequency Price Each 4.0 CFPS-69 127-6671●
MHz Order Code 1+ 10+ 100+ 6.0 CFPS-69 127-6672●
12 127-6640● 10.0 CFPS-69 127-6673●
14.31818 127-6641● 12.0 CFPS-69 127-6674●
16 127-6642● 14.74 CFPS-69 127-6675●
20 127-6643● 24.0 CFPS-69 127-6680●
24 127-6644● 25.0 CFPS-69 127-6681●
24.576 127-6646● 32.0 CFPS-69 127-6683●
50.0 CFPS-69 127-6685●
1
CFPS-107 / 108 / 109 Clock Oscillators
Operating
Frequency Frequency Temperature Load Rise Time Fall Time Mftrs. Price Each
MHz Model Package Stability Range Cap (tr) (tf) Duty Cycle List No. Order Code 1+ 10+ 100+
32.768 CFPS-107 2.5 x 2mm ±50ppm 0°C to +70°C 15pF 50ns 50ns 40 / 60% LF SPXO009682 167-4693●
32.768 CFPS-108 2.5 x 2mm ±50ppm 0°C to +70°C 15pF 50ns 50ns 40 / 60% LF SPXO009684 167-4694●
32.768 CFPS-109 2.5 x 2mm ±50ppm 0°C to +70°C 15pF 50ns 50ns 40 / 60% LF SPXO009686 167-4695●
215
216 farnell.com element14.com
Crystal Oscillator Modules - continued 4-pin SMD Temperature Compensated Voltage Controlled
Oscillator
4-pin SMD Temperature Compensated Voltage Controlled CFPT-9300 Series
Oscillator
A range of surface mount temperature compensated crystal oscillators providing a high de- A range of surface mount temperature compensated crystal oscillators providing a high de-
gree of frequency stability over a wide temperature range. gree of frequency stability over a wide temperature range.
Supply Voltage 3.3 to V Output Waveform Clipped Sinewave, DC-Coupled
Supply Voltage 3V±0.3V Output Waveform Clipped Sinewave, DC-Coupled
Supply Current 3mA Output Voh≥90%Vs / Vol≤10%Vs
Supply Current 2mA Output pk-pk
Ageing ±1ppm/ year typical in 1st year @ 25°C
Ageing ±/ year typical in 1st year @ 25°C 450987
419601
Frequency Price Each
Frequency Price Each
MHz Order Code 1+ 10+ 100+
MHz Order Code 1+ 10+ 100+
10 127-2080●
19.2 110-0765●
16 127-2085●
26 110-0767●
16.384 127-2086●
20 127-2088●
26 127-2090●
Semiconductors
32.768 127-2091●
50 127-2096●
Operating
Frequency Pullability Temperature Load Rise Time Fall Time Mftrs. Price Each
MHz Package APR Range Cap (tr) (tf) Duty Cycle List No. Order Code 1+ 10+ 100+
20 7 x 5mm ±100ppm Min -40°C to +85°C 15pF 10ns 10ns 40 / 60% LF VCXO026156 167-4677●
30.72 7 x 5mm ±100ppm Min -40°C to +85°C 15pF 10ns 10ns 40 / 60% LF VCXO026159 167-4682●
32 7 x 5mm ±100ppm Min -40°C to +85°C 15pF 10ns 10ns 40 / 60% LF VCXO026160 167-4683●
40 7 x 5mm ±100ppm Min -40°C to +85°C 15pF 10ns 10ns 40 / 60% LF VCXO025892 167-4688●
482256
216
farnell.com element14.com 217
660872
Ultra Miniature Pure Silicon™ Clock Oscillator Price Each
Pin Configurable CMOS Mftrs. List No. Order Code 1+ 10+ 10+
output, low jitter, stable
ASVMPLP-125.000MHZ-LR 196-0657●
with excellent shock and
vibration immunity. Di- ASEMCC1-LR 196-0668●
mensions: 3.2 x 2.5mm. ASEMCC1-ZR 196-0669●
ASEMCC2-LR 196-0670●
Frequency Frequency Stability Supply voltage Operating Temperature List No. ASEMCC2-ZR 196-0671●
Range ASEMCC3-LR 196-0673●
10MHz to 170MHz ± 25ppm 2.25V to 3.6V -40°C to +85°C ASEMCC1-LR
10MHz to 170MHz ± 25ppm 2.25V to 3.6V -55°C to +125°C ASEMCC1-ZR ASEMCC3-ZR 196-0674●
10MHz to 170MHz ± 25ppm 2.25V to 3.6V -40°C to +85°C ASEMCC2-LR ASEMCHC 196-0675●
10MHz to 170MHz ± 25ppm 2.25V to 3.6V -55°C to +125°C ASEMCC2-ZR ASEMCLP-LR 196-0676●
10MHz to 170MHz ± 25ppm 2.25V to 3.6V -40°C to +85°C ASEMCC3-LR
ASEMCLV 196-0677●
10MHz to 170MHz ± 25ppm 2.25V to 3.6V -55°C to +125°C ASEMCC3-ZR
10MHz to 460MHz ± 50ppm 2.25V to 3.6V -20°C to +70°C ASEMCHC
10MHz to 460MHz ± 25ppm 2.25V to 3.6V -40°C to +85°C ASEMCLP-LR
± 50ppm -20°C to +70°C ASEMCLV
Semiconductors
Ì HD/SD/SDI Video & Surveillance
Ì PCI Express
639884
Price Each
Ultra Miniature Pure Silicon™ Clock Oscillator
Mftrs. List No. Order Code 1+ 10+ 10+
Pin Configurable CMOS ASEMDC1-LR 196-0678●
output, low jitter, stable ASEMDC1-ZR 196-0679●
with excellent shock and
ASEMDC2-LR 196-0680●
vibration immunity. Di-
ASEMDC2-ZR 196-0681●
mensions : 3.2 x
2.5mm. ASEMDHC-LR 196-0682●
Frequency Frequency Stability Supply voltage Operating Temperature Range List No. ASEMDLC-LR 196-0683●
10MHz to 170MHz ± 25ppm 2.25V to 3.6V -40°C to +85°C ASEMDC1-LR ASEMDLP-LR 196-0685●
10MHz to 170MHz ± 25ppm 2.25V to 3.6V -55°C to +125°C ASEMDC1-ZR ASEMDLP-LY 196-0686●
10MHz to 170MHz ± 25ppm 2.25V to 3.6V -40°C to +85°C ASEMDC2-LR
10MHz to 170MHz ± 25ppm 2.25V to 3.6V -55°C to +125°C ASEMDC2-ZR
10MHz to 460MHz ± 25ppm 2.25V to 3.6V -40°C to +85°C ASEMDHC-LR Ultra Miniature Pure Silicon™ Clock Oscillator
10MHz to 170MHz ± 25ppm 2.25V to 3.6V -40°C to +85°C ASEMDLC-LR
10MHz to 460MHz ± 25ppm 2.25V to 3.6V -40°C to +85°C ASEMDLP-LR Ì High Performance
10MHz to 460MHz ± 10ppm 2.25V to 3.6V -40°C to +85°C ASEMDLP-LY MEMS Technology
660873 Ì Low Power Con-
sumption for high
speed communication
Ì Durable QFN Plastic Compact Packaging
Ì Standby or Disable Tri-state function
Ì Dimensions: 3.2 x 2.5mm
217
218 farnell.com element14.com
Price Each
Crystal Oscillator Modules - continued
Mftrs. List No. Order Code 1+ 10+ 10+
Ultra Miniature Pure Silicon™ Clock Oscillator - continued ASEMPC-10.000MHZ-LR-T 196-0687●
ASEMPC-10.000MHZ-Z-T 196-0688●
Frequency Frequency Supply voltage Operating Temperature List No. ASEMPC-100.000MHZ-LR-T 196-0689●
Stability Range
ASEMPC-12.288MHZ-LR-T 196-0690●
10MHz ± 25ppm 2.25V to 3.6V -40°C to +85°C ASEMPC-10.000MHZ-LR-T
10MHz ± 50ppm 2.25V to 3.6V -55°C to +125°C ASEMPC-10.000MHZ-Z-T ASEMPC-12.288MHZ-Z-T 196-0691●
100MHz ± 25ppm 2.25V to 3.6V -40°C to +85°C ASEMPC-100.000MHZ-LR-T ASEMPC-125.000MHZ-LR-T 196-0692●
12.288MHz ± 25ppm 2.25V to 3.6V -40°C to +85°C ASEMPC-12.288MHZ-LR-T ASEMPC-13.000MHZ-LR-T 196-0693●
12.288MHz ± 50ppm 2.25V to 3.6V -55°C to +125°C ASEMPC-12.288MHZ-Z-T
125MHz ± 25ppm 2.25V to 3.6V -40°C to +85°C ASEMPC-125.000MHZ-LR-T ASEMPC-13.000MHZ-Z-T 196-0694●
13MHz ± 25ppm 2.25V to 3.6V -40°C to +85°C ASEMPC-13.000MHZ-LR-T ASEMPC-133.333MHZ-LR-T 196-0695●
13MHz ± 50ppm 2.25V to 3.6V -55°C to +125°C ASEMPC-13.000MHZ-Z-T ASEMPC-14.31818MHZ-LR-T 196-0697●
133.333MHz ± 25ppm 2.25V to 3.6V -40°C to +85°C ASEMPC-133.333MHZ-LR-T
ASEMPC-14.7456MHZ-LR-T 196-0698●
14.31818MHz ± 25ppm 2.25V to 3.6V -40°C to +85°C ASEMPC-14.31818MHZ-LR-T
14.7456MHz ± 25ppm 2.25V to 3.6V -40°C to +85°C ASEMPC-14.7456MHZ-LR-T ASEMPC-14.7456MHZ-Z-T 196-0699●
14.7456MHz ± 50ppm 2.25V to 3.6V -55°C to +125°C ASEMPC-14.7456MHZ-Z-T ASEMPC-150.000MHZ-LR-T 196-0700●
150MHz ± 25ppm 2.25V to 3.6V -40°C to +85°C ASEMPC-150.000MHZ-LR-T ASEMPC-20.000MHZ-LR-T 196-0701●
20MHz ± 25ppm 2.25V to 3.6V -40°C to +85°C ASEMPC-20.000MHZ-LR-T
20MHz ± 50ppm 2.25V to 3.6V -55°C to +125°C ASEMPC-20.000MHZ-Z-T ASEMPC-20.000MHZ-Z-T 196-0703●
24MHz ± 25ppm 2.25V to 3.6V -40°C to +85°C ASEMPC-24.000MHZ-LR-T ASEMPC-24.000MHZ-LR-T 196-0704●
24MHz ± 50ppm 2.25V to 3.6V -55°C to +125°C ASEMPC-24.000MHZ-Z-T ASEMPC-24.000MHZ-Z-T 196-0705●
24.576MHz ± 25ppm 2.25V to 3.6V -40°C to +85°C ASEMPC-24.576MHZ-LR-T
ASEMPC-24.576MHZ-LR-T 196-0706●
24.576MHz ± 50ppm 2.25V to 3.6V -55°C to +125°C ASEMPC-24.576MHZ-Z-T
25MHz ± 25ppm 2.25V to 3.6V -40°C to +85°C ASEMPC-25.000MHZ-LR-T ASEMPC-24.576MHZ-Z-T 196-0707●
25MHz ± 50ppm 2.25V to 3.6V -55°C to +125°C ASEMPC-25.000MHZ-Z-T ASEMPC-25.000MHZ-LR-T 196-0708●
26MHz ± 25ppm 2.25V to 3.6V -40°C to +85°C ASEMPC-26.000MHZ-LR-T ASEMPC-25.000MHZ-Z-T 196-0709●
26MHz ± 50ppm 2.25V to 3.6V -55°C to +125°C ASEMPC-26.000MHZ-Z-T
27MHz ± 25ppm 2.25V to 3.6V -40°C to +85°C ASEMPC-27.000MHZ-LR-T ASEMPC-26.000MHZ-LR-T 196-0710●
27MHz ± 50ppm 2.25V to 3.6V -55°C to +125°C ASEMPC-27.000MHZ-Z-T ASEMPC-26.000MHZ-Z-T 196-0711●
48MHz ± 25ppm 2.25V to 3.6V -40°C to +85°C ASEMPC-48.000MHZ-LR-T ASEMPC-27.000MHZ-LR-T 196-0712●
Semiconductors
218
farnell.com element14.com 219
Price Each
Pure Silicon™ Clock Oscillator
Mftrs. List No. Order Code 1+ 10+ 100+
Ultra miniature Pure Sili- ASVMPC-10.000MHZ-LR-T 196-0756●
con™ Clock Oscillator, ASVMPC-10.000MHZ-Z-T 196-0757●
low power consumption, ASVMPC-12.288MHZ-LR-T 196-0758●
exeptional stability. Di- ASVMPC-12.288MHZ-Z-T 196-0759●
mensions: 3.2 x 2.5mm.
Semiconductors
ASVMPC-125.000MHZ-LR-T 196-0760●
Supply Voltage Operating Temperature Range Frequency No. of Pins Oscillator Mounting ASVMPC-13.000MHZ-LR-T 196-0761●
2.25V to 3.6V -40°C to +85°C 100MHz 6 SMD ASVMPC-13.000MHZ-Z-T 196-0762●
2.25V to 3.6V -40°C to +85°C 125MHz 6 SMD
2.25V to 3.6V -40°C to +85°C 150MHz 6 SMD ASVMPC-14.7456MHZ-LR-T 196-0764●
2.25V to 3.6V -40°C to +85°C 156.25MHz 6 SMD ASVMPC-14.7456MHZ-Z-T 196-0765●
2.25V to 3.6V -40°C to +85°C 212.5MHz 6 SMD ASVMPC-150.000MHZ-LR-T 196-0766●
2.25V to 3.6V -40°C to +85°C 10MHz 6 SMD
ASVMPC-20.000MHZ-LR-T 196-0767●
2.25V to 3.6V -40°C to +85°C 100MHz 6 SMD
2.25V to 3.6V -40°C to +85°C 148MHz 6 SMD ASVMPC-20.000MHZ-Z-T 196-0768●
2.25V to 3.6V -40°C to +85°C 156.25MHz 6 SMD ASVMPC-24.000MHZ-LR-T 196-0769●
2.25V to 3.6V -40°C to +85°C 6 SMD ASVMPC-24.000MHZ-Z-T 196-0770●
660855
ASVMPC-24.576MHZ-LR-T 196-0771●
Price Each
ASVMPC-24.576MHZ-Z-T 196-0772●
Mftrs. List No. Order Code 1+ 10+ 10+
ASVMPC-25.000MHZ-LR-T 196-0773●
ASEMPHC-100.000MHZ-LR 196-0634●
ASVMPC-25.000MHZ-Z-T 196-0774● 1
ASEMPLP-125.000MHZ-LR 196-0636●
ASVMPC-26.000MHZ-LR-T 196-0776●
ASEMPLP-150.000MHZ-LR 196-0637●
ASVMPC-26.000MHZ-Z-T 196-0777●
ASEMPLP-156.250MHZ-LR 196-0638●
219
220 farnell.com element14.com
Features:
Ì Ultra Miniature Pure Silicon™ Clock Oscillator
Ì High Performance MEMS Technology by Discera
Ì Low Power Consumption for high speed communication
Ì Exceptional Stability Over Temp. at -40 to +85°C, ±15ppm
Ì Extended Automotive Grade Temp. stability at -55 to +125°C, ±25ppm
Applications: Ì MIL-STD-883 shock and vibration compliant
Ì Storage Area Networks (SATA, SAS, Fiber Channel) Ì Durable QFN Plastic Compact Packaging
Ì Passive Optical Networks (EPON, 10G-EPON, GPON, 10G-PON) Ì Standby or Disable Tri-state function
Ì Ethernet (1G, 10GBASE-T,/KR/LR/SR, FCoE) Ì Low jitter (Period jitter RMS and Phase jitter RMS)
Ì HD/SD/SDI Video & Surveillance Ì High power supply noise reduction, -50dBc
Ì PCI Express
Ì Display port
639904
220
farnell.com element14.com 221
Semiconductors
ASFLMPHC-100.000MHZ-LR 196-0645●
Low Jitter SAW Oscillator
Ultra Miniature Pure Silicon™ Clock Oscillator This family of ICs are very low jitter / low phase noise
Ì High performance LVDS & LVPECL SAW based oscillator with high funda-
MEMS technology, mental frequencies for communications applications, with
low power con- output enable feature.
sumption
Ì Exeptional stability, low jitter, durable QFN
package
Ì Dimensions: 3.2 x 2.5mm Ì Supply voltage 3V3±0.3 Ì Output rise & fall time: 400pS max
Frequency Frequency Supply voltage Operating Temperature List No. Ì Operating temperature: 0 to +70°C Ì Output enable/disable
Stability Range Ì Stability: ±100ppm Ì Current consumptions: LHPA 45mA &
125MHz ± 25ppm 2.25V to 3.6V -40°C to +85°C ASFLMPLP-125.000MHZ-LR Ì Output Loads: LHPA LVDS 100 Ω & PHPA 100mA max.
150MHz
156.25MHz
± 25ppm
± 25ppm
2.25V to 3.6V
2.25V to 3.6V
-40°C to +85°C
-40°C to +85°C
ASFLMPLP-150.000MHZ-LR
ASFLMPLP-156.250MHZ-LR
PHPA LVPECL 50Ω Ì Duty Cycle 45/55% 1
450969
212.5MHz ± 25ppm 2.25V to 3.6V -40°C to +85°C ASFLMPLP-212.500MHZ-LR
10MHz to 425MHz ± 25ppm 2.25V to 3.6V -40°C to +105°C ASFLMPLP-BLANK-XR-T Mftrs. Frequency Price Each
100MHz ± 25ppm 2.25V to 3.6V -40°C to +85°C ASFLMPLV-100.000MHZ-LR
538723
Watch Crystals
221
222 farnell.com element14.com
Frequency Temperature
Can Accuracy Range Price Each
Style Mftr. ±ppm @ 25°C °C Order Code 1+ 10+ 100+ 250+
3mm x 8mm SEIK ±20 -10 to +60 121-6227●
3mm x 8mm AEL ±20 -10 to +60 950-9682●
Cylinder IQD ±20 -10 to +60 971-2887●
Cylinder AEL ±20 -10 to +60 950-9690●
8.7mm x 3.7mm IQD ±20 -40 to ++85 971-3220●
10.4mm x 4mm IQD ±20 -10 to +60 971-3727●
8mm x 3.7mm SEIK ±20 -40 to +85 121-6208●
10.4mm x 4mm SEIK ±20 -40 to +85 121-6226●
4.1mm x 1.5mm AEL ±20 -40 to +85 144-8101●
1 Dimensions identical,
HC51 has resistance
welded base. HC33/U
are solder sealed.
2 Dimensions indentical,
HC49 has resistance
welded base, HC18/U
are solder sealed.
A range of wire extended crystals of popular frequencies in standard can styles for use in general industrial and microprocessor applications. All types are parallel resonant unless otherwise
stated under ‘Load Cap’ column.
* These are 3rd overtone devices
210832
Frequency Temp.
Frequency Can Accuracy Stability Temperature Load Mftrs. Price Each
MHz Style ±ppm @ 25°C ±ppm Range °C Cap List No. Order Code 1+ 10+ 100+ 250+
1.8432 HC49/U ±20 ±50ppm -10 to +60 30pF LF A113B 971-2682●
2.000 HC49/U ±50 ±100ppm 0 to +50 20pF LF A114E 971-2690●
2.4576 HC49/U ±20 ±50ppm -10 to +60 30pF LF A116C 971-2704●
3.2768 HC49/U ±20 ±30ppm -10 to +60 12pF LF A118B 971-2275●
3.579545 HC49/US ±30 ±50ppm -20 to +70 20pF LF A119K 971-2895●
3.579545 HC49/U ±20 ±50ppm -10 to +60 20pF LF A119C 971-2712●
3.6864 HC49/US ±30 ±50ppm -20 to +70 30pF LF A169K 971-2909●
3.6864 HC49/U ±20 ±50ppm -10 to +60 30pF LF A169A 971-2364●
4.000 HC49/US ±20 ±50ppm -10 to +60 30pF LF A120K 971-2917●
4.000 HC49/U ±20 ±10ppm -20 to +70 30pF LF A120A 971-2283●
4.000 HC49/U ±20 ±50ppm -10 to +60 30pF LF A120B 971-2720●
4.096 HC49/U ±20 ±20ppm -20 to +70 30pF LF A122A 971-2771●
4.194304 HC49/U ±20 ±30ppm -10 to +60 12pF LF A123A 971-2291●
4.433619 HC49/U ±20 ±30ppm -10 to +60 20pF LF A124D 971-2739●
4.608 HC49/U ±20 ±50ppm -10 to +60 30pF LF A125C 971-2836●
4.9152 HC49/US ±30 ±50ppm -20 to +70 30pF LF A127K 971-2925●
222
farnell.com element14.com 223
Frequency Temp.
Frequency Can Accuracy Stability Temperature Load Mftrs. Price Each
MHz Style ±ppm @ 25°C ±ppm Range °C Cap List No. Order Code 1+ 10+ 100+ 250+
4.9152 HC49/U ±20 ±50ppm -10 to +60 30pF LF A127A 971-2305●
6.5536 HC49/U ±20 ±30ppm -10 to +60 12pF LF A135A 971-2348●
5.000 HC49/U ±20 ±50ppm -10 to +60 30pF LF A128B 971-2372●
5.0688 HC49/U ±20 ±50ppm -10 to +60 Series LF A129A 971-2313●
6.000 HC49/US ±30 ±50ppm -10 to +60 30pF LF A132K 971-2933●
6.000 HC49/U ±20 ±50ppm -10 to +60 30pF LF A132A 971-2321●
6.144 HC49/U ±20 ±50ppm -10 to +60 30pF LF A133A 971-2330●
6.5536 HC49/U ±20 ±30ppm -10 to +60 12pF LF A135A 971-2348●
7.3728 HC49/U ±20 ±50ppm -10 to +60 30pF LF A194A 971-2828●
7.3728 HC49/US ±15 ±30ppm -10 to +60 18pF LF A194L 971-3719●
8.000 HC49/U ±20 ±50ppm -10 to +60 30pF LF A140A 971-2380●
8.000 HC49/US ±30 ±50ppm -20 to +70 30pF LF A140K 971-2844●
9.8304 HC49/U ±20 ±50ppm -10 to +60 30pF LF A173A 971-2798●
10.000 HC49/U ±20 ±10ppm -20 to +70 30pF LF A143A 971-2356●
10.000 HC49/U ±20 ±50ppm -10 to +60 30pF LF A143E 971-2747●
10.000 HC49/US ±30 ±50ppm -20 to +70 30pF LF A143K 971-3697●
11.000 HC49/U ±20 ±30ppm -10 to +60 30pF LF A193A 971-2399●
11.0592 HC49/U ±20 ±30ppm -10 to +60 20pF LF L108A 971-3107●
11.0592 HC49/US ±30 ±50ppm -20 to +70 30pF LF L108K 971-3115●
12.000 HC49/US ±30 ±50ppm -20 to +70 30pF LF A158K 971-2950●
12.000 HC49/U ±20 ±30ppm -10 to +60 30pF LF A158A 971-2763●
14.31818 HC49/U ±20 ±50ppm -10 to +60 Series LF A153A 971-2780●
14.7456 HC49/U ±20 ±30ppm -10 to +60 30pF LF A159A 971-2852●
Semiconductors
16.000 HC49/U ±20 ±30ppm -10 to +60 30pF LF A161A 971-2860●
16.000 HC49/US ±30 ±50ppm -20 to +70 30pF LF A161K 971-3700●
20.000 HC49/U ±20 ±30ppm -10 to +60 Series LF A147C 971-2755●
20.000 HC49/US ±30 ±50ppm -20 to +70 12pF LF A147K 971-2879●
24.000 HC49/U ±20 ±50ppm -10 to +60 Series LF A189A 971-2801●
32.000 HC49/U ±20 ±30ppm -10 to +60 Series LF A166A 971-2810●*
HC33/U
UM1
*3rd overtone device ä The frequency accuracy is expressed as an overall tolerance
including initial calibration over the operating temperature range.
210570
223
224 farnell.com element14.com
Technical Data:
Crystal Mount Insulators Foil: MYLAR
ISQ series 100 pack Thickness: 0,127 mm
1 Heat resistance: 250 °C
Dielectric strength: 9 KV
Type Size (mm) Package
C C/C L D W
Integrated Circuits & Development Kits
Price Each
Typ Order Code 10+ 25+ 50+ 100+
ISQ 04 - 100 PK 189-7200●
ISQ 05 - 100 PK 189-7201●
ISQ 06 - 100 PK 189-7203●
ISQ 07 - 100 PK 189-7204●
ISQ 08 - 100 PK 189-7205●
HC49/U HC49/S
The HC49/S and HC49/SM are also for use with general
and industrial microcontrollers, but have been designed
for applications where board height is critical. Their low
profile stands at just 4mm in height.
HD49/SM
224
farnell.com element14.com 225
525344
Frequency
Frequency Can Tolerance Temp. Temperature Load Price Each
MHz Style @25°C Stability Range Capacitance Order Code 1+ 10+ 100+
1.8432 HC49/U ± 20ppm ± 50ppm -10°C to +60°C 30pF 166-6944●
2.0 HC49/U ± 50ppm ± 100ppm -10°C to +60°C 20pF 166-6945●
2.4576 HC49/U ± 20ppm ± 50ppm -10°C to +60°C 30pF 166-6946●
3.2768 HC49/U ± 20ppm ± 30ppm -10°C to +60°C 12pF 166-6947●
3.579545 HC49/U ± 20ppm ± 50ppm -10°C to +60°C 20pF 166-6948●
3.6864 HC49/U ± 30ppm ± 50ppm -20°C to +70°C 30pF 166-6950●
4.0 HC49/U ± 20ppm ± 10ppm -20°C to +70°C 30pF 166-6951●
4.0 HC49/U ± 20ppm ± 50ppm -10°C to +60°C 30pF 166-6953●
4.0 HC49/U ± 30ppm ± 50ppm -20°C to +70°C 30pF 166-6954●
4.096 HC49/U ± 20ppm ± 20ppm -20°C to +70°C 30pF 166-6955●
4.096 HC49/U ± 30ppm ± 50ppm -20°C to +70°C 30pF 166-6956●
4.194304 HC49/U ± 20ppm ± 30ppm -10°C to +60°C 12pF 166-6957●
4.608 HC49/U ± 20ppm ± 50ppm -10°C to +60°C 30pF 166-6958●
4.9152 HC49/U ± 20ppm ± 50ppm -10°C to +60°C 30pF 166-6959●
4.9152 HC49/U ± 30ppm ± 50ppm -20°C to +70°C 30pF 166-6960●
5.0 HC49/U ± 20ppm ± 50ppm -10°C to +60°C 30pF 166-6961●
5.0688 HC49/U ± 20ppm ± 50ppm -10°C to +60°C Series 166-6962●
6.0 HC49/U ± 20ppm ± 50ppm -10°C to +60°C 30pF 166-6963●
6.0 HC49/U ± 30ppm ± 50ppm -20°C to +70°C 30pF 166-6965●
6.144 HC49/U ± 20ppm ± 50ppm -10°C to +60°C 30pF 166-6966●
6.5536 HC49/U ± 20ppm ± 30ppm -10°C to +60°C 12pF 166-6967●
7.3728 HC49/U ± 20ppm ± 50ppm -10°C to +60°C 30pF 166-6968●
Semiconductors
8.0 HC49/U ± 20ppm ± 50ppm -10°C to +60°C 30pF 166-6969●
8.0 HC49/U ± 30ppm ± 50ppm -20°C to +70°C 30pF 166-6970●
10.0 HC49/U ± 10ppm ± 20ppm -20°C to +70°C 30pF 166-6971●
10.0 HC49/U ± 10ppm ± 20ppm -10°C to +60°C 30pF 166-6972●
11.0 HC49/U ± 20ppm ± 30ppm -10°C to +60°C 30pF 166-6973●
11.0592 HC49/U ± 20ppm ± 30ppm -10°C to +60°C 20pF 166-6974●
12.0 HC49/U ± 20ppm ± 30ppm -10°C to +60°C 30pF 166-6975●
12.288 HC49/U ± 20ppm ± 50ppm -10°C to +60°C 30pF 166-6977●
14.7456 HC49/U ± 20ppm ± 30ppm -10°C to +60°C 30pF 166-6979●
16.0 HC49/U ± 20ppm ± 30ppm -10°C to +60°C 30pF 166-6980●
19.6608 HC49/U ± 20ppm ± 50ppm -10°C to +60°C 30pF 166-6981●
20.0 HC49/U ± 20ppm ± 30ppm -10°C to +60°C Series 166-6982●
22.1184 HC49/U ± 20ppm ± 50ppm -10°C to +60°C 30pF 166-6983● 1
24.0 HC49/U ± 20ppm ± 50ppm -10°C to +60°C Series 166-6984●
32.0 HC49/U ± 20ppm ± 30ppm -10°C to +60°C Series 166-6985●
3.579545 HC49/US ± 30ppm ± 50ppm -20°C to +70°C 20pF 166-6986●
225
226 farnell.com element14.com
CX5032GB (CX-53F) SMD Crystal Units List No. (MHz) Order Code 1+ 10+ 100+
A range of Crystals ideal for audio-visual, office equipment and digital HCM49 7.3728MABJ-UT 7.3728 145-7107●
Integrated Circuits & Development Kits
electronics applications. They come in a ceramic package and are reflow HCM49 10.000MABJ-UT 10.000 145-7103●
compatible. HCM49 14.7456MABJ-UT 14.7456 145-7104●
HCM49 25.000MABJ-UT 25.000 145-7105●
HCM49 27.000MABJ-UT 27.000 145-7106●
Load Capacitance 12pF Operating Temperature Range -10°C to 70°C
Frequency Stability ±50ppm Package Size 5 x 3.2mm
Frequency Temperature Stability ±50ppm Surface Mount Crystals SEIKO EPSON
494498
A range of plastic encapsulated surface mount crystals.
Mftrs. Frequency Price Each
Ì Drive level: Ì Operating temperature range:
List No. (kHz) Order Code 1+ 10+ 100+
Ì Standard fequency tolerance: Ì Can style:
CX5032GB10000H0PESZZ 10.000 136-8768● Ì Standard frequency stability:
CX5032GB12000H0PESZZ 12.000 136-8769●
CX5032GB24000H0PESZZ 24.000 136-8770●
CX5032GB24576H0PESZZ 24.576 136-8772●
CX5032GB27000H0PESZZ 27.000 136-8773●
CX5032GB48000H0PESZZ 48.000 136-8775●
CX5032GB54000H0PESZZ 54.000 136-8776●
226
farnell.com element14.com 227
Frequency Temp.
Frequency Can Accuracy Stability Temperature Load Mftrs. Price Each
MHz Style ±ppm @ 25°C ± ppm Range °C Cap List No. Order Code 1+ 10+ 100+
32.768 2mm x 6mm ±100 20ppm -10 to +60 12.5pF LF XTAL025159 110-0758●
3.579545 13mm x 5mm ±50 100ppm -20 to +70 20pF LF A119D 971-3123●
3.579545 HC49/US ±30 50ppm -10 to +60 16pF LF A119E 971-3816●
3.6864 13mm x 5mm ±50 100ppm -20 to +70 16pF LF A169B 971-3131●
3.6864 HC49/US ±30 50ppm -10 to +60 16pF LF A169E 971-3824●
4.000 13mm x 5mm ±50 100ppm -20 to +70 30pF LF A120C 971-3140●
4.000 HC49/US ±30 50ppm -10 to +60 16pF LF A120E 971-3786●
4.9152 13mm x 5mm ±50 100ppm -20 to +70 16pF LF A127C 971-3158●
4.9152 HC49/US ±30 50ppm -10 to +70 16pF LF A127E 971-3301●
6.000 HC49/US ±30 50ppm -10 to +70 30pF LF A132S 971-3310●
8.000 13mm x 5mm ±50 100ppm -20 to +70 16pF LF A140C 971-3166●
8.000 HC49/US ±30 50ppm -10 to +60 16pF LF A140E 971-3794●
10.000 HC49/US ±30 50ppm -10 to +60 16pF LF A143G 971-3328●
11.0592 HC49/US ±30 50ppm -10 to +70 16pF LF L108E 971-3336●
12.000 13mm x 5mm ±50 100ppm -20 to +70 16pF LF A158D 971-3174●
12.000 HC49/US ±30 50ppm -10 to +60 16pF LF A158E 971-3344●
16.000 13mm x 5mm ±50 100ppm -20 to +70 Series LF A161E 971-3182●
16.000 HC49/US ±30 50ppm -10 to +60 16pF LF A161G 971-3808●
20.000 HC49/US ±30 50ppm -10 to +60 16pF LF A147E 971-3352●
451010
Frequency Temp.
Semiconductors
Frequency Can Accuracy Stability Temperature Load Mftrs. Price Each
MHz Style ±ppm @ 25°C ±ppm Range °C Cap List No. Order Code 1+ 10+ 100+
8.000 7mm x 5mm ±100 ±100ppm -10 to +60 7pF 12SMX (B) 30/5020/16 8.0 MHZ 127-6690●
11.0592 7mm x 5mm ±100 ±100ppm -10 to +60 7pF 12SMX (B) 30/5020/16 11.0592MHZ 127-6691●
12.000 7mm x 5mm ±100 ±100ppm -10 to +60 7pF 12SMX (B) 30/5020/16 12.0 MHZ 127-6692●
12 CFPX-104 ±50 ±50ppm -10 to +60 18pF CFPX-104 50/50/10/18 12.0 MHZ 127-6628●
14.31818 7mm x 5mm ±100 ±100ppm -10 to +60 7pF 12SMX (B) 30/5020/16 14.31818 MHZ 127-6693●
14.31818 CFPX-104 ±50 ±50ppm -10 to +60 18pF CFPX-104 50/50/10/18 14.31818MHZ 127-6630●
14.7456 7mm x 5mm ±100 ±100ppm to + 16pF 12SMX (B) 30/5020/16 14.74546 MHZ 127-6695●
14.7456 CFPX-104 ±50 ±50ppm -10 to +60 18pF CFPX-104 50/50/10/18 14.7456MHZ 127-6631●
16.000 7mm x 5mm ±100 ±100ppm -10 to +60 7pF 12SMX (B) 30/5020/16 16.0 MHZ 127-6696●
16 CFPX-104 ±50 ±50ppm -10 to +60 18pF CFPX-104 50/50/10/18 16.0 MHZ 127-6632●
20.000 7mm x 5mm ±100 ±100ppm -10 to +60 7pF 12SMX (B) 30/5020/16 20 MHZ 127-6697●
20 CFPX-104 ±50 ±50ppm -10 to +60 18pF CFPX-104 50/50/10/18 20.0MHZ 127-6634●
1
24 CFPX-104 ±50 ±50ppm -10 to +60 18pF CFPX-104 50/50/10/18 24.0MHZ 127-6635●
24.576 7mm x 5mm ±100 ±100ppm -10 to +60 7pF 12SMX (B) 30/5020/16 24.576 MHZ 127-6698●
CFPX-180 Crystals
Ì Holder Style:
- Ceramic package with a seam sealed metal lid
Ì General Specifications:
- Drive Level: 100μW max
- Ageing: ±5ppm max per year @ 25°C
- Shunt Capacitance (C0): 7pF max
- Storage Temperature Range: -30 to 85°C
527196
CFPX-181 Crystals
Ì Holder Style:
- Hermetically sealed ceramic package with a seam sealed metal lid
Ì General Specifications:
- Drive Level: 10mW typical, 100mW max
- Ageing: ±1ppm max per year at 25°C
227
228 farnell.com element14.com
16MHz 3.2mm x 2.5mm 12.5pF -40°C to +85°C FA-238, 16MHZ, 50PPM, 12.5PF 171-2816●
24MHz 3.2mm x 2.5mm 12pF -40°C to +85°C FA-238, 24MHZ, 50PPM, 12PF 171-2815●
25MHz 3.2mm x 2.5mm 12pF -40°C to +85°C FA-238, 25MHZ, 50PPM, 12PF 171-2818●
30MHz 3.2mm x 2.5mm 12pF -40°C to +85°C FA-238, 30MHZ, 50PPPM, 12PF 171-2817●
FA-365
16MHz 6mm x 3.5mm 16pF -20°C to +70°C FA-365, 16.00MHZ, 50PPM, 16PF 171-2820●
539651
The DX-57 is for use in Communication equipment, PDA’s and Wireless security systems. It is a cost effective, ultra thin surface mount device. It is available in a small 5 x 7mm Size 5 x
7mm, with a Maximum height of just 1.4mm.
525373
228
farnell.com element14.com 229
Frequency
Frequency Can Tolerance Temp. Temperature Load Price Each
MHz Style @25°C Stability Range Capacitance Order Code 1+ 10+ 100+
10.0 DX57 ± 30ppm ± 50ppm -20°C to +70°C 20pF 166-7019●
12.0 DX57 ± 30ppm ± 50ppm -20°C to +70°C 20pF 166-7021●
18.432 DX57 ± 30ppm ± 50ppm -20°C to +70°C 20pF 166-7022●
19.6608 DX57 ± 30ppm ± 50ppm -20°C to +70°C 20pF 166-7023●
24.0 DX57 ± 30ppm ± 50ppm -20°C to +70°C 20pF 166-7024●
27.0 DX57 ± 30ppm ± 50ppm -20°C to +70°C 20pF 166-7025●
32.0 DX57 ± 30ppm ± 50ppm -20°C to +70°C 20pF 166-7027●
211012
Semiconductors
Price Each
Frequency Mftrs. List No. Order Code 1+ 10+ 100+
8.000 NKS7-080-20 120-9998●
8.192 NKS7-082-20 120-9999●
10.000 NKS7-100-20 121-0001●
11.0592 NKS7-111-20-E 121-0003●
12.000 NKS7-120-20-E 121-0004●
14.31818 NKS7-143-20 121-9010●
16.384 NKS7-163-20-E 121-0005●
18.432 NKS7-184-20-E 121-0006●
19.6608 NKS7-196-20-E 121-0007●
24.000 NKS7-240-20-E 121-0008●
27.000 NKS7-270-20-E 121-0009●
40.000 NKS7-400-20-E 121-0011●
1
Features: Applications:
Ì High Selectivity Ì Wide Range of Bandwidths Ì Industry Standard Outline & Pinout Ì Communications Equipment
484815
229
230 farnell.com element14.com
Type Centre Insertion Insertion Phase Pass Quality factor Voltage Operating Temp. Mftrs.
Freq. fc Attenuation, min Loss @ fc Band loaded / unloaded V dc Min. / Max. List No.
Resonators
R820 433.92MHz 1.2dB - - - - / 11500 12 -40°C / 125°C B39431R820H210
R880 433.92MHz 1.2dB - - - - / 11500 12 -40°C / 125°C B39431R880H210
Filters
B3750 433.92MHz - 2.0dB - 0.64MHz min - 6 -45°C / 120°C B39431B3750U310
422922
Price Each
Semiconductors
484728
SMD Crystal Resonators
Freq. Mftrs. Price Each
Parameter Condition Specification (MHz) List No Order Code 1+ 10+ 100+
Freq. Range (MHz) – 16.00 ^ 50.00
16.000 X16M000000S104 144-8102●
Freq. Tolerance at 25°C ±10PPM ^ ±50PPM
1 Freq. Stability Over Op. Temp. ±10PPM ^ ±50PPM 20.000 X20M000000S053 144-8104●
Op. Temp Range – -10oC to +60oC 26.000 X26M000000S019 144-8107●
Storage Temp. Range – -40oC to +85oC 50.000 X50M000000S005 144-8113●
Drive-Level – 0.1mW Max.
Integrated Circuits & Development Kits
A range of small, lightweight, ultra low cost resonators designed for use in oscillator circuits. These components are made of high stability piezoelec-
tric ceramics and have been developed to function as a reference signal generator (the frequency is primary adjusted by the size and thickness of the
ceramic element). Typical applications include TVs, VCRs, automotive electronic devices, telephones, copiers, cameras, voice synsthesisers, commu-
nication equipment, remote control units, etc.
Ceramic resonators may also be used as the timing element in most microprocessor based equipment.
Initial tolerance ±0.5%.
The following 3 lead Ceramic Resonators can make up oscillation circuits without load capacitance.
210479
230
farnell.com element14.com 231
A range of high quality, leaded and surface mount, Ceramic Resonators for oscillator circuit designs. Frequency tolerance ±0.5%.
Freq. Lead Price Each
MHz W H D Spacing Mftrs. List No. Order Code 1+ 10+ 100+ 250+
4.0 7.4 2.0 5.0 5.0 PBRC4.00GR50X000 120-9937●
The following 3 lead Ceramic Resonators can make up oscillation circuits without load capacitance. These are Resonators with built-in capacitor.
210589
Semiconductors
4.19 7.4 2.0 3.4 2.5 PBRC4.19HR50X000 121-8532●
6.0 7.4 2.0 3.4 2.5 PBRC6.00HR50X000 120-9939●
8.0 7.4 2.0 3.4 2.5 PBRC8.00HR50X000 120-9943●
10.0 7.4 2.0 3.4 2.5 PBRC10.00HR50X0RZ 121-8533●
12.0 7.4 2.0 3.4 2.5 PBRC12.00HR50X0RZ 121-8535●
16.0 7.4 2.0 3.4 2.5 PBRC16.00HR50X0RZ 121-8536●
20.0 7.4 2.0 3.4 2.5 PBRC20.00HR50X000 121-8537●
Ì The CSTCC/CR series of SMD Resonators offer an alternative to quartz crystal as a clock
source for ICs
Ì Three terminal design includes built in loading capacitors which reduces external circuitry
and cost of design
Ì Smaller than equivalent quartz crystal
Ì Rise time for ceramic resonator is approx. 1/100th that for quartz crystal
Ì Total tolerance as low as ±0.5%
227473
Order Multiple=5
Freq. Lead Price Each
MHz W H D Spacing Mftrs. List No. Order Code 5+ 10+ 100+ 250+
2 7.2 1.55 3 2.5 CSTCC2M00G53-R0 117-0423●
3.58 7.2 1.55 3 2.5 CSTCC3M58G53-R0 117-0424●
3.64 7.2 1.55 3 2.5 CSTCC3M64G53-R0 117-0425●
3.68 7.2 1.55 3 2.5 CSTCC3M68G53-R0 117-0426●
3.84 7.2 1.55 3 2.5 CSTCC3M84G53-R0 117-0427●
8 7.2 1.55 3 2.5 CSTCC8M00G53-R0 117-0435●
10 7.2 1.55 3 2.5 CSTCC10M0G53-R0 117-0436●
231
232 farnell.com element14.com
Murata’s ceramic processing technology and unique piezo-electric material has led to the development of a
range of small and thin ceramic resonators (CERALOCK) that offer high oscillation frequency and remarka-
ble oscillation tolerance. These products are designed for use as a stable timing source for microproces-
sors.
Ì High reliability
Semiconductors
515180
ZTTCC
ZTTCR ZTTCV ZTTCW
484753
232
farnell.com element14.com 233
524868
Semiconductors
Delay Lines A/D and D/A Converters
DS1000 - 5 Tap Silicon Delay Line TMDSRGBLEDKIT Multi-DC/DC
A TTL/CMOS compatible series with 5 equally spaced taps providing precise delays from Color LED Kit
4ns to 500ns, with nominal accuracy of ±5% or ±2ns. Reproduces the input logic state at The Multi-DC/DC Color LED Kit includes all of the
the output after a fixed delay as specified by the part number, with equal precision at both hardware and software to start experimenting and
leading and trailing edges. developing a digitally controlled multi-DC/DC LED
210862 lighting system. This kit is based on the Piccolo
Mftrs. Price Each microcontroller and the controlCARD develop-
Pins List No. Order Code 1+ 10+ 100+ ment platform. One Piccolo MCU is able to direct-
ly control eight separate DC/DC power stages as
20ns per tap, 8 DS1100Z-100+
100ns overall 972-3722● well as up to eight LED strings of various LED
types and string lengths. An included graphical
0.25ns per tap,
73.75ns overall
16 DS1021S-25+
130-6314● user interface allows users to quickly begin the 1
evaluation process by providing simplified control
100ns per tap, 8 DS1000Z-500
500ns overall 117-365▲ to adjust power stage current levels for experi-
mentation with brightness and color mixing. Fur-
Price Each
Mftrs. List No. Order Code 1+
TMDSRGBLEDKIT 190-3396▲
The AD9642 is designed to support communications applications where low cost, small size, wide bandwitdh, and versatility are desired.
The ADC core features a ultistage, differential pipelined architecture with integrated output error correction logic. A duty cycle stabiliser
(DCS) is also provided to compensate for variations in the ADC clock duty cycle, allowing the converter to maintain excellent performance.
Features
Ì SNR = 71.0 dBFS at 185 MHz and 250MSPS
Ì LVDS(ANSI-644 levels) outputs
Ì Serial port control
Ì User-Configurable, built-in self-test (BIST) capability
659954
233
234 farnell.com element14.com
659929
64/LFCSP Differential Serial, SPI 12bit 105MSPS 1.7V to 1.9V AD9628BCPZ-105 200-8763●
48/LFCSP Differential Serial 12bit 105MSPS 1.7V to 1.9V AD9633BCPZ-105 207-0665●
16/LFCSP Single Ended I2C 12bit 105kSPS 1.6V to 3.6V AD7879-1ACPZ-500R7 211-2672●
16/LFCSP Single Ended SPI 12bit 105kSPS 1.6V to 3.6V AD7879ACPZ-500R7 211-2673●
48/LFCSP Differential Serial 12bit 125MSPS 1.7V to 1.9V AD9633BCPZ-125 207-0666●
64/LFCSP Differential Serial, SPI 12bit 125MSPS 1.7V to 1.9V AD9628BCPZ-125 200-8764●
64/LFCSP_VQ Differential, Single Ended SPI, Serial 12bit 170MSPS 1.7V to 1.9V AD9613BCPZ-170 190-3606●
32/LFCSP Differential Serial, SPI 12bit 170MSPS 1.7V to 1.9V AD9634BCPZ-170 200-8765●
32/LFCSP Differential Serial, SPI 12bit 210MSPS 1.7V to 1.9V AD9634BCPZ-210 200-8766●
64/LFCSP_VQ Differential, Single Ended SPI, Serial 12bit 210MSPS 1.7V to 1.9V AD9613BCPZ-210 190-3607●
64/LFCSP_VQ Differential, Single Ended SPI, Serial 12bit 250MSPS 1.7V to 1.9V AD9613BCPZ-250 190-3608●
32/LFCSP Differential Serial, SPI 12bit 250MSPS 1.7V to 1.9V AD9634BCPZ-250 200-8767●
56/LFCSP_VQ Differential, Single Ended Serial, SPI 12bit 370MSPS 1.8V AD9434BCPZ-370 190-3602●
1 56/LFCSP_VQ Differential, Single Ended Serial, SPI 12bit 500MSPS 1.8V AD9434BCPZ-500 190-3604●
20/LFCSP Differential SPI 14bit 250kSPS 2.3V to 5.5V AD7949BCPZ 210-2529●
64/LFCSP Differential Serial 14bit 40MSPS 1.7V to 1.9V AD9257BCPZ-40 206-5942●
Integrated Circuits & Development Kits
64/LFCSP Differential, Single Ended LVDS, Serial 14bit 65MSPS 1.7V to 1.9V AD9257BCPZ-65 207-7680●
48/LFCSP Differential SPI 14bit 80MSPS 1.7V to 1.9V AD9644CCPZ-80 209-6177●
48/LFCSP Differential Serial 14bit 80MSPS 1.7V to 1.9V AD9253BCPZ-80 207-0670●
48/LFCSP Differential Serial 14bit 105MSPS 1.7V to 1.9V AD9253BCPZ-105 207-0668●
48/LFCSP Differential Serial 14bit 125MSPS 1.7V to 1.9V AD9253BCPZ-125 207-0669●
64/LFCSP Differential, Single Ended Parallel, Serial, SPI 14bit 125MSPS 1.7V to 1.9V AD9648BCPZ-125 206-1092●
48/LFCSP Differential SPI 14bit 155MSPS 1.7V to 1.9V AD9644BCPZ-155 209-6176●
64/LFCSP_VQ Differential, Single Ended SPI, Serial 14bit 170MSPS 1.7V to 1.9V AD9643BCPZ-170 190-3609●
64/LFCSP_VQ Differential, Single Ended SPI, Serial 14bit 210MSPS 1.7V to 1.9V AD9643BCPZ-210 190-3610●
64/LFCSP_VQ Differential Serial, SPI 14bit 250MSPS 1.7V to 1.9V AD6649BCPZ 190-3598●
64/LFCSP_VQ Differential, Single Ended SPI, Serial 14bit 250MSPS 1.7V to 1.9V AD9643BCPZ-250 190-3611●
6/SOT-23 Single Ended Microwire, QSPI, SPI 12bit 200kSPS 1.6V to 3.6V AD7466BRTZ-R2 210-2519●
6/SOT-23 Single Ended Serial 16bit 100kSPS 2.5V to 5.5V AD7680BRJZ-R2 207-4845●
10/QFN Pseudo Differential Microwire, QSPI, SPI 16bit 100kSPS 2.375V to 2.625V AD7988-1BCPZ 209-6180●
10/MSOP Pseudo Differential Microwire, QSPI, SPI 16bit 100kSPS 2.375V to 2.625V AD7988-1BRMZ 208-1023●
48/LQFP Differential Serial 24bit 192kSPS 3V to 3.6V AD1974YSTZ 207-4848●
64/LQFP Single Ended Parallel, Serial, SPI 16bit 200kSPS 4.75V to 5.25V AD7606BSTZ-6 210-2537●
64/LQFP Single Ended Parallel, Serial 18bit 200kSPS 4.75V to 5.25V AD7608BSTZ 190-3599●
64/LQFP Single Ended Parallel, Serial 16bit 250kSPS 4.75V to 5.25V AD7656BSTZ-1 206-7752●
20/LFCSP Differential Serial 16bit 250kSPS 2.3V to 5.5V AD7682BCPZ 206-7751●
10/MSOP Pseudo Differential Serial 16bit 250kSPS 2.3V to 5.5V AD7685ARMZ 207-4847●
10/MSOP Pseudo Differential Serial 16bit 250kSPS 2.3V to 5.5V AD7685CRMZ 207-4846●
20/QFN Differential, Single Ended Serial 16bit 250kSPS 2.3V to 5.5V AD7689ACPZ 207-4844●
20/LFCSP Pseudo Differential, Single Ended Microwire, QSPI, SPI 16bit 250kSPS 2.3V to 5.5V AD7689BCPZ 210-2528●
10/QFN Pseudo Differential Microwire, QSPI, SPI 16bit 500kSPS 2.375V to 2.625V AD7988-5BCPZ 209-6181●
10/MSOP Pseudo Differential Microwire, QSPI, SPI 16bit 500kSPS 2.375V to 2.625V AD7988-5BRMZ 208-1026●
20/LFCSP Pseudo Differential, Single Ended Microwire, QSPI, SPI 16bit 500kSPS 4.5V to 5.5V AD7699BCPZ 210-2525●
48/LQFP Differential Parallel, Serial 16bit 750kSPS 4.75V to 5.25V AD7612BST 206-7753●
16/SOIC Differential Serial 16bit 10MSPS 4.5V to 5.5V AD7400AYRWZ 207-7695●
16/SOIC Differential Serial 16bit 20MSPS 4.5V to 5.5V AD7401AYRWZ 207-4851●
48/LFCSP Differential SPI 16bit 125MSPS 1.7V to 1.9V AD9653BCPZ-125 211-2677●
72/LFCSP Differential, Single Ended Parallel, Serial 16bit 200MSPS 1.7V to 1.9V, 3V to 3.6V AD9467BCPZ-200 209-6173●
72/LFCSP Differential, Single Ended Parallel, Serial 16bit 250MSPS 1.7V to 1.9V, 3V to 3.6V AD9467BCPZ-250 209-6174●
32/LFCSP Pseudo Differential Microwire, QSPI, SPI 24bit — 4.75V to 5.25V AD7195BCPZ 209-6179●
16/TSSOP Differential, Single Ended Serial 24bit 128kSPS 2.375V to 2.625V AD7767BRUZ-2 210-2515●
234
farnell.com element14.com 235
Semiconductors
Ì Guaranteed 18-Bit, No missing codes Ì No Pipeline Delay, No Cycle Latency Ì Parallel and Serial I/O Interface
48LQFP 48QFN
659960
660196
235
236 farnell.com element14.com
Analog-to-Digital Converters
608962
Supply Supply
Input No. Of Voltage Range Current Convertion Interface Mftrs. Price Each
Pins/Pkg Type Channels (V) (μA) Rate Type List No. Order Code 1+ 10+ 100+
8-Bit
28/PLCC Differential 1 4V to 6V 1.1 – BCD ICL7135CQI+ 211-3106●
8-Bit
8/SOT-23 Single Ended 4 2.7V to 3.6V 350 188kSPS I2C, Serial MAX11601EKA+T 184-5813●
16/QSOP Differential, Single Ended 8 4.5V to 5.5V 350 188kSPS 2-Wire, I2C, Serial MAX11602EEE+ 181-3077●
16/QSOP Single Ended 12 2.7V to 3.6V 350 188kSPS I2C, Serial MAX11605EEE+ 184-5814●
1 10-Bit
8/μMAX Differential, Single Ended 1 4.5V to 5.5V 670 94.4kSPS 2-Wire, I2C, Serial MAX11646EUA+ 181-1323●
8/μMAX Differential, Single Ended 2 2.7V to 3.6V 670 94.4kSPS 2-Wire, I2C, Serial MAX11647EUA+ 181-1324●
Integrated Circuits & Development Kits
8/μMAX Differential, Single Ended 4 4.5V to 5.5V 670 94.4kSPS 2-Wire, I2C, Serial MAX11606EUA+ 181-3078●
16/QSOP Differential, Single Ended 8 4.5V to 5.5V 670 94.4kSPS 2-Wire, I2C, Serial MAX11608EEE+ 181-3081●
12-Bit
8/μMAX Differential, Single Ended 4 4.5V to 5.5V 670 94.4kSPS 2-Wire, I2C, Serial MAX11612EUA+ 181-3082●
8/DIP Single Ended – 4.75V to 5.25V 1.5 75kSPS 3-Wire, Serial MAX187BCPA+ 211-3111●
16/QSOP Differential, Single Ended 8 4.5V to 5.5V 670 94.4kSPS 2-Wire, I2C, Serial MAX11614EEE+ 181-3083●
20/SOIC Pseudo Differential, Single Ended 8 – 30 133kSPS 4-Wire, Serial MAX186AEAP+ 211-3110●
28/SSOP Single Ended 8 4.75V to 5.25V 6 110kSPS 3-Wire, Serial MAX1270BCAI+ 211-3108●
16-Bit
56/TQFN Single Ended 4 2.7V to 5.25V, 4.75V to 5.25V 32 250kSPS Parallel MAX11047ETN+ 181-1318●
56/TQFN Single Ended 4 2.7V to 5.25V, 4.75V to 5.25V 36 250kSPS Parallel MAX11044ETN+ 181-1315●
56/TQFN Single Ended 6 2.7V to 5.25V, 4.75V to 5.25V 36 250kSPS Parallel MAX11048ETN+ 181-1321●
56/TQFN Single Ended 6 2.7V to 5.25V, 4.75V to 5.25V 42 250kSPS Parallel MAX11045ETN+ 181-1316●
56/TQFN Single Ended 8 2.7V to 5.25V, 4.75V to 5.25V 40 250kSPS Parallel MAX11049ETN+ 181-1322●
56/TQFN Single Ended 8 2.7V to 5.25V, 4.75V to 5.25V 48 250kSPS Parallel MAX11046ETN+ 181-1317●
18-Bit
28/SSOP Single Ended 5 4.75V to 5.25V 530 480SPS 3-Wire, Serial MAX1402EAI+ 184-5812●
24/SOIC Single Ended 4.5V to 5.5V 60 100SPS 4-Wire, Serial MAX132CWG+ 211-3109●
24-Bit
38/TSSOP Differential 4 3V to 3.6V 25 3.072MSPS Microwire, QSPI, Serial, SPI, 4-Wire MAX11040GUU+ 181-3075●
Analog-to-Digital Converters
624369
236
farnell.com element14.com 237
Semiconductors
32/QFN 3 to 3.6 12 Bits 105MSPS -40°C to +85°C ADS6124IRHBTG4 154-3744●
48/QFN 3 to 3.6 12 Bits 105MSPS -40°C to +85°C ADS6224IRGZT 175-4718●
48/VQFN 1.7V to 1.9V 12 Bits 125MSPS -40°C to +85°C ADS4125IRGZT 189-5360●
48/QFN 3 to 3.6 12 Bits 125MSPS -40°C to +85°C ADS6225IRGZT 175-4719●
32/QFN 3 to 3.6 12 Bits 125MSPS -40°C to +85°C ADS6125IRHBTG4 154-3746●
64/VQFN 1.7V to 1.9V 12 Bits 160MSPS -40°C to +85°C ADS4226IRGC25 189-5362●
48/QFN 1.7V to 1.9V 12 Bits 160MSPS -40°C to +85°C ADS4126IRGZT 186-3087●
48/QFN 3 to 3.6 12 Bits 170MSPS -40°C to +85°C ADS5525IRGZT. 175-4704●
48/QFN 3 to 3.6 12 Bits 210MSPS -40°C to +85°C ADS5527IRGZT 175-4705●
48/QFN 1.7 to 3.6 12 Bits 210MSPS -40°C to +85°C ADS6128IRGZT 175-4707●
48/QFN 1.7V to 1.9V, 3V 12 Bits 250MSPS -40°C to +85°C ADS41B29IRGZT
to 3.6V 186-3086●
48/QFN 1.7 to 3.6 12 Bits 250MSPS -40°C to +85°C ADS61B29IRGZT 175-4715● 1
48/QFN 1.7V to 1.9V 12 Bits 250MSPS -40°C to +85°C ADS4129IRGZT 186-2563●
/VQFN 2.7V to 5.25V 12 Bits 1MSPS – ADS8634SRGET 197-2810●
/VQFN 2.7V to 5.25V 12 Bits 1MSPS – ADS8638SRGET 197-2812●
237
238 farnell.com element14.com
Analog-to-Digital Converters
The THS770006/THS770012 is a wideband, fully-differential amplifier designed and optimized specifically for driving 16-bit analogue-to-digital converters at input fre-
quencies up to 130MHz, and 14-bit ADCs at input frequencies up to 200MHz. This device provides high bandwidth, high-voltage output with low distortion and low
noise, critical in high-speed data acquisition systems that require very high dynamic range, such as wireless base stations and test and measurement applications.
This device also makes an excellent differential amplifier for general-purpose, high-speed differential signal chain and short line driver applications.
624434
238
farnell.com element14.com 239
The ADS5400 is a 12-bit, 1-GSPS analogue-to-digital converter (ADC) that operates from both a 5-V supply and 3.3-V supply,
while providing LVDS-compatible digital outputs. The analogue input buffer isolates the internal switching of the track and hold from
disturbing the signal source. The simple 3-stage pipeline provides extremely low latency for time critical applications. Designed for
the conversion of signals up to 2 GHz of input frequency at 1 GSPS, the ADS5400 has outstanding low noise performance and spu-
rious-free dynamic range over a large input frequency range. The combination of the PowerPAD package and moderate power con-
sumption of the ADS5400 allows for operation without an external heat-sink.
617155
Pins Input Type Resolution Supply Sampling Input Temperature Mftrs. Price Each
Package (Bits) Current (mA) Rate Bandwidth Range List No. Order Code 1+ 10+
100/QFP Differential 12 226 1 2.1 GHz -40°C to +85°C ADS5400IPZP 181-5781●
Semiconductors
generated by two onboard regulators U1 and U2. A micro-
controller, the MSP430F449, is used to supply the memory required to operate an LCD
controller, hardware SPI™ UART interfaces, and a multiplier, used to perform the many ADS1672 Evaluation Module
firmware multiplications. ADS1672EVM
This evaluation module (EVM) is an
Features:
evaluation platform for the
Ì USB connection for firmware updates and remote control
ADS1672, a high-speed, single-
Ì Power is applied by either a 9 V battery or wall plug 9 V adapter
channel, 24-bit, 625kHz delta-sig-
Ì Connections for load cells and other voltage sources
ma analog-to-digital converter (ADC). The EVM allows
Ì Designed for very low power consumption
evaluation of all aspects of the ADS1672 device using a
Ì Eight-digit starburst LCD readout
661615
standard serial CMOS interface. The ADS1672EVM is a
stand alone board which accepts control signals from a
Order Code Price Each user defined host processor or pattern generator. Access
ADS1231REF 208-1103 to the dedicated START pin is provided to allow for direct
control of conversions: toggle the START pin to begin a conversion, and then retrieve the
output data. The flexible serial interface supports data readback with standard CMOS logic
1
ADS1248 Evaluation Module levels, allowing the ADS1672 to directly connect to a wide range of microcontrollers, digi-
ADS1248EVM tal signal processors (DSPs), or field-programmable grid arrays (FPGAs).
239
240 farnell.com element14.com
A/D and D/A Converters - continued operated in serial mode by means of on-board switch SW1.
Features:
ADS7953 Performance Demonstration Kit - continued Ì Full-featured evaluation board for the ADS8556 parallel/serial Analog to Digital
Converter
ADS7953EVM-PDK - continued Ì Onboard or off board references using on board jumpers
Features: Ì Compatible with the 5-6k Interface board, DSK Starter Kits and HPA-MCU Interface
Ì Easy-to-use evaluation software for Microsoft™ Windows XP and Windows 7 661544
Ì Built-in analysis tools including scope, FFT, and histogram displays Order Code Price Each
Ì Data collection to text files ADS8556EVM 208-1097
Ì Complete control of board settings
Ì Easily expandable with new analysis plug in tools from Texas Instruments
661547 Evaluation Modules
Order Code Price Each ADS833xEVM
ADS7953EVM-PDK 208-1096
The ADS833xEVM features the
ADS8331 or ADS8332 analog to
ADS8363 Evaluation Module digital converter. The EVM pro-
ADS8363EVM vides a quick and easy way to evaluate the functionality
The ADS8363EVM uses a circuit and performance of this low power, high resolution, 16-
board designated ADS72- bit Analog to Digital Converter (ADC). The EVM provides
8363EVM. This EVM board will a serial interface header to easily attach to any host mi-
accept either the ADS7263 (12bit) croprocessor or TI DSP base system. An OPA211 buffer amplifier is onboard and can be
or the ADS8363 (16bit) ADC. The evaluation board has added into the signal path to drive the ADC by simply changing a jumper setting. By de-
two 1MSPS, 12-bit/16-bit serial output channels. The fault, the ADS833xEVM is designed to operate with an onboard 4.096V reference
analog inputs can be configured as 4 pseudo-differen- (REF5040), but can be easily modified to use an external reference source. The REF5040
tial or 2 fully differential channel pairs. This feature provides a total of eight pseudo differ- is pin compatible with the REF50xx family allowing a wide variety of reference values. The
ential inputs or four fully differential inputs. reference voltage signal has the option to be buffered through an OPA350 before connect-
661575 ing to the ADC.
Semiconductors
Analog-to-Digital Converters
The ADS1113/14/15 are precision analog-to-digital converters (ADCs) with 16 bits of resolution offered in an ultra-small, leadless QFN-10 package or an MSOP-10 package. They operate
from a single power supply ranging from 2.0V to 5.5V either in continuous conversion mode or a single-shot mode that automatically powers down after a conversion and greatly reduces
current consumption during idle periods. Featuring an onboard reference and oscillator conversions at rates up to 860 samples per second (SPS) makes these devices a powerfull and precise
tool for sampling.
The ADS8556/7/8 contain six low-power, 16-, 14-, or 12-bit, successive approximation register (SAR) based analog-to-digital converters (ADCs) with true bipolar inputs available in an
LQFP-64 package. Each channel contains a sample-and-hold circuit that allows simultaneous high-speed multi-channel signal acquisition.The family supports data rates of up to 730kSPS in
parallel interface mode or up to 500kSPS if the serial interface is used. The bus width of the parallel interface can be set to eight or 16 bits. In serial mode, up to three output channels can be
activated.
551970
240
farnell.com element14.com 241
A/D Converters
499819
Linearity
Error Mftrs. Price Each
Mftr. Pins Pkg ± LSB Features List No. Order Code 1+ 10+ 100+ 250+ 500+
8-Bit A/D
830 TI 20 SSOP 0.1 ADC 8-Bit 60MHz . . . . . . . . . . . . . . . . . . . . . . . . . . ADS830E 175-4732●
7885 TI 6 SOT-23 0.4 ADC 8Bit 3Msps . . . . . . . . . . . . . . . . . . . . . . . . . . . ADS7885SDBVT 175-4729●
10-Bit A/D
1009 TI 32 TSSOP – 10 Bit Quad ADC. . . . . . . . . . . . . . . . . . . . . . . . . . . THS1009IDA 175-5368●
5122 TI 257 BGA – ADC 10Bit 1.8V 65Msps . . . . . . . . . . . . . . . . . . . . . ADS5122CGHK 175-4693●
5237 TI 64 TQFP – ADC 10Bit Dual 65Msps . . . . . . . . . . . . . . . . . . . . . ADS5237IPAG 175-4694●
5287 TI 64 VQFN – ADC 10Bit 65Msps Octal. . . . . . . . . . . . . . . . . . . . . ADS5287IRGCT 175-4699●
7826 TI 8 SON 0.3 ADC 10Bit 200Ksps . . . . . . . . . . . . . . . . . . . . . . . . ADS7826IDRBT. 175-4726●
7887 TI 6 SOT-23 – ADC 10Bit 1.25Msps . . . . . . . . . . . . . . . . . . . . . . . ADS7887SDBVT 175-4730●
11-Bit A/D
62 TI 64 QFN – ADC 11Bit Dual 125Msps . . . . . . . . . . . . . . . . . . . . ADS62C15IRGCT 175-4722●
58 TI 48 VQFN – Ultralow power, 11bit, 200MSPS ADC with buffer . . ADS58B18IRGZT 186-2562●
12-Bit A/D
61 TI 32 QFN – ADC 12Bit 80Msps . . . . . . . . . . . . . . . . . . . . . . . . . ADS61B23IRHBT 175-4714●
61 TI 48 QFN – ADC 12Bit 250Msps Buff . . . . . . . . . . . . . . . . . . . . ADS61B29IRGZT 175-4715●
781 TI 8 MSOP 0.5 ADC 12Bit 200KHz . . . . . . . . . . . . . . . . . . . . . . . . . ADS7816EC/250 175-4724●
1013 TI 10 MSOP – ADC, 12Bit, Int Ref . . . . . . . . . . . . . . . . . . . . . . . . . ADS1013IDGST 177-8209●
1013 TI 10 QFN – ADC, 12Bit, I2C. . . . . . . . . . . . . . . . . . . . . . . . . . . . ADS1013IRUGT 177-1737●
1014 TI 10 QFN – ADC, 12Bit, I2C. . . . . . . . . . . . . . . . . . . . . . . . . . . . ADS1014IRUGT 177-1738●
1015 TI 10 MSOP – ADC, 12Bit, I2C, Int Mux . . . . . . . . . . . . . . . . . . . . . ADS1015IDGST 177-8211●
Semiconductors
1015 TI 10 QFN – ADC, 12Bit, I2C. . . . . . . . . . . . . . . . . . . . . . . . . . . . ADS1015IRUGT 177-1739●
5242 TI 64 HTQFP – ADC 12Bit Quad 65Msps . . . . . . . . . . . . . . . . . . . . ADS5242IPAP. 175-4696●
5281 TI 64 VQFN – ADC 12Bit Octal 50Msps. . . . . . . . . . . . . . . . . . . . . ADS5281IRGCT 175-4697●
5282 TI 64 VQFN – ADC 12Bit Octal 65Msps. . . . . . . . . . . . . . . . . . . . . ADS5282IRGCT 175-4698●
5525 TI 48 QFN – ADC 12Bit 170Msps . . . . . . . . . . . . . . . . . . . . . . . . ADS5525IRGZT. 175-4704●
5527 TI 48 QFN – ADC 12Bit 210Msps 1Ch . . . . . . . . . . . . . . . . . . . . ADS5527IRGZT 175-4705●
6122 TI 32 QFN 1 12-bit ADC 65MSPS With DDR LVDS/CMOS O/P. . . ADS6122IRHBTG4 154-3739●
6125 TI 32 QFN 1 12-bit ADC 125MSPS With DDR LVDS/CMOS O/P. . ADS6125IRHBTG4 154-3746●
6128 TI 48 QFN – ADC 12Bit 210Msps . . . . . . . . . . . . . . . . . . . . . . . . ADS6128IRGZT 175-4707●
6222 TI 48 QFN – ADC 12Bit 65Msps Dual . . . . . . . . . . . . . . . . . . . . . ADS6222IRGZT 175-4716●
6223 TI 48 QFN – ADC 12Bit 80Msps Dual . . . . . . . . . . . . . . . . . . . . . ADS6223IRGZT 175-4717●
6224 TI 48 QFN – ADC 12Bit 105Msps Dual . . . . . . . . . . . . . . . . . . . . ADS6224IRGZT 175-4718●
6225 TI 48 QFN – ADC 12Bit 125Msps Dual . . . . . . . . . . . . . . . . . . . . ADS6225IRGZT 175-4719● 1
7863 TI 24 SSOP 0.5 ADC ADC 12Bit Dual 2Msps . . . . . . . . . . . . . . . . . . ADS7863IDBQ 175-4727●
7924 TI 16 QFN 1.5 2.2V, 12-Bit, 4-Channel, 100 KSPS ADC with I2C ADS7924IRTET
interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 182-9663●
241
242 farnell.com element14.com
Features: Features:
Ì USB Power and I/O Ì Flexible input path
Ì Small Form Factor Ì Configurable input clock
Ì Simple Software Interface Ì Adaptable to customer own band-pass filter
Ì Kit includes K type thermocouple Ì Direct connection to TSW1250 High Speed
ADC LVDS Evaluation System
The ADS1118EVM is a complete solution for evaluation of the ADS1118 Ultra-Small, Low
ADS5263 is a four channel, 16-bit ADC with up to 100 MSPS sampling frequency that de-
Power,Precision 16 Bit Analog to Digital Converter. Power and I/O are provided via USB
1 connection and a simple software interface. Inputs for a thermocouple are provided on
livers a SNR of 84.6 dBFS with 10 MHz input. ADS5263EVM provides a flexible environ-
ment for testing the ADS5263 under a variety of clock and input conditions. This EVM al-
board, plus two additional uncommitted inputs are available.
lows customers to design their own filters, populate the EVM with the corresponding com-
660040
ponents and verify the performance on the EVM itself.
Price Each
Integrated Circuits & Development Kits
660028
Mftrs. List No. Order Code 1+ Price Each
ADS1118EVM 196-1723▲ Mftrs. List No. Order Code 1+
ADS5263EVM 190-3394▲
414034
Linearity Conversion
Error Time ± 1⁄2 Price Each
Mftr. Pins Pkg ± LSB LSB, μs Features Mftrs. List No. Order Code 1+ 10+ 100+ 250+ 500+
8-Bit A/D
8 NSC 24 TSSOP – – 8Bit 10/60MSPS ADC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADC08L060CIMT/NOPB 167-3972●
81 NSC 6 TSOT-23 – – 8Bit I2C I/O ADC with with Alert Pin. . . . . . . . . . . . . . . . . . . . . ADC081C021CIMK/NOPB 167-4010●
81 NSC 6 TSOT-23 – – 8Bit I2C I/O ADC with with Alert Pin. . . . . . . . . . . . . . . . . . . . . ADC081C027CIMK/NOPB 167-4011●
081 NSC 6 SOT-23 0.4 5 Single Channel, 50 to 200 KSPS, 8-Bit A/D Converter . . . . . . . ADC081S021CIMF 125-0030●
082 NSC 8 MSOP 0.04 5 2 Channel, 200 KSPS, 8-Bit A/D Converter . . . . . . . . . . . . . . . ADC082S021CIMM 100-7978●
84 NSC 10 MSOP – – 8Bit ADC 4 Channel 50 ksps to 200 Ksps . . . . . . . . . . . . . . . . ADC084S021CIMM/NOPB 167-3976●
084 NSC 10 MSOP 0.09 2 4 Channel, 500 KSPS, 8-Bit A/D Converter . . . . . . . . . . . . . . . ADC084S051CIMM 100-7983●
088 NSC 16 TSSOP 0.04 5 8-Channel, 50 KSPS to 200 KSPS, 8-Bit A/D Converter . . . . . . ADC088S022CIMT 125-0037●
518 MAX 8 NSOIC 1 – 2-Wire, Serial, 8-Bit DACs with Rail-to-Rail Outputs. . . . . . . . . MAX518BCSA+ 137-9983●
541 TI 20 PLCC 0.5 17 8-Bit, 40 KSPS ADC Serial-Out, On-Chip 12-Ch. AnaLog Mux, TLC541IFNG3
11 Ch. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 123-4925●
542 TI 20 SOIC 0.5 16 8-Bit, 25 KSPS ADC Serial-Out, On-Chip 12-Ch. AnaLog Mux, TLC542CDWG4
11 Ch. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 123-5032●
542 TI 20 PDIP 0.5 20 8-Bit, 25 KSPS ADC Serial-Out, On-Chip 12-Ch. AnaLog Mux, TLC542INE4
11 Ch. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 123-4910●
548 TI 8 SOIC 0.5 17 8-Bit, 45.5 kSPS ADC Serial Out, Low Power, Compatible to TLC548CD
TLC540/545/1540, Single Ch.. . . . . . . . . . . . . . . . . . . . . . . . . 145-9376●
548 TI 8 PDIP 0.5 17 8-Bit A-D Converter, 45.5 KSPS . . . . . . . . . . . . . . . . . . . . . . . TLC548CP 845-4345●
549 TI 8 SOIC 0.5 17 8-Bit A-D Converter, 40 KSPS . . . . . . . . . . . . . . . . . . . . . . . . . TLC549CD 845-4353●
549 TI 8 PDIP 0.5 17 8-Bit A-D Converter, 40 KSPS . . . . . . . . . . . . . . . . . . . . . . . . . TLC549CP 845-4361●
549 TI 8 SOIC 0.5 17 8 Bit ADC With Serial Control . . . . . . . . . . . . . . . . . . . . . . . . . TLC549IDG4 123-4665●
549 TI 8 DIP 0.5 17 C, S, S/A. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . TLC549IP 110-6008●
757 ADI 18 PDIP 0.75 15 MC, P, C, S/A . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD7574JNZ 143-8533●
803 NSC 20 DIP 0.5 100 C, MC, P, S/A . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADC0803LCN 948-6577●
804 NSC 20 DIP 1 100 C, MC, P, S/A . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADC0804LCN 948-6593●
804 NSC 20 SOIC 1 100 C, MC, P, S/A . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADC0804LCWM 948-6585●
808 NSC 16 MDIP – – 8-Bit D/A Converter. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DAC0808LCN/NOPB 156-4695●
808 NSC 28 PLCC – – 8Bit ADC with 8-Channel Multiplexer . . . . . . . . . . . . . . . . . . . . ADC0808CCV/NOPB 167-3957●
808 NSC 28 DIP 0.5 100 8-Channel, MC, P, S/A . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADC0808CCN 948-6674●
809 NSC 28 DIP 1 100 8-Channel, MC, P, S/A . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADC0809CCN 948-6682●
816 NSC 40 DIL 0.5 100 8-Bit μP Compatible A/D Converter with 16-Channel Multiplex- ADC0816CCN/NOPB
er I/P . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 156-4674●
817 NSC 40 DIP 1 100 16-Channel, MC, P, S/A . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADC0817CCN 948-6690●
242
farnell.com element14.com 243
Linearity Conversion
Error Time ± 1⁄2 Price Each
Mftr. Pins Pkg ± LSB LSB, μs Features Mftrs. List No. Order Code 1+ 10+ 100+ 250+ 500+
8-Bit A/D
820 NSC 20 DIP – – 8Bit Serial I/O ADC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADC0820CCN/NOPB 167-3948●
820 NSC 20 WSOIC 1 1.5 8Bit High Speed Microp. Compatible A/D Converter with ADC0820CCWM/NOPB
Track/Hold Function . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 156-4768●
820 TI 20 PDIP 1 2.5 8-Bit A-D Converter, 392 KSPS . . . . . . . . . . . . . . . . . . . . . . . . TLC0820ACN 845-3691●
831 NSC 8 DIP – – 8Bit Serial I/O ADC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADC0831CCN/NOPB 167-3947●
831 TI 20 SOIC 2 100 C, P, R, T/H . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADS831EG4 121-4357●
831 TI 8 PDIP 0.4 32 8-Bit A-D Converter, 31KSPS . . . . . . . . . . . . . . . . . . . . . . . . . TLC0831CP 845-3721●
832 TI 8 SOIC 0.4 32 8-Bit, 22 KSPS ADC Serial Out, Uprocessor Periph./Standa- TLC0832CDG4
lone, Mux Option W/Se Or Differential, 2 Ch. . . . . . . . . . . . . . . 123-4828●
838 NSC 20 SOIC – – 8Bit Serial I/O ADC with Multiplexer Option . . . . . . . . . . . . . . . ADC0838CCWM/NOPB 167-3975●
838 TI 20 SOIC 0.4 32 8-Bit, 20 kSPS ADC Serial Out, uProcessor Periph./Standa- TLC0838IDW
lone, Rem. Op w/Ser. Data Link, Mux option . . . . . . . . . . . . . . 145-9331●
838 NSC 20 DIP 1 32 8-Channel, MC, S, S/A . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADC0838CCN 948-6615●
844 NSC 20 DIP 1 40 4-Channel, C, MC, P, S/A . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADC0844CCN 948-6623●
848 NSC 24 DIP 1 40 8-Channel, C, MC, P, S/A . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADC0848CCN 948-6631●
848 NSC 28 PLCC 1 40 8-Channel, C, MC, P, S/A . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADC0848CCV 949-4308●
931 TI 28 SSOP – – 8Bits ADC 30MSPS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADS931E.. 164-8611●
1039 MAX 16 QSOP – 6.1 2.7V to 5.5V, Low-Power, 4-/12-Channel 2-Wire Serial 8-Bit MAX1039AEEE+
ADCs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 137-9845●
1044 MAX 8 NSOIC – – Switched Capacitor Voltage Converters . . . . . . . . . . . . . . . . . . MAX1044CSA+ 137-9846●
1098 LT 8 SOIC 0.5 0.71 ADC, 8-Bit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC1098LCS8#PBF 133-0789●
1112 MAX 20 SSOP – 25 +5V, Low-Power, Multichannel, Serial 8-Bit ADCs . . . . . . . . . MAX1112EAP+ 137-9848●
1112 MAX 20 SSOP 1 55 8-Channel, C, R, S/A, T/H . . . . . . . . . . . . . . . . . . . . . . . . . . . . MAX1112CAP+ 118-7989●
Semiconductors
1115 MAX 8 SOT-23 – – 8Bit ADC, Serial, Low Power . . . . . . . . . . . . . . . . . . . . . . . . . . MAX1115EKA+ 171-4990●
1173 NSC 24 TSSOP 0.8 0.018 R, S/H . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADC1173CIMTC 977-8179●
1196 LT 8 SOIC 0.5 16 ADC, 8-Bit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC1196-2BCS8#PBF 133-0794●
1198 MAX 48 TQFP 1 – Duel, 8-Bit, Low Power ADC . . . . . . . . . . . . . . . . . . . . . . . . . . MAX1198ECM+D 143-3195●
1406 LT 24 SSOP 0.5 – ADC, 8-Bit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC1406CGN#PBF 133-0833●
3403 CAD 48 TQFP – – 8Bit Video DAC, Triple, 150MSPS . . . . . . . . . . . . . . . . . . . . . . CDK3403CTQ48 169-4409●
3404 CAD 48 TQFP – – 8Bit Video DAC, Triple, 150MSPS . . . . . . . . . . . . . . . . . . . . . . CDK3404CTQ48 169-4410●
5535 TI 28 TSSOP 0.7 – 8-Bit, 35 MSPS, Low Power ADC . . . . . . . . . . . . . . . . . . . . . . TLV5535IPW 156-0651●
5540 TI 24 SOIC 1 – C, L, P, R, S/H . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . TLC5540INS 110-2961●
7116 MCHIP 40 DIP – – ADC,3-1/2 DIGIT W/ HOLD . . . . . . . . . . . . . . . . . . . . . . . . . . . TC7116CPL 129-2290●
7135 TI 28 TSSOP 0.5 – 4.5-Bits, 0.003 KSPS ADC, Muxed BCD Output, True Differen- TLC7135CDW
tial Input, 1 Ch. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 128-7650●
7478 ADI 8 MSOP 0.3 600 MC, S/A, T/H . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD7478AARMZ 942-6353● 1
7575 ADI 18 DIP 1 5 C, P, S/A, S/H . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD7575JNZ 107-9349●
7813 ADI 16 DIP 1 2.3 C, P, R, S/A, T/H. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD7813YNZ 107-9302●
7819 ADI 16 DIP 1 4.5 C, P, R, S/A, T/H. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD7819YNZ 107-9303●
414035
Key to Features: C = CMOS, D/B = Double-Buffered, L = Latches, M = Multiplying, MC = Microprocessor Compatible, MP = Micropower, P = Parallel O/P, R = Internal Reference, R2R = Rail-to-Rail output,
S = Serial O/P, S/A = Successive Approximation, S/H = Sample and Hold, T = Temp. Sensor, T/H = Track and Hold, V = Voltage O/P
Linearity Conversion
Error Time ± 1⁄2 Price Each
Mftr. Pins Pkg ± LSB LSB, μs Features Mftrs. List No. Order Code 1+ 10+ 100+ 250+ 500+
10-Bit A/D
10 NSC 60 LLP – 200 10Bit ADC, Dual, 200Msps . . . . . . . . . . . . . . . . . . . . . . . ADC10DV200CISQE 169-9378●
101 NSC 6 TSOT-23 – – 10Bit I2C I/O ADC with with Alert Pin . . . . . . . . . . . . . . . . ADC101C021CIMK/NOPB 167-4012●
101 NSC 6 SOT-23 1 2 Single Channel, 200 to 500 KSPS, 10-Bit A/D Converter. . ADC101S051CIMF 125-0029●
104 NSC 10 MSOP 0.13 5 4 Channel 200 KSPS, 10-Bit A/D Converter . . . . . . . . . . . ADC104S021CIMM 100-7989●
108 NSC 16 TSSOP 0.1 2 8-Channel, 200 KSPS to 500 KSPS, 10-Bit A/D Converter ADC108S052CIMT 125-0035●
515 MAX 8 SOIC 1 – 5V, Low-Power, Voltage-Output, Serial, 10-Bit DACs . . . . MAX515ESA+ 137-9982●
828 TI 28 SSOP – – 10Bits ADC 75MSPS . . . . . . . . . . . . . . . . . . . . . . . . . . . ADS828E. 164-8609●
243
244 farnell.com element14.com
2308 CAD 64 QFN – – Low Power Dual 10Bit ADC, 80MSPS . . . . . . . . . . . . . . . CDK2308DILP64 169-4403●
2308 CAD 64 QFN – – Low Power Dual 10Bit ADC, 65MSPS . . . . . . . . . . . . . . . CDK2308CILP64 169-4404●
2308 CAD 64 QFN – – Low Power Dual 10Bit ADC, 40MSPS . . . . . . . . . . . . . . . CDK2308BILP64 169-4405●
2308 CAD 64 QFN – – Low Power Dual 10Bit ADC, 20MSPS . . . . . . . . . . . . . . . CDK2308AILP64 169-4407●
2308 CAD 48 TQFP – – Triple 10Bit Video DAC, 150MSPS . . . . . . . . . . . . . . . . . . CDK3401CTQ48 169-4408●
3008 MCHIP 16 SOIC – 230 10 Bit ADC, 8 CH, SPI . . . . . . . . . . . . . . . . . . . . . . . . . . . MCP3008-I/SL 129-2241●
3021 MCHIP 5 SOT-23A 1 45.4 10-Bit ADC, I2C, 1Ch. . . . . . . . . . . . . . . . . . . . . . . . . . . . MCP3021A5T-E/OT 133-2097●
5120 TI 257 BGA Microstar 1 – 8 channel, 10 Bit, 40MSPS ADC, 1.8V . . . . . . . . . . . . . . . ADS5120CGHK 145-9256●
7273 ADI 8 MSOP 0.5 250 3 MSPS 10-Bit ADC. . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD7273BRMZ 111-7904●
7277 ADI 8 MSOP 1.5 291 3 MSPS,10-Bit ADC. . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD7277BRMZ 111-7901●
7470 ADI 24 TSSOP 0.9 1.75 MC, P, S/A, T/H. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD7470ARUZ 942-5985●
7477 NSC 6 SOT-23 0.5 1 1MSPS, C, S/A, T/H. . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADCS7477AIMF 977-9728●
7579 ADI 24 DIP 1 18.5 C, MC, P, S/A, S/H . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD7579JNZ 107-9354●
1 7811 ADI 16 DIP 1 2.3 4-Channel, C, R, S/A, T/H . . . . . . . . . . . . . . . . . . . . . . . . AD7811YNZ 107-9299●
7812 ADI 20 DIP 1 2.3 8-Channel, C, R, S/A, T/H . . . . . . . . . . . . . . . . . . . . . . . . AD7812YNZ 107-9301●
7817 ADI 16 SOIC 2 9 4-Channel, C, R, S/A, T, T/H . . . . . . . . . . . . . . . . . . . . . . AD7817ARZ 942-5683●
Integrated Circuits & Development Kits
7911 ADI 8 MSOP 0.5 2.8 2-Channel, 250KSPS, S/A, T/H . . . . . . . . . . . . . . . . . . . . AD7911ARMZ 839-7686●
7912 ADI 8 MSOP 0.5 1 2-Channel, 1MSPS, MC, S, S/A . . . . . . . . . . . . . . . . . . . . AD7912ARMZ 107-8325●
7939 ADI 32 TQFP 1 0.666 8-Channel, 1.5MSPS, MC, P, R, S/A, T/H. . . . . . . . . . . . . AD7939BSUZ 107-8314●
7993 ADI 16 TSSOP 0.5 2 4-Channel, S, S/A, T/H . . . . . . . . . . . . . . . . . . . . . . . . . . AD7993BRUZ-0 107-8309●
7993 ADI 16 TSSOP 0.5 2 4-Channel, S, S/A, T/H . . . . . . . . . . . . . . . . . . . . . . . . . . AD7993BRUZ-1 107-8310●
7995 ADI 8 SOT-23 0.5 1 10Bit ADC 4 Channel with I2C-Compatible Interface . . . . AD7995YRJZ-0500RL7 160-7237●
7997 ADI 20 TSSOP 0.5 2 8-Channel, S, S/A, T/H . . . . . . . . . . . . . . . . . . . . . . . . . . AD7997BRUZ-0 107-8304●
7997 ADI 20 TSSOP 0.5 2 8-Channel, S, S/A, T/H . . . . . . . . . . . . . . . . . . . . . . . . . . AD7997BRUZ-1 107-8305●
9201 ADI 28 SOIC 0.4 0.05 2-Channel, C, R, S/H . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD9201ARSZ 107-9291●
9212 ADI 64 LFCSP 0.3 – Octal, 10-Bit, 40/65 MSPS Serial LVDS 1.8 V A/D Con- AD9212BCPZ-40
verter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 131-6447●
9215 ADI 28 TSSOP 0.25 – 3V, 105MSPS, S/H . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD9215BRUZ-105 158-1961●
9215 ADI 28 TSSOP 0.25 – 3V, 65MSPS, S/H . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD9215BRUZ-65 158-1962●
9218 ADI 48 LQFP 0.3 – Dual 40 MSPS, T/H, R, P . . . . . . . . . . . . . . . . . . . . . . . . . AD9218BSTZ-40 143-8557●
9691 NXP 16 VQFN – – 8Bit Successive Approximation, Low Standby Current PCA9691BS/1
ADC, I2C . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 185-4026●
9691 NXP 16 TSSOP – – 8Bit Successive Approximation, Low Standby Current PCA9691TS/1
ADC, I2C . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 185-4027●
10154 NSC 24 SOIC – – 10Bit Plus Sign 4 microseconds ADC with 4 Channel. . . . ADC10154CIWM/NOPB 167-3978●
10158 NSC 28 SOIC 1 3.2 10Bit Plus Sign 4μs ADCs with 4- or 8-Channel MUX. . . . ADC10158CIWM 179-8348●
10064 NSC 28 SOIC 0.5 1.4 10Bit 600 ns A/D Converter & Input Multiplexer . . . . . . . . ADC10064CIWM 179-8347●
12048 NSC 44 QFP – – 10Bit ADC with Programmable 4 or 8 Channel Multiplexer ADC12048CIVF/NOPB 167-3951●
19515 MAX 48 TQFN – – Dual-Channel, 10-Bit, 65Msps ADC . . . . . . . . . . . . . . . . MAX19515ETM+ 167-3085●
19516 MAX 48 TQFN – – Dual-Channel, 10-Bit, 100Msps ADC . . . . . . . . . . . . . . . MAX19516ETM+ 167-3090●
19517 MAX 48 TQFN – – Dual-Channel, 10-Bit, 130Msps ADC . . . . . . . . . . . . . . . MAX19517ETM+ 167-3082●
414038
Key to Features: C = CMOS, D/B = Double-Buffered, L = Latches, M = Multiplying, MC = Microprocessor Compatible, MP = Micropower, P = Parallel O/P, R = Internal Reference, R2R = Rail-to-Rail output,
S = Serial O/P, S/A = Successive Approximation, S/H = Sample and Hold, T = Temp. Sensor, T/H = Track and Hold, V = Voltage O/P
Linearity Conversion
Error Time ± 1⁄2 Price Each
Mftr. Pins Pkg ± LSB LSB, μs Features Mftrs. List No. Order Code 1+ 10+ 100+ 250+ 500+
18-Bit A/D
132 MAX 24 DIP – 63 C, S . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . MAX132CNG+ 118-7950●
631 ADI 48 LQFP 2.5 1.68 250 kSPS, C, P, R, S, S/A . . . . . . . . . . . . . . . . . . . . . . . AD7631BSTZ 143-8843●
634 ADI 48 LQFP 2.5 1.18 670 kSPS, C, P, R, S, S/A . . . . . . . . . . . . . . . . . . . . . . . AD7634BSTZ 143-8844●
1625 TI 64 HTQFP 0.5 – 18Bit Single ADC 1.25Msps 615kHz BW . . . . . . . . . . . . ADS1625IPAPT. 160-3396●
3421 MCHIP 6 SOT-23 – – 18Bit ADC with I2C Interface and On-Board 2.048V Ref- MCP3421A0T-E/CH
erence with Address 000 . . . . . . . . . . . . . . . . . . . . . . . . 160-5567●
3421 MCHIP 6 SOT-23 – – 18Bit ADC with I2C Interface and On-Board 2.048V Ref- MCP3421A1T-E/CH
erence with Address 001 . . . . . . . . . . . . . . . . . . . . . . . . 160-5568●
7641 ADI 48 LQFP 2 520 18-Bit, 2 MSPS SAR ADC . . . . . . . . . . . . . . . . . . . . . . . AD7641BSTZ 127-4238●
7643 ADI 48 LQFP 1.5 550 18-Bit, 1.25 MSPS PulSAR A/D Converter . . . . . . . . . . . AD7643BSTZ 127-4236●
7674 ADI 48 LQFP 2.5 1.25 5V, 800KSPS, P, S, S/A, S/H. . . . . . . . . . . . . . . . . . . . . AD7674ASTZ 839-7490●
244
farnell.com element14.com 245
Linearity Conversion
Error Time ± 1⁄2 Price Each
Mftr. Pins Pkg ± LSB LSB, μs Features Mftrs. List No. Order Code 1+ 10+ 100+ 250+ 500+
18-Bit A/D
7678 ADI 48 LQFP 2.5 1.5 5V, 100KSPS, P, S, S/A, S/H. . . . . . . . . . . . . . . . . . . . . AD7678ASTZ 158-1933●
7679 ADI 48 LQFP 2.5 1.5 570 kSPS, P, S, S/A . . . . . . . . . . . . . . . . . . . . . . . . . . . AD7679ASTZ 143-8535●
7691 ADI 10 MSOP – – 18-Bit, 1.5 LSB INL, 250 kSPS PulSAR® Differential AD7691BRMZ
ADC in MSOP/QFN . . . . . . . . . . . . . . . . . . . . . . . . . . . . 130-6146●
7982 ADI 10 MSOP 1 – 1 MSPS, S/A, S . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD7982BRMZ 149-8675●
8139 ADI 8 SOIC – – Differential ADC Driver, R2R, Up to 18-Bit . . . . . . . . . . . AD8139ARDZ 839-7864●
20-Bit A/D
112 TI 28 SOIC – – 20Bits ADC 3kHz. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DDC112U. 164-8612●
112 TI 28 SOIC 1 – 20-Bit,2 Chan- Current Input A-D Converter . . . . . . . . . . DDC112UK 121-2387●
1230 TI 16 TSSOP – 12.5 20-Bit Delta Sigma ADC for Bridge Sensors . . . . . . . . . . ADS1230IPW. 139-0672●
22-Bit A/D
3550 MCHIP 8 SOP – – 22Bit, Differential Input, Serial 3Wire Interface, 15SPS MCP3550-60E/MS
ADC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 184-0933●
3550 MCHIP 8 SOIC – – 22Bit, Differential Input, Serial 3Wire Interface, 15SPS MCP3550-60E/SN
ADC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 184-0934●
3550 MCHIP 8 MSOP – – Single 22-Bit Delta-Sigma ADC . . . . . . . . . . . . . . . . . . . MCP3550-50E/MS 162-7198●
3550 MCHIP 8 SOIC – 80 Single-Channel 22-Bit Delta-Sigma ADC . . . . . . . . . . . . MCP3550-50E/SN 155-7411●
3551 MCHIP 8 MSOP 6 72.73 13.75SPS, Delta-SigmA . . . . . . . . . . . . . . . . . . . . . . . . MCP3551-E/MS 108-4624●
3551 MCHIP 8 SOIC 6 72.73 13.75SPS, Delta-SigmA . . . . . . . . . . . . . . . . . . . . . . . . MCP3551-E/SN 108-4625●
3553 MCHIP 8 SOIC – – 22Bit Delta-Sigma ADC 60SPS Sample Rate Serial Inter- MCP3553-E/SN
face . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 160-5569●
3553 MCHIP 8 MSOP – – 6 ppm max INL, 60SPS . . . . . . . . . . . . . . . . . . . . . . . . . MCP3553-E/MS 143-9515●
24-Bit A/D
Semiconductors
1210 TI 18 PDIP – – 24Bit ADC 16kSPS . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADS1210P. 164-8594●
1210 BB 18 SOIC 1 1.2 C, L, S, R . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADS1210U 109-7511●
1211 TI 24 DIP 1 1.2 C, L, S, R . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADS1211P. 121-9430●
1211 TI 24 SOIC 1 1.2 4-Channel, 5V, MC, S, S/A . . . . . . . . . . . . . . . . . . . . . . ADS1211U.. 932-4070●
1216 TI 48 TQFP – – 24Bit ADC 780SPS . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADS1216Y/250 164-8595●
1217 TI 48 TQFP 1 1 C, D/B, R, S. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADS1217IPFB 121-4419●
1224 TI 20 TSSOP – 8.32 24 Bit AnaLog to Digital Converter With 4 Channel MUX . ADS1224IPWT 118-0130●
1226 TI 16 QFN 1 – 24Bit ADC with Two Multiplexed Differential Inputs and ADS1226IRGVT
Internal Oscillator. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 160-3415●
1234 TI 28 TSSOP – 12.5 24-Bit Ultra Low Noise ADC. . . . . . . . . . . . . . . . . . . . . . ADS1234IPW. 139-0674●
1240 TI 24 SSOP 1 1 C, D/B, R, S. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADS1240E.. 121-4420●
1241 TI 28 SSOP 1 – C, D/B, R, S. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADS1241E 121-4422●
1242 BB 16 TSSOP 1 – C, D/B, R, S. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADS1242IPW 110-6056● 1
1251 BB 8 SOIC 1 – C, R, S . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADS1251U 110-3104●
1251 TI 8 SOIC 1 – C, R, S . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADS1251UG4 932-4097●
1252 TI 8 SOIC 1 0.4 C, R . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADS1252U 120-2022●
245
246 farnell.com element14.com
625657
246
farnell.com element14.com 247
Semiconductors
1290 LT 20 0.75 13 DIP ADC, 12-Bit, 50kHz Max Throughput Rate LTC1290DCN#PBF 133-0823●
1293 LT 16 – – SOIC 12-Bit ADC LTC1293DCSW#PBF 166-3608●
1294 LT 20 0.75 12 DIP ADC, 12-Bit, 46.5kHz Max Throughput Rate LTC1294CCN#PBF 133-0825●
1294 LT 20 0.75 12 DIP ADC, 12-Bit, 46.5kHz Max Throughput Rate LTC1294DCN#PBF 133-0826●
1296 LT 20 0.75 12 DIP ADC, 12-Bit, 46.5kHz Max Throughput Rate LTC1296BCN#PBF 133-0827●
1296 LT 20 0.75 12 DIP ADC, 12-Bit, 46.5kHz Max Throughput Rate LTC1296DCN#PBF 133-0829●
1298 LT 8 0.75 60 DIP 2-Channel, C, MC, S, S/A, S/H LTC1298CN8#PBF 956-1048●
1298 LT 8 0.75 60 SOIC MC, S, S/A, S/H LTC1298CS8#PBF 956-1056●
1377 MAX 20 – – TQFN Dual 12Bit 1.25Msps Simultaneous-Sampling ADCs with SPI MAX1377ATP+ 167-3074●
1379 MAX 20 – – TQFN Dual 12Bit 1.25Msps Simultaneous-Sampling ADCs with SPI MAX1379ATP+ 167-3076●
1400 LT 8 1 2.1 SOIC C, R, S/H LTC1400CS8#PBF 956-1129●
1407 LT 10 0.5 – SOP 12-Bit Serial ADC with Shutdown LTC1407CMSE#PBF 133-0835●
1407 LT 10 0.5 – SOP 12-Bit Serial ADC with Shutdown LTC1407CMSE-1#PBF 133-0836● 1
1409 LT 28 1 1.25 SOIC 12-Bit, 800ksps Sampling, ADC with Shutdown LTC1409CSW#PBF 133-0837●
1594 LT 16 3 3.1 SOIC 4-Channel, C, MC, S, S/A, S/H LTC1594CS#PBF 956-1293●
1598 LT 24 – – SSOP 8 Channel 12Bit ADC LTC1598LCG#PBF 166-3649●
247
248 farnell.com element14.com
248
farnell.com element14.com 249
Semiconductors
7864 ADI 44 1 1.65 QFP 4-Channel, MC, P, R, S/A, S/H, T/H, V AD7864ASZ-1 960-3611●
7864 TI 48 1 1.75 TQFP A-D Converter, 500KHZ, 12-Bit, 6 Channel ADS7864Y 121-2287●
7866 ADI 20 1.5 800 TSSOP 2-Channel, 1MSPS, S/A, T/H AD7866ARUZ 960-5240●
7866 TI 6 1.5 – SOT-23 12 Bit ADC 200 KSPS ADS7866IDBVTG4 132-9577●
7870 ADI 24 0.5 10 DIP C, MC, P, R, S, S/A, S/H AD7870JNZ 107-9358●
7874 ADI 28 1 8 DIP 4-Ch, 116 kSPS, P, C, R, MC AD7874ANZ 143-8551●
7880 ADI 24 1 12 DIP C, S/A, T/H AD7880BNZ 107-9289●
7888 ADI 16 – – TSSOP 12-Bit 125kSPS A/D Converter AD7888ARUZ 165-1244●
7888 ADI 16 2 8 SOIC C, MC, R, S, T/H AD7888ARZ 942-5691●
7889 NSC 16 1 13 TSSOP 7-Channel, 500KSPS, C, S/A, T/H ADC78H89CIMT 977-9752●
7890 ADI 24 – – DIP LC2MOS 8-Channel 12Bit ADC AD7890ANZ-10 166-0957●
7890 NSC 16 1 13 TSSOP 8-Channel, 500KSPS, C, S/A, T/H ADC78H90CIMT 977-9744●
7891 ADI 44 1 1.6 QFP 8-Ch, 500 kSPS, MC, P, S, C AD7891ASZ-1 143-8553● 1
7892 ADI 24 1.5 1.6 SOIC 500 kSPS, T/H, S/A, MC, S, P, C AD7892ARZ-1 143-8555●
7892 ADI 24 1 1.3 DIP C, MC, R, S/A, T/H AD7892ANZ-1 107-9424●
7896 ADI 8 1 8 DIP C, S, S/A, T/H AD7896ANZ 107-9420●
249
250 farnell.com element14.com
3304 MCHIP 16 – – DIP Quad 13Bit, Differential Input, SPI Interface, 100kSPS ADC MCP3304-BI/P 185-2025●
3304 MCHIP 16 – – SOIC Quad 13Bit, Differential Input, SPI Interface, 100kSPS ADC MCP3304-BI/SL 185-2026●
7109 MCHIP 44 – – PQFP 12Bit, Differential Input Analogue to Digital Converter TC7109ACKW 185-2298●
7109 MCHIP 40 – – DIP 12Bit, Differential Input Analogue to Digital Converter TC7109ACPL 185-2299●
7109 MCHIP 44 – – PQFP 12Bit, Differential Input Analogue to Digital Converter TC7109CKW 185-2300●
267450 INTS 8 – – 12Bit, 1MSPS SAR ADC ISL267450AIUZ 211-1961●
627846
1 14
14
NSC
NSC
60
32
LLP
LLP
–
–
–
–
Dual 14Bit 80MSPS ADC with Serial LVDS O/P
14Bit 105 MSPS ADC
ADC14DS080CISQ/NOPB
ADC14C105CISQE/NOPB
167-3966●
167-3963●
111 MAX 16 DIP – – 14Bit ADC, Dual, Serial MAX111BEPE+ 171-4927●
111 MAX 16 SOIC – – 14Bit ADC, 2Ch, Serial MAX111BEWE+ 171-4968●
Integrated Circuits & Development Kits
250
farnell.com element14.com 251
Semiconductors
1100 TI 6 SOT-23 1 – AD Converter, 16-bit ADS1100A1IDBVT. 932-4062●
1100 TI 6 SOT-23 1 – A-D Converter,16-Bit ADS1100A2IDBVT. 120-6858●
1110 TI 6 SOT-23 1 – A-D Converter,16-Bit, SigmA-Delta ADS1110A0IDBVT.. 120-6859●
1110 TI 6 SOT-23 1 – A-D Converter,16-Bit, SigmA-Delta ADS1110A1IDBVT. 120-6860●
1110 TI 6 SOT-23 1 – A-D Converter,16-Bit, SigmA-Delta ADS1110A2IDBVT. 120-6861●
1112 TI 10 SOP 8 1 16-Bit 240SPS ADC, 2 CH. DIFF/3 Single-END Input, Low Power COMPLETE ADS1112IDGST.
SYSTEM IN MSOP-10 123-4811●
1132 MAX 20 SSOP – – 16Bit ADC, 200kSPS, 5V MAX1132BEAP+ 171-4871●
1133 MAX 20 SSOP – – 16Bit ADC, 200kSPS, 5V MAX1133BEAP+ 171-4952●
1134 MAX 20 SSOP – – 16Bit ADC, 150kSPS, 3.3V MAX1134BEAP+ 171-4870●
1135 MAX 20 SSOP – – 16Bit ADC, 150kSPS, 3.3V MAX1135BEAP+ 171-4953●
1174 TI 64 QFP – – 16Bit Quad ADC with Simultaneous Sampling ADS1174IPAPT 160-3389●
1178 TI 64 QFP – – 16Bit Octal ADC with Simultaneous Sampling ADS1178IPAPT 160-3390●
1407 MAX 28 SSOP – 1.6 16Bit 4 Channel DAS with 1.25V Internal Reference & RTC MAX1407CAI+ 160-9601●
1
1601 TI 48 TQFP 0.75 800 1.25MSPS, C, Delta SigmA ADS1601IPFBT 996-8881●
1602 TI 48 TQFP 0.75 400 2.5MSPS, C, Delta SigmA ADS1602IPFBT 996-8903●
251
252 farnell.com element14.com
7688 ADI 10 SOP 0.4 1.6 500 KSPS 16- Bit Differential PulSAR® A/D Converter in μSOIC/QFN AD7688BRMZ 111-7897●
7701 ADI 20 SOIC – – 16Bit 4kSPS A/D Converter AD7701ARZ 165-1253●
7701 ADI 20 DIP 0.125 250 C, MC, S, S/H, SigmA Delta AD7701ANZ 960-4430●
7705 ADI 16 DIP – 1 2-Channel, C, R, S, SigmA Delta AD7705BNZ 960-3620●
7706 ADI 16 DIP – 1 3-Channel, C, R, S, SigmA Delta AD7706BNZ 107-9294●
7707 ADI 20 SOIC – 1 3-Channel, C, MC, S, SigmA Delta AD7707BRZ 960-4251●
7708 ADI 28 TSSOP – – 16Bit 1.365kSPS A/D Converter AD7708BRUZ 165-1254●
7708 ADI 28 SOIC – – 1.365 kSPS, 8-/10-Channel, S AD7708BRZ 143-8853●
7709 ADI 24 TSSOP – – 105 SPS, S AD7709BRUZ 143-8854●
7715 ADI 16 SOIC – – 16Bit 0.5kSPS A/D Converter AD7715ARZ-5 165-1252●
7715 ADI 16 DIP – – 500 SPS, S, MC, C AD7715ANZ-5 143-8543●
7715 ADI 16 DIP – 2.5 3V, C, R, S, SigmA Delta AD7715ANZ-3 107-9423●
7790 ADI 10 SOP – – 120 SPS, S AD7790BRMZ 143-8545●
1 7791 ADI 10 SOP – – S AD7791BRMZ 158-1942●
7792 ADI 16 TSSOP – 2000 2-Channel, 500SPS, R, S, SigmA-Delta AD7792BRUZ 107-8330●
7795 ADI 24 TSSOP – – 6-Channel, Low Noise, Low Power, 16-Bit SigmA-Delta A/D Converter With AD7795BRUZ
Integrated Circuits & Development Kits
252
farnell.com element14.com 253
414039
Price Each
Mftr. Pins Pins Features Mftrs. List No. Order Code 1+ 10+ 100+ 250+ 500+
3, 31⁄2, 33⁄4, 41⁄2 Digit A/D
7106 INTS 40 DIP 3.5 Digits, Integrating, CMOS, Single chip, Drives LCD Display . . . . . . . . . . . . . . ICL7106CPLZ 966-3550●
7106 MCHIP 40 DIP 3.5 Digit, Differential Input, Parallel Interface LCD Driver ADC . . . . . . . . . . . . . . . TC7106ACPL 185-2295●
7106 MCHIP 40 DIP 3.5 Digit, Differential Input, Parallel Interface LCD Driver ADC . . . . . . . . . . . . . . . TC7106CPL.. 185-2296●
7107 MCHIP 40 DIP 3.5 Digit, Differential Input, Parallel Interface LED Driver ADC . . . . . . . . . . . . . . . TC7107ACPL 185-2297●
7107 INTS 40 PDIP 3.5 Digit LED Display Driver & A/D Converter . . . . . . . . . . . . . . . . . . . . . . . . . . . ICL7107RCPLZ 156-1988●
7107 INTS 40 DIP 3.5 Digits, Integrating, CMOS, Single chip, Drives LED Display . . . . . . . . . . . . . . ICL7107CPLZ 966-3568●
7107 MCHIP 40 DIP 3½ Digits, Integrating, CMOS, Single chip, Drives LED Display . . . . . . . . . . . . . . TC7107CPL... 119-6783●
7116 MCHIP 40 DIP 3.5 Digit, Differential Input, Parallel Interface LCD Driver ADC . . . . . . . . . . . . . . . TC7116ACPL 185-2301●
7117 MCHIP 40 DIP 3.5 Digit, Differential Input, Parallel Interface LED Driver ADC . . . . . . . . . . . . . . . TC7117ACPL 185-2302●
7126 INTS 40 DIP 3.5 Digits, Integrating, CMOS, Single chip, Low Power, Drives LCD Display . . . . ICL7126CPLZ 966-3576●
7126 MCHIP 40 DIP 3½ Digits, Integrating, CMOS, Single chip, Low Power, Drives LCD Display . . . . TC7126CPL.. 119-6784●
Semiconductors
7126 MCHIP 40 DIP 3.5 Digit, Differential Input, Parallel Interface LCD Driver ADC . . . . . . . . . . . . . . . TC7126ACPL 185-2303●
7129 MCHIP 40 DIP 4.5 Digit, Differential Input, Parallel Interface LCD Driver ADC . . . . . . . . . . . . . . . TC7129CPL 185-2304●
7135 TI 28 DIP 4½ Digits, Integrating, CMOS, multiplexed BCD output . . . . . . . . . . . . . . . . . . . . ICL7135CNG4 135-8140●
7135 INTS 28 DIP 4.5 Digits, Integrating, CMOS, multiplexed BCD output . . . . . . . . . . . . . . . . . . . . ICL7135CPIZ 966-3584●
7136 INTS 44 PQFP 3.5 Digits, Integrating, CMOS, Single chip, Low Power, Drives LCD Display . . . . ICL7136CM44Z 966-3592●
7136 INTS 40 DIP 3.5 Digits, Integrating, CMOS, Single chip, Low Power, Drives LCD Display . . . . ICL7136CPLZ 966-3606●
Key to Features: C = CMOS, D/B = Double-Buffered, L = Latches, M = Multiplying, MC = Microprocessor Compatible, MP = Micropower, P = Parallel O/P, R = Internal Reference, R2R = Rail-to-Rail output, S = Seri-
al O/P, S/A = Successive Approximation, S/H = Sample and Hold, T = Temp. Sensor, T/H = Track and Hold, V = Voltage O/P
414054
A/D Converters
508890
1
Linearity Conversion
Error Time ± 1⁄2 Price Each
Mftr. Pins Pkg ± LSB LSB, μs Features Mftrs. List No. Order Code 1+ 10+ 100+ 250+ 500+
253
254 farnell.com element14.com
2632 8 TSOT-23 2.5 400μA Supply, 12bit, Dual DAC with I2C and SPI ........ LTC2632HTS8-LZ12#TRMPBF 189-8622●
2632 8 TSOT-23 2.5 400μA Supply, 12bit, Dual DAC with I2C and SPI ........ LTC2632HTS8-LX12#TRMPBF 189-8617●
2632 8 TSOT-23 2.5 400μA Supply, 12bit, Dual DAC with I2C and SPI ........ LTC2632HTS8-LI12#TRMPBF 189-8614●
16-Bit A/D
2704 44 SSOP 2 500nA Supply, 16bit, Quad Voltage Output DAC. . . . . . . . . . LTC2704CGW-16#PBF 189-8604●
2704 44 SSOP 2 500nA Supply, 16bit, Quad Voltage Output DAC. . . . . . . . . . LTC2704IGW-16#PBF 189-8605●
660212
6/LFCSP Serial Microwire, QSPI, SPI 10bit — 75μA 2.7V to 5.5V — AD5611ACPZ 209-6188●
6/TSSOP Serial Microwire, QSPI, SPI 10bit — 75μA 2.7V to 5.5V — AD5611BKSZ 209-6189●
16/TSSOPSerial 3-Wire, Serial 12bit 125kSPS 4mA — 4.5V to 5.5V AD5024BRUZ 206-7817●
14/TSSOPSerial 3-Wire, Serial 12bit 1.5MSPS 2.2mA — 4.5V to 5.5V AD5025BRUZ 206-7818●
6/SC-70 Serial SPI 12bit 1.7MSPS 75μA 2.7V to 5.5V — AD5621BKSZ-500RL7 207-4859●
16/TSSOPSerial SPI 12bit 25MSPS 590μA 2.7V to 5.5V — AD5684RARUZ 211-2657●
16/TSSOPSerial SPI 12bit 25MSPS 590μA 2.7V to 5.5V — AD5684RBRUZ 211-2658●
6/LFCSP Serial Microwire, QSPI, SPI 12bit — 75μA 2.7V to 5.5V — AD5621ACPZ 209-6187●
16/TSSOPSerial I2C 12bit — 590μA 2.7V to 5.5V — AD5694RARUZ 211-2663●
16/TSSOPSerial I2C 12bit — 590μA 2.7V to 5.5V — AD5694RBRUZ 211-2664●
64/LFCSP Serial Microwire, QSPI, SPI 12bit — 8.6mA — 2.7V to 5.5V AD5735ACPZ 200-8771●
64/LFCSP Serial Microwire, QSPI, SPI 12bit — 7mA — 2.7V to 5.5V AD5737ACPZ 200-8772●
16/TSSOPSerial 3-Wire, Serial 14bit 125kSPS 4mA — 4.5V to 5.5V AD5044BRUZ 206-7820●
8/SOT-23 Serial 3-Wire, Serial 14bit 250kSPS 1mA — 2.7V to 5.5V AD5040BRJZ-500RL7 206-7819●
14/TSSOPSerial 3-Wire, Serial 14bit 1.5MSPS 2.2mA — 4.5V to 5.5V AD5045BRUZ 206-7822●
16/TSSOPSerial SPI 14bit 25MSPS 590μA 2.7V to 5.5V — AD5685RARUZ 211-2659●
16/TSSOPSerial SPI 14bit 25MSPS 590μA 2.7V to 5.5V — AD5685RBRUZ 211-2660●
40/CSP Parallel, SerialSerial, SPI 14bit 125MSPS11mA 1.7V to 3.5V 1.7V to 1.9V AD9717BCPZ 209-9725●
164/CSP — SPI 14bit 2.4GSPS 45mA 1.71V to 1.89V, 3.13V to 3.47V 1.71V to 1.89V, 3.13V to 3.47V AD9789BBCZ 207-4860●
6/TSSOP Serial Microwire, QSPI, SPI 14bit — 75μA 2.7V to 5.5V — AD5641BKSZ 209-6186●
16/TSSOPSerial I2C 14bit — 590μA 2.7V to 5.5V — AD5695RARUZ 211-2665●
16/TSSOPSerial I2C 14bit — 590μA 2.7V to 5.5V — AD5695RBRUZ 211-2666●
6/LFCSP Serial Microwire, QSPI, SPI 14bit — 75μA 2.7V to 5.5V — AD5641ACPZ 209-6185●
24/TSSOPSerial 3-Wire, Serial 16bit 40kSPS 2.5mA 10.8V to 40V 2.7V to 5.5V AD5422BREZ 206-7762●
14/TSSOPSerial 3-Wire, Serial 16bit 125kSPS 4mA — 4.5V to 5.5V AD5064ARUZ-1 206-7837●
16/TSSOPSerial 3-Wire, Serial 16bit 125kSPS 4mA — 4.5V to 5.5V AD5064BRUZ 206-7836●
14/TSSOPSerial 3-Wire, Serial 16bit 125kSPS 4mA — 4.5V to 5.5V AD5064BRUZ-1 206-7838●
14/TSSOPSerial 3-Wire, Serial 16bit 1.5MSPS 2.2mA — 4.5V to 5.5V AD5065BRUZ 206-7840●
8/SOT-23 Single Ended Serial 16bit 125kSPS 550μA 2.7V to 5.5V — AD5660ARJZ-1500RL7209-4206●
8/SOT-23 Single Ended Serial 16bit 125kSPS 550μA 2.7V to 5.5V — AD5660ARJZ-2500RL7209-4211●
8/SOT-23 Single Ended Serial 16bit 125kSPS 550μA 2.7V to 5.5V — AD5660ARJZ-3500RL7209-4212●
8/SOT-23 Single Ended Serial 16bit 125kSPS 550μA 2.7V to 5.5V — AD5660BRJZ-1500RL7209-4205●
8/SOT-23 Single Ended Serial 16bit 125kSPS 550μA 2.7V to 5.5V — AD5660BRJZ-2500RL7209-4209●
8/SOT-23 Single Ended Serial 16bit 125kSPS 550μA 2.7V to 5.5V — AD5660BRJZ-3500RL7209-4210●
8/MSOP Single Ended Serial 16bit 125kSPS 550μA 2.7V to 5.5V — AD5660CRMZ-1 209-4203●
8/MSOP Single Ended Serial 16bit 125kSPS 550μA 2.7V to 5.5V — AD5660CRMZ-2 209-4207●
8/MSOP Single Ended Serial 16bit 125kSPS 550μA 2.7V to 5.5V — AD5660CRMZ-3 209-4208●
28/SOIC Parallel — 16bit 167kSPS — — 13.5V to 16.5V AD669ARZ 206-7763●
28/SOIC Parallel Parallel 16bit 167kSPS 12mA ± 13.5V to ± 16.5V — AD669BRZ 207-4861●
8/SOT-23 Serial 3-Wire, Serial 16bit 250kSPS 1mA — 2.7V to 5.5V AD5060ARJZ-1500RL7206-7823●
8/SOT-23 Serial 3-Wire, Serial 16bit 250kSPS 1mA — 2.7V to 5.5V AD5060ARJZ-2500RL7206-7824●
8/SOT-23 Serial 3-Wire, Serial 16bit 250kSPS 1mA — 2.7V to 5.5V AD5060BRJZ-1500RL7206-7825●
8/SOT-23 Serial 3-Wire, Serial 16bit 250kSPS 1mA — 2.7V to 5.5V AD5060BRJZ-2500RL7206-7826●
254
farnell.com element14.com 255
Semiconductors
10/LFCSP Serial Microwire, QSPI, SPI 16bit — 125μA 2.7V to 5.5V — AD5541ABCPZ 209-6194●
64/LFCSP Serial Microwire, QSPI, SPI 16bit — 8.6mA 9V to 33V 2.7V to 5.5V AD5755BCPZ 209-6196●
24/LFCSP — Microwire, QSPI, SPI 18bit — 10.3mA 7.5V to 16.5V 2.7V to 5.5V AD5780ACPZ 207-7686●
24/LFCSP — Microwire, QSPI, SPI 18bit — 10.3mA 7.5V to 16.5V 2.7V to 5.5V AD5780BCPZ 207-7687●
20/TSSOP Serial Serial 20bit 1MSPS 4.2mA 7.5V to 16.5V 2.7V to 5.5V AD5791ARUZ 207-4863●
24/LFCSP — Microwire, QSPI, SPI 20bit — 10.3mA 7.5V to 16.5V 2.7V to 5.5V AD5790BCPZ 207-7688●
80/LQFP Serial I2C, SPI 24bit 192kSPS 82mA 3V to 5.5V 2.25V to 3.6V ADAU1966WBSTZ 206-5946●
414040
Key to Features: C = CMOS, D/B = Double-Buffered, L = Latches, M = Multiplying, MC = Microprocessor Compatible, MP = Micropower, P = Parallel O/P, R = Internal Reference, R2R = Rail-to-Rail output,
S = Serial O/P, S/A = Successive Approximation, S/H = Sample and Hold, T = Temp. Sensor, T/H = Track and Hold, V = Voltage O/P
LinearityConversion
Error Time ± 1⁄2 Price Each
Mftr. PinsPkg ± LSB LSB, μs Features Mftrs. List No. Order Code 1+ 10+ 100+ 250+ 500+
8-Bit D/A
08 ADI 16 DIP 1 0.15 M............................................... DAC08CPZ 960-4448●
08 ADI 16 SOIC 1 0.15 M............................................... DAC08CSZ 942-6116●
81 NSC 6 TSOT – 3 8Bit DAC R/R O/P . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DAC081S101CIMK/NOPB 168-5344●
81 NSC 8 MSOP – 3 8Bit Micro Power DAC with I2C. . . . . . . . . . . . . . . . . . . . . . . . . DAC081C085CIMM/NOPB 168-5397●
081 NSC 8 MSOP 0.04 3 R2R, μWire . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DAC081S101CIMM 110-1194●
82 NSC 10 MSOP 0.18 3 8-Bit Micro Power DUAL Digital-to-Analog Converter with Rail- DAC082S085CIMM
to-Rail Output . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 131-2535●
84 NSC 10 MSOP 0.18 3 8-Bit Micro Power QUAD Digital-to-Analog Converter with Rail- DAC084S085CIMM
to-Rail Output . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 131-2536●
500 MAX 16 DIP 1 4.5 (Quad) C, D/B, L, V . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . MAX500ACPE+ 972-6373●
517 MAX 8 SOIC 1 1 D/B, R, R2R, V . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . MAX517ACSA+ 972-5644●
517 MAX 8 DIP 1 1 D/B, R, R2R, V . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . MAX517BCPA+ 972-6420●
519 MAX 16 DIP – 6.0 8 Bit DAC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . MAX519ACPE+ 142-2334●
521 MAX 20 DIP 1 6 D/B, L, R2R, S, V . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . MAX521ACPP+ 972-6268●
557 ADI 16 DIP 1 1.5 L, MC, P, R, V. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD557JNZ 960-4472●
558 ADI 16 PDIP 0.5 0.8 1.25 MSPS, V, MC, C . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD558KNZ 143-8378●
558 ADI 16 DIP 0.5 1.5 L, MC, P, R, V. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD558JNZ 107-9362●
800 NSC 16 MDIL – 100 8-Bit DAC High Speed Current O/P . . . . . . . . . . . . . . . . . . . . . . DAC0800LCN/NOPB 156-4677●
800 NSC 16 NSOIC – 100 8-Bit DAC with Current O/P . . . . . . . . . . . . . . . . . . . . . . . . . . . . DAC0800LCM/NOPB 156-4704●
0800NSC 16 DIP 0.5 0.15 DAC, 8-bit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DAC0800LCN 948-6640●
0808NSC 16 DIP 0.5 0.15 M............................................... DAC0808LCN 948-6658●
830 NSC 20 MDIP – 1 8-Bit [ILLEGAL CHAR:U+3bc]P Compatible DAC . . . . . . . . . . . DAC0830LCN/NOPB 156-4697●
0832NSC 20 DIP 0.5 1 C, D/B, L, M . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DAC0832LCN 948-6666●
908 TI 28 TSSOP – 30 8Bit DAC 165MSPS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DAC908E.. 164-8628●
1320MCHIP8 SOIC – 10 8 Bit DAC with Two-Wire Interface . . . . . . . . . . . . . . . . . . . . . . TC1320EOA 162-7182●
1329LT 8 SOIC 0.9 – DAC, 8-Bit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC1329CS8-10#PBF 133-0830●
1329LT 8 SOIC 0.9 – DAC, 8-Bit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC1329CS8-50#PBF 133-0831●
255
256 farnell.com element14.com
5300ADI 6 SOT-23 – 4 2.7/ 5.5V R/R Voltage Output 8Bit DAC . . . . . . . . . . . . . . . . . . AD5300BRTZ-500RL7 165-1259●
5300ADI 8 MSOP 1 4 MC, R, R2R, S, V . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD5300BRMZ 107-9290●
5301ADI 8 MSOP 0.02 8 C, V, R2R, S . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD5301BRMZ 960-3956●
5302ADI 10 MSOP 1 6 Dual 167 kSPS, Serial Input, V . . . . . . . . . . . . . . . . . . . . . . . . . AD5302ARMZ 143-8901●
5304ADI 10 MSOP 0.02 8 4-Channel, C, D/B, R2R, S, V . . . . . . . . . . . . . . . . . . . . . . . . . . AD5304BRMZ 942-5900●
5305ADI 10 MSOP 0.02 6 4-Channel, C, D/B, R2R, S, V . . . . . . . . . . . . . . . . . . . . . . . . . . AD5305BRMZ 960-4120●
5306ADI 16 TSSOP 0.25 6 4-Channel, C, D/B, R2R, S, V . . . . . . . . . . . . . . . . . . . . . . . . . . AD5306BRUZ 942-5918●
5308ADI 16 TSSOP 0.75 6 8-Channel, D/B, R2R, V . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD5308BRUZ 942-6337●
5311TI 6 SC-70 – 6 8Bit Single Channel DAC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DAC5311IDCKT 167-9760●
5330ADI 20 TSSOP 0.25 6 C, D/B, R2R, P, V . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD5330BRUZ 960-4170●
5332ADI 20 TSSOP 0.25 6 2-Channel, C, D/B, R2R, P, V . . . . . . . . . . . . . . . . . . . . . . . . . . AD5332BRUZ 107-9340●
5334ADI 24 TSSOP 0.4 6 4-Channel, C, D/B, R2R, P, V . . . . . . . . . . . . . . . . . . . . . . . . . . AD5334BRUZ 960-4189●
5346ADI 38 TSSOP 0.15 8 8-Channel, P, V. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD5346BRUZ 158-1916●
1 5424ADI 16 TSSOP 0.25 0.6 C, M, P, V. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD5424YRUZ 158-1918●
5426ADI 10 MSOP 0.25 1 C, M, S, V. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD5426YRMZ 158-1919●
5428ADI 20 TSSOP 0.25 0.03 Dual 21.3 MSPS, L, C, M, Parallel Output . . . . . . . . . . . . . . . . . AD5428YRUZ 143-8902●
Integrated Circuits & Development Kits
5429ADI 16 TSSOP 0.5 0.03 Dual 2.47 MSPS, C, M, Serial Input . . . . . . . . . . . . . . . . . . . . . . AD5429YRUZ 143-8903●
5571TI 6 SOT-23 0.25 8 D-A Converter, 8-Bit I2C Single Channel . . . . . . . . . . . . . . . . . . DAC5571IDBVTG4 120-6846●
5578TI 24 VQFN 0.25 7 Low Power, Octal Channel, 3.4 MHz for portable battery-oper- DAC5578SRGET
ated equiptment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 186-2574●
5578TI 16 TSSOP – – Octal 8Bit, I2C Serial 2Wire, 188.88kSPS DAC . . . . . . . . . . . . . DAC5578SPW 185-5106●
5601ADI 6 SC-70 0.5 8 8-Bit nanoDAC D/A Converter, SPI Interface . . . . . . . . . . . . . . . AD5601BKSZ-500RL7 111-7913●
5620TI 14 SOIC 0.9 10 8-Bit D-A Converter, Quad. . . . . . . . . . . . . . . . . . . . . . . . . . . . . TLC5620CD 845-4485●
5620TI 14 PDIP 0.9 10 8-Bit D-A Converter, Quad. . . . . . . . . . . . . . . . . . . . . . . . . . . . . TLC5620CN 845-4493●
5620TI 14 SOIC 0.9 10 8-Bit D-A Converter, Quad. . . . . . . . . . . . . . . . . . . . . . . . . . . . . TLV5620CD 845-5694●
5620TI 14 SOIC 0.9 10 8-Bit D-A Converter, Quad. . . . . . . . . . . . . . . . . . . . . . . . . . . . . TLV5620ID 845-5716●
5621TI 14 SOIC 0.9 10 8-Bit D-A Converter, Quad. . . . . . . . . . . . . . . . . . . . . . . . . . . . . TLV5621ID 845-5724●
5623TI 8 SOIC 0.2 3 8-Bit D-A Converter. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . TLV5623ID 845-5732●
5625TI 8 SOIC 0.5 3 8-Bit, 2.5 OR 12 US Dual DAC, SERIAL Input, PGRmABLE SET- TLV5625CDG4
TLING TIME . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 123-4865●
5626TI 8 SOIC 0.5 0.8 8-Bit D-A Converter, Dual . . . . . . . . . . . . . . . . . . . . . . . . . . . . . TLV5626ID 845-5759●
5628TI 16 SOIC 1 10 8 Bit Octal DAC. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . TLC5628CDWG4 123-4676●
5628TI 16 PDIP 0.9 10 8-Bit D-A Converter, Quad. . . . . . . . . . . . . . . . . . . . . . . . . . . . . TLC5628CN 845-4515●
5628TI 16 SOIC 0.9 10 8-Bit D-A Converter, OCTAL . . . . . . . . . . . . . . . . . . . . . . . . . . . TLV5628CDW 845-5767●
5641TI 28 SOIC 0.25 35 C, R . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . THS5641AIDWG4 121-4392●
7111ADI 16 PDIP – – Logarithmic, M, C, L, MC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD7111ABNZ 143-8380●
7125ADI 48 LQFP – – 330MHz Triple 8Bit High Speed Video DAC . . . . . . . . . . . . . . . . ADV7125KSTZ50 166-1047●
7224ADI 18 PDIP 1 5 143 kSPS, V, D/B, MC, C . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD7224KNZ 143-8381●
7225ADI 24 PDIP 1 5 Quad, 200 kSPS, C, V, D/B, MC . . . . . . . . . . . . . . . . . . . . . . . . AD7225KNZ 143-8382●
7226ADI 20 DIP 1 5 4-Channel, C, L, M, MC, P, V . . . . . . . . . . . . . . . . . . . . . . . . . . AD7226KNZ 107-9363●
7226MAX 20 DIP 1 5 4-Channel, C, L, M, MC, P, V . . . . . . . . . . . . . . . . . . . . . . . . . . MX7226KN+ 118-7978●
7226TI 20 PDIP 1 – 8-Bit D-A Converter, Quad. . . . . . . . . . . . . . . . . . . . . . . . . . . . . TLC7226CN 845-4604●
7226TI 20 SOIC 1 5 8-Bit D-A Converter, Quad. . . . . . . . . . . . . . . . . . . . . . . . . . . . . TLC7226IDW 845-4612●
7228ADI 24 PDIP 1 5 Octal, 200 kSPS, V, L, C, MC . . . . . . . . . . . . . . . . . . . . . . . . . . AD7228ABNZ 143-8386●
7228ADI 24 SOIC 1 5 Octal, 200 kSPS, V, L, C, MC . . . . . . . . . . . . . . . . . . . . . . . . . . AD7228ABRZ 143-8387●
7228ADI 24 PDIP – 5 Octal, V, L, C, MC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD7228LNZ 143-8390●
7228ADI 24 DIP 1 5 8-Channel, C, L, M, MC, P, V . . . . . . . . . . . . . . . . . . . . . . . . . . AD7228KNZ 107-9364●
7302ADI 20 TSSOP 1 1.2 833 kSPS, V, R2R, MC, R. . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD7302BRUZ 143-8403●
7302ADI 20 DIP 1 1.2 R, R2R, V, Dual Output . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD7302BNZ 107-9330●
7302ADI 20 SOIC – 1.2 2.7V/5.5 V Parallel Input Dual Voltage Output 8Bit DAC . . . . . . . AD7302BRZ. 165-1261●
7303ADI 8 DIP 1 1.2 D/B, R, R2R, S . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD7303BNZ 107-9413●
7304ADI 16 WSOIC 1 1 1 MSPS, V, R2R, D/B . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD7304YRZ 143-8404●
7305ADI 20 TSSOP – 1 3V/5V R/R Quad 8Bit DAC Parallel-IN . . . . . . . . . . . . . . . . . . . . AD7305BRUZ 165-1263●
7524ADI 16 DIP 0.5 0.4 C, L, M, MC, P . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD7524JNZ 960-5401●
7524TI 16 SOIC 0.5 5 C, L, M, MC, P . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . TLC7524CD 110-2951●
7524TI 16 DIP 0.5 0.1 C, L, M, MC, P . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . TLC7524CN 110-2997●
7524TI 16 SOIC 0.5 100 8-Bit, 0.1 Us MDAC, Parallel Input, Fast Control Signalling for TLC7524IDG4
Dsp, Easy Micro Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 123-4879●
7524TI 16 PDIP 0.5 0.1 8-Bit D-A Converter, MULTIPLYING . . . . . . . . . . . . . . . . . . . . . . TLC7524IN 845-4639●
256
farnell.com element14.com 257
LinearityConversion
Error Time ± 1⁄2 Price Each
Mftr. PinsPkg ± LSB LSB, μs Features Mftrs. List No. Order Code 1+ 10+ 100+ 250+ 500+
7528ADI 20 DIP 1 0.2 2-Channel, C, L, M, MC, P . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD7528JNZ 960-4480●
7528ADI 20 DIP 0.5 0.2 2-Channel, C, L, M, MC, P . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD7528KNZ 960-4499●
7528TI 20 PDIP 0.5 0.1 8-Bit D-A Converter, MULTIPLYING Dual . . . . . . . . . . . . . . . . . . TLC7528CN 845-4647●
7628ADI 20 WSOIC 0.5 350 2.9 MSPS, L, MC, M, C. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD7628KRZ 143-8615●
7801ADI 20 SOIC 1 2 R, R2R, V . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD7801BRZ 942-5829●
8228ADI 20 DIP 1 5 C, L, M, MC, V . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DAC8228FPZ 107-9367●
8408ADI 28 SOIC 0.5 190 Quad 5.26 MSPS, M, C, L, MC . . . . . . . . . . . . . . . . . . . . . . . . . DAC8408FSZ 143-8739●
8800ADI 20 SOIC – 0.8 Octal 8Bit D/A Converter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DAC8800FSZ 165-1260●
8800ADI 20 PDIP 0.5 0.8 Octal 1.25 MSPS, V . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DAC8800FPZ 143-8740●
8803ADI 16 SOIC 0.5 0.6 1.7 MSPS, V, MC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD8803ARZ 143-8630●
8840ADI 24 wideSOIC 1 40 8 Bit DAC Octal Channel with 4 Quadrant Multiplying CMOS DAC8840FSZ.
TrimDAC. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 155-8392●
414041
Key to Features: C = CMOS, D/B = Double-Buffered, L = Latches, M = Multiplying, MC = Microprocessor Compatible, MP = Micropower, P = Parallel O/P, R = Internal Reference, R2R = Rail-to-Rail output,
S = Serial O/P, S/A = Successive Approximation, S/H = Sample and Hold, T = Temp. Sensor, T/H = Track and Hold, V = Voltage O/P
LinearityConversion
Error Time ± 1⁄2 Price Each
Mftr. PinsPkg ± LSB LSB, μs Features Mftrs. List No. Order Code 1+ 10+ 100+ 250+ 500+
10-Bit D/A
101 NSC 6 TSOT – 4.5 10Bit Micro Power DAC with I2C . . . . . . . . . . . . . . . . . DAC101C081CIMK/NOPB 168-5398●
101 NSC 8 MSOP 0.15 8 R2R, μWire . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DAC101S101CIMM 110-1197●
108 NSC 16 TSSOP 0.35 4.5 10-Bit Micro Power OCTAL Digital-to-Analog Converter DAC108S085CIMT
Semiconductors
with Rail-to-Rail Outputs . . . . . . . . . . . . . . . . . . . . . . . 149-5063●
1321MCHIP8 NSOIC – 10 10Bit DAC with Serial Interface & Voltage O/P . . . . . . . TC1321EOA 160-5580●
1660LT 16 SSOP – 30 Octal 10Bit DAC Micropower . . . . . . . . . . . . . . . . . . . . LTC1660CGN#PBF 166-3656●
1660LT 16 SSOP – 30 Octal 10Bit DAC Micropower . . . . . . . . . . . . . . . . . . . . LTC1660IGN#PBF 166-3657●
1660LT 16 DIP 0.75 30 DAC, 10-Bit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC1660CN#PBF 133-0867●
1661LT 8 DIP – 30 Dual 10Bit DAC Micropower. . . . . . . . . . . . . . . . . . . . . LTC1661CN8#PBF 166-3658●
1661LT 8 MSOP 0.75 30 DAC, Dual 10-Bit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC1661CMS8#PBF 133-0868●
1663LT 8 MSOP – 30 10Bit R/R Micropower DAC with 2-Wire Interface . . . . . LTC1663-8IMS8#PBF 166-3659●
1663LT 5 TSOT-23 – 30 10Bit R/R Micropower DAC with 2-Wire Interface . . . . . LTC1663-1CS5#TRMPBF 166-3854●
1663LT 8 MSOP 0.75 30 DAC, 10-Bit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC1663-8CMS8#PBF 133-0870●
1663LT 8 MSOP 0.75 30 DAC, 10-Bit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC1663CMS8#PBF 133-0872●
1669LT 8 MSOP – 30 10Bit R/R Micropower DAC with I²C Interface. . . . . . . . LTC1669IMS8#PBF 166-3662●
2630LT 6 SC-70 1 3.9 R, V, D/B, L . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC2630CSC6-LZ10#PBF 143-2723●
2630LT 6 SC-70 1 4.4 R, V, D/B, L . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC2630ISC6-HZ10#PBF 143-2736● 1
2631LT 8 SOT-23 – 4.2 10Bit DAC, I2C . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC2631CTS8-HZ10#TRMPBF 171-5117●
2631LT 8 SOT-23 – 3.8 10Bit DAC, I2C . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC2631CTS8-LM10#TRMPBF 171-5118●
257
258 farnell.com element14.com
414042
Key to Features: C = CMOS, D/B = Double-Buffered, L = Latches, M = Multiplying, MC = Microprocessor Compatible, MP = Micropower, P = Parallel O/P, R = Internal Reference, R2R = Rail-to-Rail output,
S = Serial O/P, S/A = Successive Approximation, S/H = Sample and Hold, T = Temp. Sensor, T/H = Track and Hold, V = Voltage O/P
Linearity Conversion
Error Time ± 1⁄2 Price Each
Mftr. Pins Pkg ± LSB LSB, μs Features Mftrs. List No. Order Code 1+ 10+ 100+ 250+
12-Bit D/A
101 NSC 6 TSOT 0.25 8 R2R, μWire . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DAC121S101CIMK 110-1198●
121 NSC 8 MSOP – 6 12Bit DAC with R/R O/P & I2C Interface . . . . . . . . . . . . DAC121C085CIMM/NOPB 168-5356●
121 NSC 8 MSOP – 8 12Bit DAC with R/R O/P . . . . . . . . . . . . . . . . . . . . . . . . DAC121S101CIMM/NOPB 168-5394●
128 NSC 16 TSSOP 0.75 6 12BIT 8CHANNEL D/A . . . . . . . . . . . . . . . . . . . . . . . . . DAC128S085CIMT 149-5073●
312 ADI 20 DIP 1 0.5 M. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DAC312HPZ 107-9368●
1 532 MAX 16 SOIC 0.5 2.5 (Dual) M, S, V . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . MAX532ACWE+ 972-6071●
667 ADI 28 DIP 0.5 4 D/B, L, MC, P, R, V . . . . . . . . . . . . . . . . . . . . . . . . . . . AD667JNZ 960-4502●
762 TI 20 SSOP 1 7 12-Bit, Parallel Input Digital-To-Analog Converter . . . . . DAC7621E 141-7408●
767 ADI 24 DIP 0.5 4 L, MC, P, R, V . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD767JNZ 960-4510●
Integrated Circuits & Development Kits
811 TI 28 CDIP 0.5 3 12 Bit DAC R/R with Microprocessor Interface Voltage DAC811AH
O/P . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 156-4877●
811 TI 28 PDIP – 3 Microprocessor-Compatible 12-Bit Digital-to-Analog DAC811KP
Converter. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 146-0248●
1257 LT 8 SOIC – 6 12Bit Voltage Output DAC Single Supply . . . . . . . . . . . . LTC1257CS8#PBF 166-3607●
1257 LT 8 DIP 0.5 6 MC, S, V . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC1257CN8#PBF 956-0963●
1446 LT 8 SOIC – 14 Dual 12Bit R/R Micropower DAC . . . . . . . . . . . . . . . . . LTC1446LCS8#PBF 166-3627●
1446 LT 8 DIP 0.5 14 2-Channel, R, R2R . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC1446CN8#PBF 956-1153●
1446 LT 8 SOIC 0.5 14 2-Channel, MP, R, R2R . . . . . . . . . . . . . . . . . . . . . . . . LTC1446CS8#PBF 956-1161●
1448 LT 8 SOIC – 14 Dual 12Bit R/R Micropower DAC . . . . . . . . . . . . . . . . . LTC1448CS8#PBF 166-3629●
1448 LT 8 DIP 0.5 14 DAC, Dual 12-Bit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC1448CN8#PBF 133-0844●
1450 LT 24 DIP 0.5 14 DAC, 12-Bit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC1450CN#PBF 133-0845●
1451 LT 8 DIP 0.5 14 C, MP, R, R2R . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC1451CN8#PBF 956-1170●
1451 LT 8 SOIC 0.5 14 C, MP, R, R2R . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC1451CS8#PBF 956-1188●
1451 LT 8 SOIC 0.5 14 C, MP, R, R2R . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC1451IS8#PBF 956-1196●
1452 LT 8 DIP 0.5 14 C, M, R2R . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT1452CN8#PBF 956-0521●
1452 LT 8 DIP 0.5 14 M, MP, R2R. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC1452CN8#PBF 956-1200●
1453 LT 8 SOIC – 14 Dual 12Bit R/R Micropower DAC . . . . . . . . . . . . . . . . . LTC1453CS8#PBF 166-3631●
1454 LT 16 DIP 0.5 14 DAC, Dual 12-Bit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC1454CN#PBF 133-0848●
1458 LT 28 SOIC 0.5 14 4-Channel, 5V, MP, R, S . . . . . . . . . . . . . . . . . . . . . . . LTC1458CSW#PBF 956-1218●
1590 LT 16 SOIC – 0.3 Dual Serial 12Bit Multiplying DAC . . . . . . . . . . . . . . . . . LTC1590CS#PBF 166-3647●
1590 LT 16 DIP 0.5 – DAC, Dual 12-Bit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC1590CN#PBF 133-0852●
1659 LT 8 MSOP 0.5 – DAC, 12-Bit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC1659CMS8#PBF 133-0866●
2620 LT 16 SSOP – 7 Octal 12Bit R/R DAC . . . . . . . . . . . . . . . . . . . . . . . . . . LTC2620CGN#PBF 166-3697●
2620 LT 20 QFN – 7 12Bit Octal DAC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC2620CUFD#PBF 169-6226●
2622 LT 8 MSOP 1 7 DAC, Dual 12-Bit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC2622CMS8#PBF 133-0954●
2626 LT 10 DFN 1 2.7 DAC, 12-Bit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC2626CDD#PBF 133-0955●
2626 LT 10 DFN 1 2.7 DAC, 12-Bit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC2626CDD-1#PBF 133-0956●
2627 LT 12 DFN 1 7 DAC, Dual 12-Bit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC2627CDE#PBF 133-0957●
2627 LT 12 DFN 1 7 DAC, Dual 12-Bit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC2627CDE-1#PBF 133-0958●
2630 LT 6 SC-70 1 4.8 R, V, D/B, L . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC2630ACSC6-HM12#PBF 143-2706●
2630 LT 6 SC-70 1 4.8 R, V, D/B, L . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC2630AHSC6-HM12#PBF 143-2711●
2630 LT 6 SC-70 1 4.4 R, V, D/B, L . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC2630AHSC6-LZ12#PBF 143-2714●
2630 LT 6 SC-70 1 4.8 R, V, D/B, L . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC2630AISC6-HZ12#PBF 143-2716●
2630 LT 6 SC-70 1 4.4 R, V, D/B, L . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC2630AISC6-LM12#PBF 143-2717●
2630 LT 6 SC-70 1 4.4 R, V, D/B, L . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC2630AISC6-LZ12#PBF 143-2718●
2630 LT 6 SC-70 2 4.8 R, V, D/B, L . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC2630CSC6-HM12#PBF 143-2719●
2630 LT 6 SC-70 2 4.8 R, V, D/B, L . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC2630CSC6-HZ12#PBF 143-2721●
2630 LT 6 SC-70 1 4.4 R, V, D/B, L . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC2630CSC6-LZ12#PBF 143-2724●
2630 LT 6 SC-70 2 4.4 R, V, D/B, L . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC2630ISC6-LM12#PBF 143-2739●
2631 LT 8 SOT-23 – 4.6 12Bit DAC, I2C. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC2631AITS8-HZ12#TRMPBF 171-5115●
2631 LT 8 SOT-23 – 4.1 12Bit DAC, I2C. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC2631AITS8-LM12#TRMPBF 171-5116●
258
farnell.com element14.com 259
Linearity Conversion
Error Time ± 1⁄2 Price Each
Mftr. Pins Pkg ± LSB LSB, μs Features Mftrs. List No. Order Code 1+ 10+ 100+ 250+
12-Bit D/A
2631 LT 8 SOT-23 – 4.6 12Bit DAC, I2C. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC2631ITS8-HZ12#TRMPBF 171-5120●
2631 LT 8 SOT-23 – 4.1 12Bit DAC, I2C. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC2631ITS8-LM12#TRMPBF 171-5121●
2634 LTC 10 MSOP – 4.2 12Bit DAC, SPI, Quad. . . . . . . . . . . . . . . . . . . . . . . . . . LTC2634IMSE-HZ12#PBF 171-0891●
2634 LTC 16 QFN – 4.2 12Bit DAC, SPI, Quad. . . . . . . . . . . . . . . . . . . . . . . . . . LTC2634IUD-HMX12#PBF 171-0902●
2634 LTC 16 QFN – 4.2 12Bit DAC, SPI, Quad. . . . . . . . . . . . . . . . . . . . . . . . . . LTC2634IUD-HZ12#PBF 171-0905●
2634 LTC 16 QFN – 4.2 12Bit DAC, SPI, Quad. . . . . . . . . . . . . . . . . . . . . . . . . . LTC2634IUD-LMI12#PBF 171-0907●
2634 LTC 16 QFN – 4.2 12Bit DAC, SPI, Quad. . . . . . . . . . . . . . . . . . . . . . . . . . LTC2634IUD-LMX12#PBF 171-0909●
2634 LTC 16 QFN – 4.2 12Bit DAC, SPI, Quad. . . . . . . . . . . . . . . . . . . . . . . . . . LTC2634IUD-LZ12#PBF 171-0911●
2635 LTC 10 MSOP – – Quad 12Bit, I2C, 2Wire Serial Interface DAC . . . . . . . . . LTC2635HMSE-HMI12#PBF 183-9178●
2635 LTC 10 MSOP – – Quad 12Bit, I2C, 2Wire Serial Interface DAC . . . . . . . . . LTC2635HMSE-LMI12#PBF 183-9182●
2635 LTC 10 MSOP – – Quad 12Bit, I2C, 2Wire Serial Interface DAC . . . . . . . . . LTC2635HMSE-LMO12#PBF 183-9185●
2635 LTC 10 MSOP – – Quad 12Bit, I2C, 2Wire Serial Interface DAC . . . . . . . . . LTC2635HMSE-LMX12#PBF 183-9188●
2635 LTC 10 MSOP – – Quad 12Bit, I2C, 2Wire Serial Interface DAC . . . . . . . . . LTC2635HMSE-LZ12#PBF 183-9191●
2635 LTC 16 QFN – – Quad 12Bit, I2C, 2Wire Serial Interface DAC . . . . . . . . . LTC2635HUD-HMI12#PBF 183-9194●
2635 LTC 16 QFN – – Quad 12Bit, I2C, 2Wire Serial Interface DAC . . . . . . . . . LTC2635HUD-LMI12#PBF 183-9200●
2635 LTC 16 QFN – – Quad 12Bit, I2C, 2Wire Serial Interface DAC . . . . . . . . . LTC2635HUD-LMO12#PBF 183-9204●
2635 LTC 16 QFN – – Quad 12Bit, I2C, 2Wire Serial Interface DAC . . . . . . . . . LTC2635HUD-LMX12#PBF 183-9207●
2635 LTC 16 QFN – – Quad 12Bit, I2C, 2Wire Serial Interface DAC . . . . . . . . . LTC2635HUD-LZ12#PBF 183-9210●
2636 LT 16 MSOP 2.5 4.4 12Bit Octal DAC, SPI . . . . . . . . . . . . . . . . . . . . . . . . . . LTC2636IMS-LMX12#PBF 169-6310●
2636 LT 14 DFN 2.5 4.8 12Bit Octal DAC, SPI . . . . . . . . . . . . . . . . . . . . . . . . . . LTC2636IDE-HMI12#PBF 169-6311●
2636 LT 14 DFN 2.5 4.8 12Bit Octal DAC, SPI . . . . . . . . . . . . . . . . . . . . . . . . . . LTC2636IDE-HMX12#PBF 169-6313●
2636 LT 14 DFN 2.5 4.8 12Bit Octal DAC, SPI . . . . . . . . . . . . . . . . . . . . . . . . . . LTC2636IDE-HZ12#PBF 169-6314●
Semiconductors
2640 LT 8 SOT-23 – 4.6 12Bit DAC, I2C. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC2640AITS8-HZ12#TRMPBF 171-5122●
2640 LT 8 SOT-23 – 4.1 12Bit DAC, I2C. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC2640AITS8-LM12#TRMPBF 171-5123●
2640 LT 8 SOT-23 – 4.1 12Bit DAC, I2C. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC2640AITS8-LZ12#TRMPBF 171-5125●
2640 LT 8 SOT-23 – 4.1 12Bit DAC, SPI. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC2640HTS8-LZ12#TRMPBF 171-5129●
2640 LT 8 SOT-23 – 4.6 12Bit DAC, SPI. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC2640ITS8-HZ12#TRMPBF 171-5130●
2640 LT 8 SOT-23 – 4.1 12Bit DAC, SPI. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC2640ITS8-LM12#TRMPBF 171-5131●
2640 LT 8 SOT-23 – 4.1 12Bit DAC, SPI. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC2640ITS8-LZ12#TRMPBF 171-5132●
2641 LT 8 DFN 0.5 1 R, V . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC2641CDD-12#PBF 143-2743●
2641 LT 8 DFN 0.5 1 R, V . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC2641IDD-12#PBF 143-2748●
2642 LT 10 DFN 0.5 1 R, V . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC2642CDD-12#PBF 143-2753●
2657 LTC 20 TSSOP – – Octal, 16Bit, I2C, 2Wire Serial Interface DAC. . . . . . . . . LTC2657BIFE-H16#PBF 183-9246●
2657 LTC 20 TSSOP – – Octal, 16Bit, I2C, 2Wire Serial Interface DAC. . . . . . . . . LTC2657BIFE-L16#PBF 183-9247●
2657 LTC 20 QFN – – Octal, 16Bit, I2C, 2Wire Serial Interface DAC. . . . . . . . . LTC2657BIUFD-H16#PBF 183-9248● 1
2657 LTC 20 QFN – – Octal, 16Bit, I2C, 2Wire Serial Interface DAC. . . . . . . . . LTC2657BIUFD-L16#PBF 183-9249●
2657 LTC 20 TSSOP – – Octal, 12Bit, I2C, 2Wire Serial Interface DAC. . . . . . . . . LTC2657IFE-H12#PBF 183-9250●
2657 LTC 20 TSSOP – – Octal, 12Bit, I2C, 2Wire Serial Interface DAC. . . . . . . . . LTC2657IFE-L12#PBF 183-9252●
259
260 farnell.com element14.com
ence . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 127-4269●
5624 ADI 10 MSOP 1 3 287 kSPS, Serial Input, V, R2R. . . . . . . . . . . . . . . . . . . AD5624BRMZ 143-8914●
5625 ADI 14 TSSOP 1 3 Serial Input, R2R . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD5625BRUZ 143-8915●
5625 ADI 14 TSSOP 1 3 Serial Input, R2R, R . . . . . . . . . . . . . . . . . . . . . . . . . . . AD5625RBRUZ-2 143-8916●
5626 ADI 8 MSOP 1 16 12-Bit nanoDAC with Serial Interface & Single Voltage AD5626BRMZ
O/P FSD 4.095V. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 160-7229●
5627 ADI 10 MSOP 1 3 Serial Input, V, R2R . . . . . . . . . . . . . . . . . . . . . . . . . . . AD5627BRMZ 143-8917●
5627 ADI 10 MSOP 1 3 Serial Input, V, R, R2R . . . . . . . . . . . . . . . . . . . . . . . . . AD5627RBRMZ-2 143-8919●
5628 ADI 16 TSSOP 1 3 Serial Input, V, R, R2R . . . . . . . . . . . . . . . . . . . . . . . . . AD5628BRUZ-2 143-8921●
5630 TI 20 SOIC 1 3 12-Bit D-A Converter . . . . . . . . . . . . . . . . . . . . . . . . . . TLV5630IDW 845-5775●
5630 TI 20 TSSOP 1 3 12-Bit D-A Converter . . . . . . . . . . . . . . . . . . . . . . . . . . TLV5630IPWG4 120-7302●
5638 TI 8 SOIC 1 1 12-Bit D-A Converter . . . . . . . . . . . . . . . . . . . . . . . . . . TLV5638CD 845-5848●
5638 TI 8 SOIC 1 1 12-Bit D-A Converter . . . . . . . . . . . . . . . . . . . . . . . . . . TLV5638ID 845-5856●
1 7229 TI 16 TSSOP – – 12Bit 1MHz Single/Dual Unipolar Input ADC . . . . . . . . . ADS7229IPW 164-5388●
7233 ADI 8 PDIP 1 10 100 kSPS, V, MC, C. . . . . . . . . . . . . . . . . . . . . . . . . . . AD7233ANZ 143-8391●
7237 ADI 24 DIP 1 10 2-Channel, C, D/B, L, MC, P, R, V . . . . . . . . . . . . . . . . AD7237JNZ 960-4529●
7243 ADI 16 DIP 1 0.5 MC, R, S, V . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD7243ANZ 960-5380●
Integrated Circuits & Development Kits
7245 ADI 24 PDIP 0.75 7 143 kSPS, V, R, C, MC, D/B, L . . . . . . . . . . . . . . . . . . . AD7245AANZ 143-8393●
7247 ADI 24 PDIP 1 8 V, C, D/B, MC, R . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD7247AANZ 143-8395●
7248 ADI 20 WSOIC 0.75 7 V, R, C, MC, D/B, L . . . . . . . . . . . . . . . . . . . . . . . . . . . AD7248AARZ 143-8399●
7248 ADI 20 DIP 1 10 C, D/B, L, MC, P, R, V . . . . . . . . . . . . . . . . . . . . . . . . . AD7248JNZ 107-9369●
7249 ADI 16 PDIP 1 3 125 kSPS, V, R, C, MC. . . . . . . . . . . . . . . . . . . . . . . . . AD7249ANZ 143-8400●
7249 ADI 16 WSOIC 1 3 125 kSPS, V, R, C, MC. . . . . . . . . . . . . . . . . . . . . . . . . AD7249ARZ 143-8401●
7390 ADI 8 PDIP 1.6 60 17 kSPS, C, V, D/B, MC, MP . . . . . . . . . . . . . . . . . . . . AD7390ANZ 149-8717●
7390 ADI 8 SOIC 1.6 60 17 kSPS, C, V, D/B, MC, MP . . . . . . . . . . . . . . . . . . . . AD7390ARZ 149-8718●
7392 ADI 20 WSOIC 1.8 60 17 kSPS, C, R2R, L . . . . . . . . . . . . . . . . . . . . . . . . . . . AD7392ARZ 143-8405●
7398 ADI 16 SOIC 1 6 4-Channel C, D/B, S, V. . . . . . . . . . . . . . . . . . . . . . . . . AD7398BRZ 960-4243●
7512 TI 8 VSSOP 1 10 D-A Converter, 12-Bit Buffered, Low PWR, Single. . . . . DAC7512E/250G4 120-6852●
7512 TI 6 SOT-23 1 10 12-Bit, Low-Power, Rail-to-Rail Output, Serial Input DAC7512N/250G4
DAC. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 932-4151●
7513 TI 8 VSSOP 1 10 D-A Converter, Serial, 12-Bit Low Cost . . . . . . . . . . . . . DAC7513E/250G4 120-6853●
7513 TI 8 SOT-23 1 10 12-Bit, Low-Power, Rail-to-Rail Output, Serial Input DAC7513N/250G4
DAC. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 932-4160●
7537 ADI 24 DIP 1 1.5 2-Channel, C, D/B, L, M, MC, P . . . . . . . . . . . . . . . . . . AD7537JNZ 107-9370●
7541 ADI 18 PDIP 1 0.6 M, C . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD7541AJNZ 143-8607●
7541 ADI 18 PDIP 0.5 0.6 M, C . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD7541AKNZ 143-8609●
7541 LT 18 SOIC 0.5 0.6 DAC, 12-Bit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC7541AKSW#PBF 133-0984●
7542 ADI 16 DIP 1 2 C, D/B, L, M, MC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD7542JNZ 960-4537●
7542 ADI 16 DIP 0.5 2 C, D/B, L, M, MC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD7542KNZ 960-4545●
7543 MAX 16 DIP 1 1 C, D/B, L, M, MC, S . . . . . . . . . . . . . . . . . . . . . . . . . . . MX7543JN+ 118-7981●
7545 ADI 20 PDIP 2 2 M, L, C . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD7545JNZ 143-8611●
7545 ADI 20 DIP 0.5 1 C, L, M, MC, P . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD7545AKNZ 960-4553●
7545 ADI 20 DIP 1 2 C, L, M, MC, P . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD7545KNZ 960-4561●
7547 ADI 24 DIP 1 1.5 2-Channel, C, D/B, L, M, MC, P . . . . . . . . . . . . . . . . . . AD7547JNZ 960-4570●
7548 ADI 20 DIP 1 1.5 C, MC, R . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD7548JNZ 107-9422●
7549 ADI 20 DIP 1 1.5 2-Channel, C, D/B, L, M, MC, P . . . . . . . . . . . . . . . . . . AD7549JNZ 107-9373●
7551 TI 12 SON 0.35 5 12-Bit, Ultra-Low Glitch, Voltage Output DAC . . . . . . . . DAC7551IDRNTG4 137-9267●
7553 TI 16 QFN – 5 DAC 12Bit Dual . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DAC7553IRGTT. 175-4802●
7554 TI 10 MSOP 0.08 5 12 Bit Digital to AnaLog . . . . . . . . . . . . . . . . . . . . . . . . DAC7554IDGSG4 118-0122●
7562 TI 10 SON 0.05 7 12bit, 10MSPS, Serial 3-Wire Interface Dual DAC . . . . . DAC7562SDSCT 192-4800●
7563 TI 10 SON 0.05 7 12bit, 10MSPS, Serial 3-Wire Interface Dual DAC . . . . . DAC7563SDSCT 192-4802●
7571 TI 6 SOT-23 1 10 12 Bit D-A Converter w/I2C Interface . . . . . . . . . . . . . . DAC7571IDBVTG4 120-6854●
7574 TI 10 VSSOP 1 10 12 Bit Quad D-A Converter With I2C Interface . . . . . . . . DAC7574IDGSG4 120-6855●
7568 TI 16 TSSOP – 5 12Bit DAC, Octal Ch . . . . . . . . . . . . . . . . . . . . . . . . . . . DAC7568ICPW 173-5560●
7578 TI 16 TSSOP – – Octal, 12Bit, I2C Serial, 188.88kSPS DAC . . . . . . . . . . DAC7578SPW 185-5108●
7578 TI 24 QFN 0.25 7 Low Power, Octal Channel, 3.4 MHz for portable bat- DAC7578SRGET
tery-operated equiptment . . . . . . . . . . . . . . . . . . . . . . . 186-2572●
7611 BB 8 SOIC 0.5 7 C, L, MC, R, R2R, S . . . . . . . . . . . . . . . . . . . . . . . . . . . DAC7611U 109-7419
7611 TI 8 SOIC 1 7 D-A Converter, 12-Bit Serial I/P . . . . . . . . . . . . . . . . . . DAC7611UB 121-2270●
260
farnell.com element14.com 261
Linearity Conversion
Error Time ± 1⁄2 Price Each
Mftr. Pins Pkg ± LSB LSB, μs Features Mftrs. List No. Order Code 1+ 10+ 100+ 250+
12-Bit D/A
7612 TI 8 SOIC – 7 Dual, 12-Bit Serial Input Digital-To-Analog Converter. . . DAC7612UB 146-0208●
7613 TI 24 SSOP 2 10 C, D/B, MC, R . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DAC7613E 121-4349●
7614 TI 16 PDIP – 10 12Bit DAC 89kSPS. . . . . . . . . . . . . . . . . . . . . . . . . . . . DAC7614P. 164-8621●
7614 TI 16 SOIC – 10 12Bit DAC 89kSPS. . . . . . . . . . . . . . . . . . . . . . . . . . . . DAC7614UB. 164-8622●
7614 TI 16 SOIC 1 10 D-A Converter Quad 12-Bit Serial Input . . . . . . . . . . . . . DAC7614U 121-2271●
7615 TI 16 SOIC – 5 Quad, Serial Input, 12-Bit, Voltage Output Digital-To- DAC7615UB
Analog Converter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 146-0212●
7616 TI 16 SOIC – 5 Quad, Serial Input, 12-Bit, Voltage Output Digital-To- DAC7616U
Analog Converter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 146-0215●
7624 TI 28 SOIC 1 10 D-A Converter, 12-Bit, 4-Channel, Parallel, 28Ld . . . . . DAC7624U 121-2272●
7625 TI 28 DIP 2 5 4-Channel, D/B + Readback, MC, P, V. . . . . . . . . . . . . DAC7625P 121-4358●
7625 TI 28 SOIC 1 10 D-A Converter, 12-Bit, GP Parallel, 28Ld. . . . . . . . . . . . DAC7625U 121-2273●
7678 TI 24 QFN 0.25 7 Low Power, Octal Channel, 3.4 MHz for portable bat- DAC7678SRGET
tery-operated equiptment . . . . . . . . . . . . . . . . . . . . . . . 186-2573●
7678 TI 16 TSSOP – – Octal, 12Bit, I2C Serial, 188.88kSPS DAC . . . . . . . . . . DAC7678SPW 185-5109●
7714 TI 16 SOIC 1 10 Quad, Serial Input, 12-Bit, Voltage Output Digital-To- DAC7714UBG4
AnaLog Converter . . . . . . . . . . . . . . . . . . . . . . . . . . . . 123-4784●
7715 TI 16 SOIC – 8 Quad, Serial Input, 12-Bit, Voltage Output Digital-To- DAC7715U
Analog Converter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 146-0223●
7718 TI 48 QFN – – Octal 12Bit, SPI Serial Interface, 50MSPS DAC . . . . . . . DAC7718SRGZT 181-5723●
7728 TI 56 QFN – – Octal 12Bit, Low Power, Parallel Interface DAC. . . . . . . DAC7728SRTQT 185-5140●
7800 TI 16 SOIC 1 0.8 12-Bit Dual D-A Converter . . . . . . . . . . . . . . . . . . . . . . DAC7800LU 121-2281●
7800 TI 16 SOIC – 0.4 Dual Monolithic CMOS 12-Bit Multiplying Digital-to- DAC7800KU
Semiconductors
Analog Converter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 146-0233●
7802 TI 24 PDIP – 0.4 Dual Monolithic CMOS 12-Bit Multiplying Digital-to- DAC7802KP
Analog Converter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 146-0240●
7837 ADI 24 PDIP 1 3 250 kSPS, V, C, MC. . . . . . . . . . . . . . . . . . . . . . . . . . . AD7837ANZ 143-8619●
7837 ADI 24 SOIC 0.5 3 250 kSPS, V, C, MC. . . . . . . . . . . . . . . . . . . . . . . . . . . AD7837BRZ 143-8620●
7845 ADI 24 DIP 1 1 C, L, M, MC, P, V . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD7845JNZ 960-4588●
7845 ADI 24 SOIC 1 5 200 kSPS, V, M, C, L, MC . . . . . . . . . . . . . . . . . . . . . . AD7845JRZ 143-8624●
7847 ADI 24 PDIP 1 3 Dual 250 kSPS, V, C, MC, M, L . . . . . . . . . . . . . . . . . . AD7847ANZ 143-8627●
7847 ADI 24 SOIC 0.5 3 Dual 250 kSPS, V, C, MC, M, L . . . . . . . . . . . . . . . . . . AD7847BRZ 143-8628●
7943 ADI 16 DIP 0.5 0.6 M. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD7943BNZ 107-9421●
7945 ADI 20 DIP 0.5 0.6 M, R . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD7945BNZ 107-9425●
7953 TI 38 TSSOP – – 12Bit 1MSPS 16 Channel Single Ended ADC. . . . . . . . . ADS7953SBDBT 164-5402●
8043 LT 8 SOIC – 0.25 Serial 12Bit Multiplying DAC . . . . . . . . . . . . . . . . . . . . LTC8043FS8#PBF 166-3751●
8043 LT 8 DIP 1 1 DAC, 12-Bit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC8043EN8#PBF 133-0991● 1
8043 LT 8 DIP 1 1 DAC, 12-Bit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC8043FN8#PBF 133-0992●
8043 ADI 8 PDIP 1 1 4 MSPS, M, D/B, C . . . . . . . . . . . . . . . . . . . . . . . . . . . DAC8043AFPZ 143-8737●
414043
Key to Features: C = CMOS, D/B = Double-Buffered, L = Latches, M = Multiplying, MC = Microprocessor Compatible, MP = Micropower, P = Parallel O/P, R = Internal Reference, R2R = Rail-to-Rail output,
S = Serial O/P, S/A = Successive Approximation, S/H = Sample and Hold, T = Temp. Sensor, T/H = Track and Hold, V = Voltage O/P
LinearityConversion
Error Time ± 1⁄2 Price Each
Mftr. Pins Pkg ± LSB LSB, μs Features Mftrs. List No. Order Code 1+ 10+ 100+ 250+ 500+
14-Bit D/A
904 TI 28 TSSOP 2.5 0.03 C, MC, P, R . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DAC904EG4 121-4430●
904 TI 28 SOIC 2.5 0.03 D-A Converter, 14-Bit 165MSPS . . . . . . . . . . . . . . . . . . . . . DAC904UG4 120-6885●
2611 LT 10 DFN 1 9 14-Bit, Rail-to-Rail DAC . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC2611CDD#PBF 133-0945●
2611 LT 10 DFN 1 9 14-Bit, Rail-to-Rail DAC . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC2611CDD-1#PBF 133-0946●
2612 LT 8 MSOP 1 7 Dual, 14-Bit, Rail-to-Rail DAC . . . . . . . . . . . . . . . . . . . . . . . LTC2612CMS8#PBF 133-0947●
2616 LT 10 DFN 1 4.8 14-Bit, Rail-to-Rail DAC with I2C Interface . . . . . . . . . . . . . . LTC2616CDD#PBF 133-0948●
2755 LTC 64 QFN – 2 14 Bits DAC with Quad Current O/P . . . . . . . . . . . . . . . . . . . LTC2755CUP-14#PBF 160-9751●
2755 LTC 64 QFN – 2 14 Bits DAC with Quad Current O/P . . . . . . . . . . . . . . . . . . . LTC2755IUP-14#PBF 160-9753●
1658 LT 8 SOIC – 12 14Bit R/R Micropower DAC . . . . . . . . . . . . . . . . . . . . . . . . . LTC1658CS8#PBF 166-3655●
1658 LT 8 MSOP 1 12 14-Bit, Rail-to-Rail, Micropower DAC . . . . . . . . . . . . . . . . . . LTC1658CMS8#PBF 133-0865●
2227 ROHM 14 SSOP – 100 DAC, 4/8Ch Type . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . BH2227FV-E2 171-6111●
2228 ROHM 14 SSOP – 100 DAC, 4/8Ch Type . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . BH2228FV-E2 171-6112●
2901 TI 48 TQFP – 30 Dual 14Bit 125MSPS DAC . . . . . . . . . . . . . . . . . . . . . . . . . . DAC2904Y/250 165-9623●
3544 TI 20 SOIC – – 14Bit 200KSPS 4 Channel Unipolar ADC . . . . . . . . . . . . . . . TLC3544CDW.. 164-5391●
5363 ADI 56 LFCSP 1 20 14Bit 8 Channel Serial I/P -10 V to +10 V Output DAC . . . . . AD5363BCPZ 160-7240●
5382 ADI 100 LQFP 4 8 32-Channel, D/B, R, R2R, V . . . . . . . . . . . . . . . . . . . . . . . . . AD5382BSTZ-5 107-8364●
5390 ADI 52 LQFP 3 8 16-Channel, D/B, R, R2R, V . . . . . . . . . . . . . . . . . . . . . . . . . AD5390BSTZ-5 107-8360●
5531 ADI 16 TSSOP 2 20 50 kSPS, D/B, Serial Input, V . . . . . . . . . . . . . . . . . . . . . . . . AD5531BRUZ 143-8908●
5551 ADI 8 SOIC 0.15 1 D/B, MC, S, V . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD5551BRZ 960-4219●
5641 ADI 6 SC-70 16 6 14-Bit nanoDAC D/A Converter, SPI Interface . . . . . . . . . . . . AD5641AKSZ-500RL7 111-7910●
261
262 farnell.com element14.com
16-Bit D/A
161 NSC 16 LLP 1 5 Precision 16-Bit, Buffered Voltage Output DAC DAC161S055CISQ 185-9816●
420 ADI 24 DIP – 3 L, MC, R . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD420ANZ-32 960-5533●
420 ADI 24 SOIC – 3 MC, S, V, Serial I/P, SigmA Delta . . . . . . . . . . . . . . . . . . . . . AD420ARZ-32 107-9391●
421 ADI 16 SOIC – 8 Loop Powered 4-20 mA DAC . . . . . . . . . . . . . . . . . . . . . . . AD421BRZ 165-1256●
542 MAX 14 SOIC 4 1 C, V . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . MAX542CCSD+ 972-5091●
569 ADI 28 PDIP 0.5 3 V, D/B, L, C . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD569JNZ 143-8379●
669 ADI 28 DIP 2 2.5 C, D/B, L, MC, R, V . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD669ANZ 960-5541●
669 ADI 28 DIP 1 2.5 C, D/B, L, MC, R, V . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD669BNZ 960-3557●
712 TI 28 PDIP – 6 16-Bit Digital-to-Converter With 16-Bit Bus Interface. . . . . . . DAC712PB 146-0189●
712 TI 28 DIP 4 4 C, D/B, L, R, V . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DAC712PG4 117-8435●
712 BB 28 SOIC 4 4 C, D/B, L, R, V . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DAC712U 109-7510
714 TI 16 PDIP – 10 16Bit DAC 86kSPS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DAC714P. 164-8619●
1 714 TI 16 SOIC 1 10 16-Bit DAC SERIAL I/P. . . . . . . . . . . . . . . . . . . . . . . . . . . . . DAC714U 121-4385●
715 TI 28 PDIP – 10 16-Bit Digital-to-Analog Converter with 16-Bit Bus Interface . DAC715P 146-0194●
715 TI 28 SOIC – 6 16-Bit D/A Converter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DAC715U.. 175-4796●
Integrated Circuits & Development Kits
262
farnell.com element14.com 263
LinearityConversion
Error Time ± 1⁄2 Price Each
Mftr. Pins Pkg ± LSB LSB, μs Features Mftrs. List No. Order Code 1+ 10+ 100+ 250+ 500+
16-Bit D/A
5546 ADI 28 TSSOP 2 0.5 M, Serial Input, Current Output . . . . . . . . . . . . . . . . . . . . . . . AD5546BRUZ 158-1924●
5547 ADI 38 TSSOP 2 0.5 2-Channel, 2MSPS, M, P . . . . . . . . . . . . . . . . . . . . . . . . . . . AD5547BRUZ 107-8348●
5570 ADI 16 SSOP 2 10 V, Serial I/P . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD5570WRSZ 158-1925●
5645 ADI 14 TSSOP 4 3.5 Quad, R, V . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD5645RBRUZ 149-8711●
5647 ADI 10 MSOP 4 3.5 Dual, R, V . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD5647RBRMZ 149-8712●
5667 ADI 10 MSOP 12 4 Dual, R2R, V . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD5667BRMZ 149-8715●
5667 ADI 10 MSOP 12 4 Dual, R2R, R, V . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD5667RBRMZ-2 149-8716●
5662 ADI 8 MSOP 16 10 2.7-5.5V, 16-Bit nanoDAC Converter . . . . . . . . . . . . . . . . . . AD5662ARMZ-1 111-7909●
5662 ADI 8 MSOP 16 10 16-Bit nanoDAC Converter . . . . . . . . . . . . . . . . . . . . . . . . . . AD5662BRMZ-1 111-7908●
5663 ADI 10 MSOP 16 4 2.7 V to 5.5 V, 0.25mA, Rail-to-Rail Output, Dual 16-Bit na- AD5663ARMZ
noDAC. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 127-4264●
5663 ADI 10 MSOP 12 4 2.7 V to 5.5 V, 0.25mA, Rail-to-Rail Output, Dual 16-Bit na- AD5663BRMZ
noDAC. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 127-4263●
5663 ADI 10 MSOP 12 4 2.7 V to 5.5 V, 0.25mA, Rail-to-Rail Output, Dual 16-Bit na- AD5663BRMZ-1
noDAC. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 127-4262●
5663 ADI 10 MSOP 16 4 Dual, 16-Bit nanoDAC With 5ppm/°C On-Chip Reference . . . AD5663RBRMZ-3 127-4261●
5663 ADI 10 MSOP 16 4 2.7 V to 5.5 V, 450 μA, Rail-to-Rail Output, Quad, 12-/16- AD5663RBRMZ-5
Bit nanoDACs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 127-4258●
5664 ADI 10 MSOP 16 7 2.7 V to 5.5 V, 450 μA, Rail-to-Rail Output, Quad, 16-Bit na- AD5664ARMZ
noDACs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 127-4257●
5664 ADI 10 MSOP 12 7 2.7 V to 5.5 V, 450 μA, Rail-to-Rail Output, Quad, 16-Bit na- AD5664BRMZ
noDACs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 127-4256●
5665 ADI 14 TSSOP 16 4 Quad, V, R . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD5665RBRUZ-2 149-8714●
Semiconductors
5666 ADI 14 TSSOP 16 6 95 kSPS, Serial Input, V, R:1.25V, R2R . . . . . . . . . . . . . . . . AD5666BRUZ-1 143-8923●
5666 ADI 14 TSSOP 16 6 95 kSPS, Serial Input, V, R:2.5V, R2R . . . . . . . . . . . . . . . . . AD5666BRUZ-2 143-8924●
5668 ADI 16 TSSOP 32 6 95 kSPS, Serial Input, V, R, R2R . . . . . . . . . . . . . . . . . . . . . AD5668ARUZ-2 143-8925●
5678 ADI 16 TSSOP 16 6 95 kSPS, Serial Input, V, R:2.5V, R2R . . . . . . . . . . . . . . . . . AD5678BRUZ-2 143-8927●
8580 TI 16 TSSOP – 0.35 DAC 16Bit Hi-Spd Low Noise . . . . . . . . . . . . . . . . . . . . . . . . DAC8580IPW. 175-4809●
5681 TI 64 VQFN – 10.4 DAC 16Bit 1Gsps. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DAC5681IRGCT 175-4793●
5681 TI 64 VQFN – 10.4 DAC, 16Bit, 1Gsps. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DAC5681ZIRGCT 177-1743●
5682 TI 64 VQFN – 10.4 DAC 16Bit Dual 1Gsps . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DAC5682ZIRGCT 175-4794●
5688 TI 64 VQFN – 10.4 DAC 16Bit 800Msps 2Ch . . . . . . . . . . . . . . . . . . . . . . . . . . . DAC5688IRGCT 175-4795●
5764 ADI 32 TQFP 1 10 Complete Quad, 16-Bit, High Accuracy, Serial Input, Bipolar AD5764CSUZ
Voltage Output DAC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 127-4253●
7644 TI 48 SSOP – 10 16Bit DAC 100kSPS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DAC7644EB. 164-8624●
7644 TI 48 SSOP 4 10 4-Channel, D/B + Readback, MC, V, Serial I/P . . . . . . . . . . . DAC7644EG4 121-4360●
7715 TI 16 SOIC 1 10 D-A Converter, 12-Bit Quad . . . . . . . . . . . . . . . . . . . . . . . . . DAC7715UB 121-2274● 1
7731 TI 24 SSOP 1 5 16 Bit Digital to AnaLog Converter . . . . . . . . . . . . . . . . . . . . DAC7731EC 118-0123●
7744 TI 48 SSOP – 10 16Bit DAC 100kSPS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DAC7744E. 164-8625●
263
264 farnell.com element14.com
itoring . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 186-4812●
Resolution Data Settling Time Power Dissipation No. of Mftrs. Price Each
Pins/Pkg (Bits) Interface Sampling Rate (μs) (mW) Outputs List No. Order Code 1+ 10+ 100+ 250+
Unipolar/Bipolar
24/TSSOP 12 Serial 1.1MSPS 10 310 4 AD5724RBREZ 178-6047●
24/TSSOP 14 Serial 1.1MSPS 10 310 4 AD5734RBREZ 178-6048●
24/TSSOP 16 Serial 1.07MSPS 7.5 190 2 AD5752RBREZ 178-6049●
24/TSSOP 16 Serial 1.1MSPS 10 310 4 AD5754RBREZ 178-6050●
Bipolar
32/QFP 16 Serial 84.6MSPS 8 250.5 2 AD5762RCSUZ 178-6051●
64/LFCSP_VQ 16 3-Wire, Serial – 11 173 4 AD5755-1ACPZ 190-3595●
64/LFCSP_VQ 16 Microwire, QSPI, Serial, SPI, 3-Wire – 11 173 4 AD5755ACPZ-REEL7 194-4981●
16/LFCSP_VQ 16 Microwire, QSPI, Serial, SPI, 3-Wire – 15 155 4 AD5757ACPZ 194-4982●
Supply Supply
Resolution Voltage Current Mftrs. Price Each
Pins/Pkg Description (Bit) Min - Max (V) (μA) List No. Order Code 1+ 10+ 100+
10/SOP 12 Bit Quad DAC with EEPROM 12 2.7V to 5.5V 800 MCP4728-E/UN
Memory 180-0217●
414044
Price Each
Mftr. Pins Pkg Features Mftrs. List No. Order Code 1+ 10+ 100+ 250+ 500+
Audio-Video ADC/DAC
56 TI 16 DIP Series Input 16-Bit Monolithic DAC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PCM56P 136-5427●
1330 NXP 16 SSOP 20Bit Stereo Audio DAC. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . UDA1330ATS/N2 185-4064●
1334 NXP 16 SOIC 24Bit Low Power Audio DAC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . UDA1334BT/N2 185-4061●
1334 NXP 16 SSOP 24Bit Low Power Audio DAC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . UDA1334ATS/N2 185-4062●
264
farnell.com element14.com 265
Price Each
Mftr. Pins Pkg Features Mftrs. List No. Order Code 1+ 10+ 100+ 250+ 500+
1334 NXP 16 SSOP 24Bit Low Power Audio DAC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . UDA1334BTS/N2 185-4063●
1352 NXP 28 SSOP 20Bit, 48kSPS Audio DAC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . UDA1352TS/N3 185-4060●
1680 TI 28 SSOP
24-Bit Delta-Sigma Audio DAC, 192kHz, 8-channel . . . . . . . . . . . . . . . . . . . . . . . . PCM1680DBQG4 139-0702●
1702 TI 16 DIP
20-Bit BiCMOS DAC, -94dB THD+N, 0.5% Gain error, 120dB SNR, 200ns settling PCM1702PG4
time, 16 x oversAmpling, 250mW mAx Power dissipation . . . . . . . . . . . . . . . . . . . 120-2023●
1710 TI 28 SOIC 16 or 20-Bit Input data stereo DAC With digital interpolation filter and anaLogue PCM1710U
Voltage output, -92dB THD+N, 98dB dynamic range and 110dB SNR . . . . . . . . . . 121-2857●
1716 BB 28 SSOP 24-Bit SigmA Delta DAC With 16kHz -96kHz sAmpling frequency, -96dB THD+N, PCM1716E
106dB SNR, 8 x oversAmpling, 106dB Dynamic Range. 400mW Power dissipa-
tion. (SSOP).. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 110-1544●
1744 TI 14 SOIC 24-Bit complete stereo DAC With 95dB Dynamic range, multi sAmpling frequency PCM1744U
up to 96kHz,8 x oversAmpling, 256fs or 384fs system clock. . . . . . . . . . . . . . . . . 121-4384●
1753 TI 16 SSOP 24-Bit Audio D-A Converter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PCM1753DBQ 843-4476●
1770 TI 16 TSSOP 24-Bit Audio D-A Converter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PCM1770PW 843-4506●
1780 TI 16 SSOP 24Bit Audio DAC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PCM1780DBQ 169-7152●
1781 TI 16 SSOP 24Bit Audio DAC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PCM1781DBQ 169-7150●
1791 TI 28 SSOP 24Bit DAC 192kSPS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PCM1791ADB. 164-8630●
1792 TI 28 SSOP 24-Bit Audio D-A Converter, Advanced Segment . . . . . . . . . . . . . . . . . . . . . . . . . . PCM1792DB 120-7081●
1794 TI 28 SSOP DAC Audio 24Bit 192KHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PCM1794ADB. 175-5014●
1794 TI 28 SSOP DAC Audio 24Bit 192KHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DSD1794ADB 175-4831●
1794 TI 28 SSOP 24-Bit Audio D-A Converter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PCM1794DB 120-7082●
1800 TI 24 SSOP 20Bit DAC 48kSPS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PCM1800E. 164-8631●
1801 TI 14 SOIC 16Bit ADC 48kSPS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PCM1801U. 164-8613●
1877 ADI 28 SOIC Single-Supply 16-Bit (Sigma Delta) Stereo ADC, 94dB DNR, 90dB THD + N . . . . . AD1877JRZ 143-8337●
2704 TI 28 SSOP D-A Converter, Audio With USB . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PCM2704DB 843-4662●
Semiconductors
2705 TI 28 SSOP D-A Converter, Audio With USB . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PCM2705DB 843-4670●
2706 TI 32 TQFP32 Stereo USB1.1 DAC with line-out and S/PDIF output, Bus/Self-powered (I2S Inter- PCM2706PJTG4
face) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 139-0710●
3057 NSC 16 MDIP Serial Interface CODEC/Filter COMBO A-law PCM format . . . . . . . . . . . . . . . . . . . . TP3057N/NOPB 156-4789●
4104 TI 48 TQFP 24-Bit, High-End 4-Channel Audio DAC, 192kHz . . . . . . . . . . . . . . . . . . . . . . . . . . PCM4104PFBTG4 139-0707●
4204 TI 64 HTQFP 216kHz, 4-Channel Audio, Delta-SigmA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . PCM4204PAPT 996-9098●
7120 ADI 48 LQFP CMOS 30 MHz, Triple 8-Bit Video DAC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADV7120KSTZ30 143-8731●
7123 ADI 48 LQFP 240 MHz Triple 10-Bit High Speed Video DAC . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADV7123JSTZ240 143-8732●
7125 ADI 48 LQFP 240 MHz Triple 8-Bit High Speed Video DAC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADV7125JSTZ240 143-8733●
7125 ADI 48 LQFP 140 MHz Triple 8-Bit High Speed Video DAC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADV7125KSTZ140 143-8734●
7127 ADI 24 TSSOP CMOS 240 MHz 10-Bit High Speed Video DAC . . . . . . . . . . . . . . . . . . . . . . . . . . . ADV7127JRUZ240 143-8735●
7179 ADI 40 LFCSP Chip Scale NTSC/PAL Video Encoder . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADV7179BCPZ 165-1273●
73311 ADI 20 SOIC General purpose anaLogue front end processor With 16-Bit A/D and 16-Bit D/A
channels, each With 70dB SNR over voice band signal bandwidth. Supports
AD73311ARZ 1
speech synthesis, enhancement and DSP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 107-9320●
Data Acquisition Systems
The LMP90100 is a highly integrated, multi-channel, low power 24-bit Sensor AFE. The device features a precision, 24-bit Sigma Delta Analog-to-Digital Converter (ADC) with a lownoise pro-
grammable gain amplifier and a fully differential high impedance analog input multiplexer. A true continuous background calibration feature allows calibration at all gains and output data rates
without interrupting the signal path. Two sets of independent external reference voltage pins allow multiple ratiometric measurements. In addition, two matched programmable current sources
are available to excite external sensors such as resistive temperature detectors and bridge sensors. Furthermore, seven GPIO pins are provided for interfacing to external LEDs and switches to
simplify control across an isolation barrier.
265
266 farnell.com element14.com
662427
AD-DAC-FMC-ADP Adapter Board Order Code Price Each
The AD-DAC-FMC-ADP adapter board al- AD-DAC-FMC-ADP 209-9791●
lows any of Analog Devices’ DPG2-com-
patiable High-Speed DAC Evaluation
Boards to be used on a Xilinx姞 evaluation
board with a FMC connector. The adapter board uses the Low
Pin Count (LPC) version of the FMC connector, so it can be
used on either LPC or HPC hosts (such as the ML605 or
SP605).
624785
Semiconductors
Ì Daisy chaining multiple EVMs is supported Mftrs List No. Description Order Code Price Each
661637
1+
Order Code Price Each MCP3221DM- PICtail™ Demonstration Board for
DAC8734EVM 208-1112 PCTL MCP3221 device 111-2731
266
farnell.com element14.com 267
Semiconductors
The board is designed to evaluate the MCP3551 with minimum Print-
ed Circuit Board (PCB) noise. The PCB layout practices low-noise de-
sign to reduce the signal noise as much as possible in order to dem-
onstrate the 22-Bit performance of the MCP3551.
MCP4728 Evaluation Board
The MCP4728 Evaluation
Board is a tool for quick and easy evaluation of the
MCP4728 4-channel 12-bit DAC device. It contains the
Features: MCP4728 device and connection pins for the Micro-
Ì Three precision potentiometers for demonstrating the capabilities of the MCP3551 chip’s popular PICkit Serial Analyzer. Simply connect the
Ì On-board PIC18F4550 with USB for DataView communication MCP4728 Evaluation Board to the PICkit Serial Analyzer
Ì USB interface for connecting to a PC and type in the DAC input data in the PICkit Serial Ana-
Ì Dataview™ software with easy-to-read format and data logging lyzer’s PC Graphical User Interface program. The PICkit
Ì Three headers/connectors for programming the PICmicro® using any of the following: Serial Analyzer will then send the user’s data to the DAC
- PICkit™1 Flash Starter Kit device automatically. The new DAC’s analog outputs will
- PICkit™2 be available immediately at the DAC output pins. The us- 1
- MPLAB® ICD2 (debugger and programmer) er will appreciate the simplicity of evaluating the DAC
478249
device using this board.
Mftrs Price Each
Operational Amplifiers
Single
414159
Price Each
Mftr. Pins Pkg Description Mftrs. List No. Order Code 1+ 10+ 100+ 250+
3 INTS 8 DIP Uncompensated, High Slew Rate, Operational Amp . . . . . . . . . . . . . . . . . . . . . . . . . . HA3-2525-5Z 101-8160●
3 INTS 8 DIP Op Amp, 100MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . HA3-5020-5Z 121-6105●
6 MCHIP 5 SOT-23 Op Amp, Single, 1.8V, 1MHz. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . MCP6L01T-E/OT 171-5852●
6 MCHIP 5 SOT-23 Op Amp, Single, 2MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . MCP6L71T-E/OT 171-5861●
6 MCHIP 8 SOIC Op Amp, Single, 2MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . MCP6L71T-E/SN 171-5862●
6 MCHIP 5 SOT-23 Op Amp, Single, 10MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . MCP6L91T-E/OT 171-5865●
6 MCHIP 8 SOIC Op Amp, Single, 10MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . MCP6L91T-E/SN 171-5866●
6 MCHIP 8 8-SOIC Single R/R I/O 1.3MHz GBWP Op Amp Auto-Zeroed . . . . . . . . . . . . . . . . . . . . . . . . . MCP6V01-E/SN 162-9346●
6 MCHIP 8 8-SOIC Single R/R I/O 1.3MHz GBWP Op Amp Auto-Zeroed . . . . . . . . . . . . . . . . . . . . . . . . . MCP6V03-E/SN 162-9349●
6 MCHIP 8 8-SOIC Single R/R I/O 1.3MHz GBWP Op Amp Auto-Zeroed . . . . . . . . . . . . . . . . . . . . . . . . . MCP6V06-E/SN 162-9350●
6 MCHIP 8 8-SOIC Single R/R I/O 1.3MHz GBWP Op Amp Auto-Zeroed . . . . . . . . . . . . . . . . . . . . . . . . . MCP6V08-E/SN 162-9352●
7 TI 8 SOIC Low-Offset Voltage Operational Amplifier. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . OP07DD 145-9541●
7 TI 8 SOIC Low-Offset Voltage Operational Amplifier. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . OP07CD 958-9929●
7 ST 8 DIP Precision Operational Amp. - Vos 150μV mAx. Drift 0.5μV/°C mAx.. . . . . . . . . . . . . . OP07CN 109-4321●
7 TI 8 DIP Precision Operational Amp. - Vos 150μV mAx. Drift 1.8μV/°C mAx.. . . . . . . . . . . . . . OP07CP 110-6130●
7 ADI 8 DIP Precision Operational Amp. - Vos 150μV mAx. Drift 1.6μV/°C mAx.. . . . . . . . . . . . . . OP07CPZ 960-4600●
7 ADI 8 SOIC Precision Operational Amp. - Vos 150μV mAx. Drift 1.6μV/°C mAx.. . . . . . . . . . . . . . OP07CSZ. 960-4618●
7 ADI 8 PDIP UltraLow Offset Voltage Operational Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . OP07DNZ 127-4124●
7 ADI 8 SOIC UltraLow Offset Voltage Operational Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . OP07DRZ 127-4123●
7 ADI 8 DIP Precision Operational Amp. - Vos 75μV mAx. Drift 1.3μV/°C mAx . . . . . . . . . . . . . . . OP07EPZ 960-5452●
267
268 farnell.com element14.com
42 ADI 8 SOIC High Speed, Fast Settling Precision Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . OP42GSZ 165-1294●
42 ADI 8 DIP High Speed, Fast Settling Precision Operational Amplifier. . . . . . . . . . . . . . . . . . . . . . OP42GPZ 136-1301●
061 ST 8 SOIC Op Amp, Single, JFET, Low Power . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . TL061CD 173-7173●
062 ST 8 SOIC Op Amp Lp J-Fet Dual. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . TL062CDT 175-0147●
062 ST 8 SOIC Op Amp Jfet Dual Low Power . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . TL062IDT 175-0149●
064 ST 14 SOIC Op Amp Jfet Quad Low Power . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . TL064ACDT 175-0151●
064 ST 14 SOIC Op Amp J-Fet Quad Lp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . TL064IDT 175-0152●
071 ST 8 SOIC Op Amp, Single, JFET, Low Noise . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . TL071CD 173-7175●
71 TI 8 SOIC Single Wide-Bandwidth High-Output-Drive Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . TLC071ID 145-9718●
71 ST 8 SO Wide Band, Low Power Operational Amplifier With Standby Function . . . . . . . . . . . . . TSH71CD 109-4969●
072 ST 8 SOIC Op Amp Ln J-Fet Dual. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . TL072IDT 175-0153●
074 ST 14 SOIC Op Amp Quad Jfet Lonois . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . TL074IDT 175-0154●
1 74 TI 14 SOIC Quad Wide-Bandwidth High-Output-Drive Op Amp. . . . . . . . . . . . . . . . . . . . . . . . . . . TLC074CD 145-9724●
80 ST 8 SOIC Wideband, Rail-to-Rail Op Amp. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . TSH80ID 108-6954●
80 ST 5 SOT-23 Wide Band, Rail-to-Rail Operational Amplifier with Standby Function . . . . . . . . . . . . . TSH80ILT 109-4975●
081 ST 8 SOIC Op Amp, Single, JFET, Gen Purpose . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . TL081CD 173-7178●
Integrated Circuits & Development Kits
268
farnell.com element14.com 269
Price Each
Mftr. Pins Pkg Description Mftrs. List No. Order Code 1+ 10+ 100+ 250+
234 TI 8 SOIC Low Power Precision Single Supply . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . OPA234U. 164-8695●
234 TI 8 SOIC Low Power Precision Op-Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . OPA234UAG4 123-4689●
237 TI 5 SOT-23 OP Amp, Single-Supply. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . OPA237NA/250 120-7102●
241 TI 8 SOIC Single Supply MicroPower Operational Amplifiers . . . . . . . . . . . . . . . . . . . . . . . . . . . OPA241UA 164-8699●
241 BB 8 DIP MicroPower, Single Supply Operational Amp., optimized for Powersupply Voltages OPA241PA
(+5V) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 109-7411
244 TI 5 SOT-23 OP Amp, Single-Supply. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . OPA244NA 121-2447●
244 BB 8 DIP MicroPower, Single Supply (40μA, 2.2V to 36V) Amp. (IND TEMP)... . . . . . . . . . . . . OPA244PA 109-7475
244 BB 8 SOIC MicroPower, Single Supply (40uA, 2.2V to 36V) Amp. (IND TEMP). . . . . . . . . . . . . . OPA244UA 109-7506●
251 TI 8 PDIP OP Amp Gp Rail-to-Rail 35KHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . OPA251PA 175-4989●
251 TI 8 SOIC LINCMOS(TM) Programmable Low-Power Operational Amplifier . . . . . . . . . . . . . . . . TLC251CDG4 123-4908●
251 TI 8 PDIP LINCMOS(TM) Programmable Low-Power Operational Amplifier . . . . . . . . . . . . . . . . TLC251CPE4 123-4980●
258 ST 8 SOIC Op Amp Dual Low Power . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LM258ADT 175-0141●
258 ST 8 PDIP Op Amp Low Power Dual . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LM258N 175-0142●
271 TI 8 SOIC 550-uA/Ch 3-MHz Rail-to-Rail Output Operational Amplifier. . . . . . . . . . . . . . . . . . . . TLV271CD 146-0012●
271 TI 8 SOIC LinCMOS Programmable Op-Amp. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . TLC271ACDG4 123-4674●
271 TI 8 PDIP OP Amp, Programmable Gain . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . TLC271ACP 845-4140●
271 TI 8 SOIC Programmable Low-Power Operational Amplifier. . . . . . . . . . . . . . . . . . . . . . . . . . . . TLC271AIDG4 123-4854●
271 TI 8 SOIC Programmable Low-Power Operational Amplifier. . . . . . . . . . . . . . . . . . . . . . . . . . . . TLC271BCDG4 123-4823●
271 TI 8 PDIP Programmable Low-Power Operational Amplifier. . . . . . . . . . . . . . . . . . . . . . . . . . . . TLC271BCPE4 123-5030●
271 TI 8 SOIC OP Amp, Rail-Rail Single Supply . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . TLV271ID 845-5244●
271 TI 5 SOT-23 OP Amp, Rail-Rail Single Supply . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . TLV271IDBVT 845-5252●
271 ON 5 SOT-23 3MHz, 2.4V/μs Wideband Operational Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . TLV271SN1T1G 192-4929●
271 ON 5 SOT-23 3MHz, 2.4V/μs Wideband Operational Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . TLV271SN2T1G 192-4931●
Semiconductors
274 ST 14 SOIC Op Amp Quad 1mA. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . TS274AIDT 175-0156●
274 ST 14 SOIC Op Amp Quad Cmos High Performance. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . TS274CDT 175-0157●
277 TI 8 PDIP High Precision Op Amp. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . OPA277PA. 164-8701●
277 TI 8 PDIP High Precision Operational Amplifiers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . OPA277P 145-9584●
277 BB 8 SOIC Precision Op Amp, ULD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . OPA277UA 109-7478●
277 TI 8 SOIC OP Amp, High Precision . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . OPA277UG4 120-7110●
291 ADI 8 SOIC MicroPower Single-Supply Rail-to-Rail Input/Output Op Amps . . . . . . . . . . . . . . . . . . OP291GSZ 999-4360●
293 ADI 8 SOIC Precision, MicroPower Operational Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . OP293FSZ 999-4394●
296 ADI 8 SOIC MicroPower, Rail-to-Rail Input and Output Operational Amplifiers . . . . . . . . . . . . . . . OP296GSZ 999-4408●
301 NSC 8 TO-5 Op Amp, GP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LM301AH 121-6151●
301 NSC 8 DIP Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LM301AN 948-6720●
318 TI 8 PDIP Single High-Speed Operational Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LM318P 145-9517●
318 NSC 8 SOIC-8 High Slew Rate Operational Amp. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LM318M 948-6739●
321 DI 5 SOT-25 Low Voltage, Rail-to-Rail, 1MHz Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . APX321WG-7 182-5360●
1
321 ST 5 SOT-23 Low Power, Rail-to-Rail, 1MHz Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LMV321RIYLT 184-2591●
321 ST 5 SOT-23 Op Amp Single Low Power . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . TS321AILT 175-0165●
269
270 farnell.com element14.com
627848
521 NTS 5 SC-70 Nanopower, 1.8V, RRIO, CMOS i/p Op Amp LPV521MGE 206-4708●
522 ST 8 SOIC Op Amp Prec Ln Dual TS522IDT 175-0166●
541 TI 8 TO-3 High Power Monolithic Operational Amplifier OPA541AM 145-9629●
541 TI 8 TO-3 High Power Monolithic Operational Amplifier OPA541BM 145-9630●
541 BB 11 TO-220 High Power Op Amp 10A Peak O/P OPA541AP 109-7513●
547 TI 7 D2PAK High Voltage & Current Op Amp OPA547FKTWT.. 164-8714●
547 TI 7 D2PAK High-Voltage, High-Current Op Amp, Excellent Output Swing OPA547F/500G3 123-4776●
547 TI 7 TO-220 Single 1MHz Op Amp OPA547T 156-4871●
548 TI 7 TO-220 3A Continuous Single 1MHz GBWP Op Amp OPA548T 156-4885●
548 TI 7 TO-220 Power Op Amp OPA548TG3 120-7063●
549 ADI 8 TO-99 Ultralow Input Bias Current Op Amp AD549KHZ 166-0963▲
549 TI 11 TO-220 OP Amp, Power OPA549SG3 120-7065●
549 BB 11 TO-220 High Voltage, High Current Amp. With Disable, Current Limit and ThermAl OPA549T
shutdown 109-7484●
551 NSC 5 SOT-23 3MHz, Micropower RRO Op Amp LMV551MF 166-3004●
551 TI 7 – High-Voltage, High-Current Operational Amplifiers OPA551FAKTWTG3 123-4919●
551 TI 8 DIP Power Op Amp OPA551PAG4 117-8432●
551 BB 8 SOICHigh Voltage, High Current, 3MHz Amp. With Disable, Current Limit and Ther- OPA551UA
mAl shutdown. (IND TEMP). 109-7509●
552 TI 8 DIP High Voltage, High Current 12MHz Amp. With Disable, CurrentLimit and Ther- OPA552PA
mAl shutdown 121-5361●
552 TI 8 SOIC OP Amp, Power OPA552UA 121-2315●
561 TI 20 HTSSOP High Current Operational Amplifier OPA561PWPG4 123-4906●
567 TI 12 QFN OP Amp Gp Rail-to-Rail 1.2MHz OPA567AIRHGT. 175-5000●
569 TI 20 SOIC Power Op Amp 2A O/P Current OPA569AIDWPG4 123-4786●
602 TI 8 DIP High-Speed Precision Difet Op Amp OPA602AP 164-8715●
606 MCHIP 5 SOT-23 Single 2.5V Micropower CMOS Op Amp MCP606T-I/OT 162-7201●
611 NSC 14 SOIC Single Op Amp and Adjustable Reference LM611IM/NOPB 168-5317●
621 ST 5 SC-70 Op Amp Rail-to-Rail I/O Sgl Lv/Lp TSV621AICT 175-0177●
621 ST 5 SC-70 Op Amp Rail-to-Rail I/O Sgl Lv/Lp TSV621ICT 175-0178●
621 ST 5 SOT-23 Op Amp Rrio Sgl Low Voltage/Low Power TSV621ILT 173-7213●
622 ST 8 SOIC Op Amp Rrio TSV622IDT 173-7214●
622 ST 8 SOIC Op Amp Rail-to-Rail I/O TSV622AID 175-0179●
622 ST 8 MSOP Op Amp Rail-to-Rail I/O TSV622AIST 175-0180●
622 ST 8 MSOP Op Amp Rail-to-Rail I/O TSV622IST 175-0181●
623 ST 10 MSOP Op Amp Rail-to-Rail I/O TSV623AIST 175-0182●
623 ST 10 Mini SOICOp Amp Rrio TSV623IST 173-7215●
624 ST 14 TSSOP Op Amp Rrio TSV624IPT 173-7216●
630 ST 6 SOT-23 Op Amp Rail-to-Rail I/O Low Power/Voltage TSV630AILT 175-0183●
630 ST 6 SC-70 Op Amp Rail-to-Rail I/O Low Power/Voltage TSV630ICT 175-0184●
630 ST 6 SC-70 Op Amp, R/R, 60μA, 880KHz TSV630AICT 173-7208●
630 ST 6 SOT-23 Op Amp Rrio Sgl Low Voltage Low Power TSV630ILT 173-7217●
631 ST 5 SC-70 Op Amp Rail-to-Rail I/O Low Power/Voltage TSV631AICT 175-0186●
270
farnell.com element14.com 271
Semiconductors
691 TI 6 SOT-23 OP Amp Single Wideband CFB w/Disable OPA691IDBVTG4 120-7071●
691 TI 8 SOIC OP Amp Single Wideband CFB w/Disable OPA691IDG4 120-7070●
692 TI 6 SOT-23 OP Amp Single Wideband FGB w/Disable OPA692IDBVTG4 120-7072●
693 TI 8 SOIC OP Amp Fixed Gain Video Buffer w/Disable OPA693IDG4 120-7073●
694 TI 8 SOIC WideBand, Low-Power, Current Feedback Amplifier OPA694ID 145-9646●
695 TI 6 SOT-23 OP Amp High Speed CFB OPA695IDBVTG4 120-7075●
695 TI 8 SOIC OP Amp High Speed CFB OPA695IDG4 120-7074●
698 TI 8 SOIC Wideband Voltage Limiting Amplifier OPA698IDG4 118-0151●
703 TI 5 SOT-23 OP Amp, 12V, 1MHZ OPA703NA/250G4 120-7077●
705 TI 8 SOIC OP Amp Gp Rail-to-Rail 1MHz OPA705UA 175-5001●
711 ADI 8 DIP Precision High Speed BiFET Op Amp AD711KNZ 166-0965●
712 TI 10 MSOP OP Amp Gp Rail-to-Rail 5MHz Dual LMV712IDGSR. 175-4975●
712 NSC 10 MSOP Low Power, Low Noise, High Output, RRIO Dual Operational Amplifier with In-
dependent Shutdown
LMV712MM
131-2827●
1
721 NSC 5 SOT-23 10MHz, Low Noise, Low Voltage, Low Power Operational Amp LMV721M5 977-8497●
721 NSC 5 SC-70 10MHz, Low Noise, Low Voltage, Low Power Operational Amp LMV721M7 977-8500●
271
272 farnell.com element14.com
911 ST 8 SOIC Single 8MHz GBWP R/R I/O Op Amp TSV911IDT 164-2727●
911 ST 5 SOT-23 Single 8MHz GBWP R/R I/O Op Amp TSV911ILT 164-2729●
911 ST 8 SOIC Rail-to-rail input/output 8MHz operational amplifier TSV911ID 137-4121●
912 ST 8 SOIC Op Amp Rail-to-Rail Dual TS912BIDT 175-0167●
914 ST 14 SOIC Op Amp Rail-to-Rail Quad TS914AID 175-0168●
921 ST 8 DIP Rail-to-Rail, I/O, High output Current (80mA) Operational Amp., 4MHz TS921IN 109-4389●
922 ST 8 TSSOP Op Amp Rail-to-Rail Dual TS922AIPT 175-0169●
924 ST 14 SOIC Op Amp Rail-to-Rail Quad TS924AIDT 175-0170●
924 ST 14 TSSOP Op Amp Rail-to-Rail Quad TS924AIPT 175-0171●
931 ST 8 SOIC Rail-to-Rail, MicroPower (20μA/Amp.) Operational Amp. TS931ID 332-9367▲
931 ST 5 SOT-23 Rail-to-Rail, MicroPower (20μA/Amp.) Operational Amp. TS931ILT 975-5721●
931 NSC 5 SOT-23 Single & Dual, 1.8V Low Power Comparators with Rail-to-Rail Input LMV931MF 131-2837●
1 931 NSC 5 SC-70 Single & Dual, 1.8V Low Power Comparators with Rail-to-Rail Input LMV931MG 131-2838●
932 TI 8 MSOP OP Amp Gp Rail-to-Rail 1.5MHz LMV932IDGKR. 175-4977●
941 ST 5 SOT-23 Op Amp Sgl Rail-to-Rail McrPower TS941ILT 175-0172●
944 ST 14 SOIC Op Amp Rail-to-Rail Quad McrPower TS944IDT 175-0174●
Integrated Circuits & Development Kits
951 NSC 6 SOT-23 1V, 2.7 MHz, Rail-to-Rail Input and Output Amplifier with Shutdown Option LMV951MK 131-3864●
951 ST 5 SOT-23 Low Power Op Amp. TS951ILT 109-4402●
971 ST 8 SOIC Rail-to-Rail, Low Noise, 12MHz Operational Amp. (SOIC) TS971ID 109-4408●
971 ST 5 SOT-23 Rail-to-Rail, Low Noise, 12MHz Operational Amp TS971ILT 975-5730●
971 TI 8 SOIC OP Amp Gp Rail-to-Rail 12MHz TL971ID 175-5224●
974 TI 14 SOIC OP Amp Gp Rail-to-Rail 12MHz TL974ID 175-5227●
981 NSC 6 SC-70 1.4MHz GBWP Single R/R I/O Op Amp LMV981MG/NOPB 168-5374●
1001 LT 8 SOIC Op Amp, Prec LT1001CS8#PBF 133-0559●
1006 LT 8 DIP Precision, Single Supply, Operational Amp LT1006CN8#PBF 955-9221●
1006 LT 8 SOIC Precision, Single Supply Op Amp. LT1006S8#PBF 955-9230●
1007 LT 8 SOIC Low Noise, High Speed Precision Op Amp LT1007IS8#PBF 166-3324●
1007 LT 8 DIP Low Noise, High Speed Precision Operational Amp LT1007CN8#PBF 955-9248●
1007 LT 8 SOIC Low Noise, High Speed, Precision Operatioal Amplifier LT1007CS8#PBF 113-6622●
1010 LT 8 DFN Op Amp, High Speed LT1010CDD#PBF 133-0563●
1012 LT 8 SOIC Op Amp, Prec LT1012S8#PBF 133-0564●
1028 LT 16 SOIC Op Amp, Low Noise LT1028CSW#PBF 133-0567●
1028 LT 8 DIP Low Noise, Precision High Speed Op Amp LT1028ACN8#PBF 955-9477●
1028 LT 8 DIP Ultra Low Noise, Precision, High Speed Operational Amp LT1028CN8#PBF 955-9485●
1028 LT 8 SOIC Ultra Low Noise, Precision, High speed Operational Amplifier LT1028CS8#PBF 955-9493●
1052 LT 8 DIP Zero-Drift Op Amp LTC1052CN8#PBF 166-3594●
1077 LT 8 SOIC Micropower Single Supply Precision Op Amp LT1077IS8#PBF 166-3345●
1077 LT 8 DIP Low Power, Precision Single Supply, Operational Amp LT1077CN8#PBF 955-9663●
1077 LT 8 SOIC MicroPower, Single Supply Precision Operational Amplifier LT1077S8#PBF 955-9671●
1097 LT 8 DIP Precision Operational Amp.-Vos 50μV mAx. Drift 1.0μV/°C mAx. (Enhanced LT1097CN8#PBF
OP07/OP77/OP97/OP177) 955-9914●
1097 LT 8 SOIC Low Power, Proecision Operational Amplifier LT1097S8#PBF 955-9922●
1100 INTS 8 SOIC 850MHz, Low Distortion Current Feedback Op Amp HFA1100IBZ 156-1932●
1112 INTS 8 SOIC 850MHz Programmable Gain Buffer Amplifier HFA1112IBZ 156-1998●
1115 LT 16 SOIC Op Amp, Low Noise LT1115CSW#PBF 133-0579●
1122 LT 8 SOIC Op Amp, Fast Settling LT1122CS8#PBF 133-0580●
1128 LT 8 SOIC Ultra Low Noise Precision High Speed Op Amp LT1128CS8#PBF 166-3371●
1128 LT 8 DIP Op Amp, Low Noise LT1128CN8#PBF 133-0586●
1130 INTS 8 SOIC 850MHz, Output Limiting Low Distortion Current Feedback Op Amp HFA1130IBZ 156-1933●
1150 LT 8 SOIC Zero-Drift Op Amp with Internal Capacitors LTC1150CS8#PBF. 166-3601●
1152 LT 8 SOIC R/R I/O Zero-Drift Op Amp LTC1152IS8#PBF 166-3603●
1177 ADI 8 SOIC Single Precision Lo Input Bias Current Op Amp OP1177ARZ 862-1594●
1190 LT 8 SOIC Op Amp, High Speed LT1190CS8#PBF 133-0593●
1191 LT 8 SOIC Op Amp, High Speed LT1191CS8#PBF 133-0594●
1195 LT 8 SOIC Op Amp, High Speed LT1195CS8#PBF 133-0598●
1206 LT 7 D2PAK Op Amp, Cfa LT1206CR#PBF 133-0602●
1210 LT 16 SOIC Op Amp, 1.1A LT1210CS#PBF 133-0606●
1218 LT 8 SOIC Precision R/R I/O Op Amp LT1218LCS8#PBF 166-3399●
272
farnell.com element14.com 273
Semiconductors
1494 LT 8 MSOP Op Amp, Prec LT1494CMS8#PBF 133-0670●
1602 TI 8 SOIC 35MHz, 20V/μs, Wideband Bipolar Audio Op Amp OPA1602AID 192-4809●
1635 LT 8 SOIC Op Amp, μP W/ Ref LT1635CS8#PBF 133-0678●
1636 LT 8 SOIC Micropower R/R I/O Op Amp LT1636CS8#PBF 166-3456●
1636 LT 8 SOIC Micropower R/R I/O Op Amp LT1636IS8#PBF 166-3457●
1637 LT 8 SOIC 1.1MHz Micropower R/Rail I/O Op Amp LT1637CS8#PBF 166-3459●
1677 LT 8 SOIC Op Amp, Low Noise LT1677CS8#PBF 133-0687●
1722 LT 8 SOIC Op Amp, Prec LT1722CS8#PBF 133-0692●
1722 LTC 5 SOT-23 Op Amp, Precision, 200MHz LT1722IS5#TRMPBF 169-6229●
1782 LT 5 TSOT-23 200kHz GBWP Micropower R/Rail I/O Op Amp LT1782HS5#TRMPBF 166-3785●
1782 LT 5 TSOT-23 200kHz GBWP Micropower R/Rail I/O Op Amp LT1782IS5#TRMPBF 166-3786●
1782 LT 6 TSOT-23 200kHz GBWP Micropower R/Rail I/O Op Amp LT1782IS6#TRMPBF 166-3787●
1783 LT 5 TSOT-23 1.25MHz GBWP Micropower R/Rail I/O Op Amp LT1783HS5#TRMPBF 166-3788●
1783 LT 5 TSOT-23 1.25MHz GBWP Micropower R/Rail I/O Op Amp LT1783IS5#TRMPBF 166-3789●
1
1793 LT 8 SOIC Low Noise 10pF Bias Current JFET Input Op Amp LT1793ACS8#PBF 166-3509●
1800 LT 5 TSOT-23 80MHz R/R I/O Precision Op Amp LT1800CS5#TRMPBF 166-3796●
273
274 farnell.com element14.com
627854
274
farnell.com element14.com 275
Semiconductors
4289 MAX 6 SOT-23 17kHz GBWP R/R O/P Op Amp MAX4289EUT+T 160-9589●
4302 TI 16 QFN 2.4GHz GBWP Wideband Fixed-Gain of 5 Amplifier THS4302RGTR 156-4878●
4303 TI 16 QFN OP Amp Gp 18GHz Sgl Wideband THS4303RGTT. 175-5220●
4330 TI 14 VQFN 350KHz, 0.16V/μS, 1.8V to 5.5V Supply, Quad Zero Drift Op Amp OPA4330AIRGYT 188-2285●
4345 TI 14 SOIC OP Amp Rail-to-Rail 3MHz Quad OPA4345UA 175-5385●
4379 TI 14 TSSOP OP Amp Gp Rail-to-Rail 90KHz OPA4379AIPWR 175-4997●
4470 MAX 5 SOT-23 9kHz GBWP R/R O/P Op Amp MAX4470EUK+T 160-9585●
4501 TI 8 SOIC Advanced LinEPIC Self-Calibrating (Self-Cal) Precision Operational Amplifier TLC4501ACD 145-9848●
4558 DI 8 SOIC 3MHz, 1.7V/μs Low Noise Dual Operational Amplifier APX4558IS-13 190-4041●
4560 TI 8 SOIC OP Amp Gp 15MHz Dual Audio RC4560ID 175-5005●
4560 TI 8 DIP OP Amp Gp 15MHz Dual RC4560IP 175-5007●
4580 TI 8 DIP OP Amp Audio Stereo RC4580IP 175-5008●
4727 TI 14 TSSOP OP Amp Gp 20MHz Quad OPA4727AIPW 175-4998● 1
4830 TI 14 TSSOP OP Amp Vfb Rail-to-Rail 110MHz OPA4830IPW 175-4999●
4851 ADI 6 SOT-23 High Speed, Rail-to-Rail Op Amp ADA4851-1YRJZ 907-9343●
4851 ADI 6 SOT-23 Single, High Speed, Rail-to-Rail Output Op Amp ADA4851-1YRJZ-R2 107-8231●
275
276 farnell.com element14.com
276
farnell.com element14.com 277
Semiconductors
8041 ADI 8 SOIC 160MHz R/R Op Amp with Disable AD8041ARZ 166-0976●
8041 ADI 8 DIP 160 MHz Rail-to-Rail Amplifier with Disable AD8041ANZ 143-8577●
8045 ADI 8 LFCSP 3nV/Hz UltraLow Distortion Voltage Feedback High Speed Amplifier AD8045ACPZ-R2 107-8299●
8045 AD 8 SOIC 3nV/(sq.rt)Hz Ultralow Distortion, High Speed Op Amp AD8045ARDZ 907-9424●
8051 ADI 8 SOICHigh Speed, Rail-to-Rail, 3V/5V or ± 5V operated, Operational Amp.. AD8051ARZ 942-5608●
8055 ADI 5 SOT-23
Single 300MHz Voltage Feedback Amplifier AD8055ARTZ-R2 166-0979●
8055 ADI 8 SOICSingle 300MHz Voltage Feedback Amplifier AD8055ARZ 166-0980●
8055 ADI 8 DIP 300MHz, Voltage Feedback Operational Amp With bandwidth and slew rate AD8055ANZ
typical of Current Feedback Amps 107-9307●
8061 ADI 5 SOT-23 Single 300MHz R/R Op Amp AD8061ARTZ-R2. 166-0982●
8063 ADI 8 SOIC 300 MHz High Speed Rail-to Rail Amplifier With Shutdown, 2.7V to 8.0V Oper- AD8063ARZ
ation (IND TEMP) 158-1898●
8065 ADI 5 SOT-23 High Performance 145MHz FastFET Op Amp AD8065ARTZ-R2 166-0983●
8065 ADI 8 SOIC High Performance 145MHz FastFET Op Amp AD8065ARZ 166-0985● 1
8067 ADI 5 SOT-23 54MHz Precision FastFET Op Amp AD8067ARTZ-R2 166-0988●
8091 ADI 8 SOIC Single High Speed Rail-to-Rail Amp AD8091ARZ 862-1543●
277
278 farnell.com element14.com
Dual
414163
Price Each
Mftr. Pins Pkg Description Mftrs. List No. Order Code 1+ 10+ 100+ 250+
6 MCHIP 8 SOIC Dual R/R I/O 1.3MHz GBWP Op Amp Auto-Zeroed . . . . . . . . . . . . . . . . . . . MCP6V02-E/SN 162-9348●
6 MCHIP 8 SOIC Op Amp, Dual, 2.7V . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . MCP6L2T-E/SN 171-5886●
6 MCHIP 8 SOIC Op Amp, Dual, 2MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . MCP6L72T-E/SN 171-5863●
6 MCHIP 8 SOIC Op Amp, Dual, 1.8V, 1MHz. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . MCP6L02T-E/SN 171-5882●
6 MCHIP 8 SOIC Dual R/R I/O 1.3MHz GBWP Op Amp Auto-Zeroed . . . . . . . . . . . . . . . . . . . MCP6V07-E/SN 162-9351●
Semiconductors
278
farnell.com element14.com 279
Price Each
Mftr. Pins Pkg Description Mftrs. List No. Order Code 1+ 10+ 100+ 250+
275 ADI 8 SOIC Dual, Audio Bipolar JFET Operational Amp . . . . . . . . . . . . . . . . . . . . . . . . . OP275GSZ 942-6280●
277 TI 8 SOIC OP Amp, Dual. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . TLC277CD 845-4183●
277 TI 8 PDIP OP Amp, Dual. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . TLC277CP 845-4191●
279 ADI 8 SOIC Rail-to-Rail High Output Current Operational Amplifier . . . . . . . . . . . . . . . . . OP279GSZ 999-4424●
281 ADI 8 SOIC Dual, Ultralow Power, Rail-to-Rail Output Operational Amplifier . . . . . . . . . . OP281GSZ 143-8998●
284 ADI 8 SOIC Dual Precision Rail-to-Rail Input & Output Operational Amplifier. . . . . . . . . . OP284FSZ 999-4378●
285 ADI 8 SOIC Dual 9 MHz Precision Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . OP285GSZ 165-1302●
290 ADI 8 DIP Dual Precision Op Amp, LV. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . OP290GPZ 960-4731●
295 ADI 8 SOIC Dual, Operational Amplifier, Rail-to-Rail. . . . . . . . . . . . . . . . . . . . . . . . . . . . OP295GSZ 960-5185●
297 ADI 8 DIP Dual, Low Bias Current, Precision Operational Amp. (improved OP297GP). . OP297FPZ 960-4740●
297 ADI 8 DIP Dual Precision Op Amp, LBC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . OP297GPZ 960-4758●
297 ADI 8 SOIC Dual, Operational Amplifier, Low Bias Current Precision . . . . . . . . . . . . . . . OP297GSZ 942-6299●
321 DI 5 SOT-25 Low Voltage, 1MHz Operational Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . LMV321WG-7 182-5373●
332 NSC 8 NSOIC 7.5MHz BW Dual R/R I/O Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LM7332MA 158-9522●
332 NSC 8 MSOP 7.5MHz BW Dual R/R I/O Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LM7332MME 158-9523●
342 NSC 8 SOIC 1MHz GBWP Dual R/R I/O Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LMV342MA/NOPB 168-5373●
353 ST 8 PDIP 4MHz GBWP Dual J-FET Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LF353N 156-4326●
358 DI 8 SOP Dual Low Voltage, 1MHz Operational Amplifier . . . . . . . . . . . . . . . . . . . . . . APX358SG-13 182-5362●
358 DI 8 MSOP Dual Low Voltage, 1MHz Operational Amplifier . . . . . . . . . . . . . . . . . . . . . . LMV358M8G-13 182-5374●
358 ROHM 8 SOIC Dual, General Purpose, 0.7MHz Operational Amplifier . . . . . . . . . . . . . . . . . LM358DR 183-1865●
358 ROHM 8 SOIC Dual, General Purpose Operational Amplifier . . . . . . . . . . . . . . . . . . . . . . . . LM358MX 183-1866●
358 ST 8 SOIC Op Amp, Dual Low Power, Smd . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LM358AD 146-7656●
358 TI 8 SOIC 0.7MHz GBWP Dual Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LM358D. 164-8685●
358 TI 8 PDIP 0.7MHz GBWP Dual Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LM358P. 164-8686●
Semiconductors
358 TI 8 SOIC Dual Low Voltage & Power RRO . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LPV358D. 164-8689●
358 ST 8 PDIP 1.1MHz GBWP Dual Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LM358AN 156-4329●
358 ST 8 SOIC 1.1MHz GBWP Dual Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LM358DT 156-4331●
358 TI 8 PDIP Dual Operational Amplifier. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LM358AP 145-9520●
358 ST 8 SOIC Dual Low cost, low power input/output rail-to-rail operational amplifier . . . . LMV358ID 137-4136●
358 ST 8 TSSOP Dual Low cost, low power input/output rail-to-rail operational amplifier . . . . LMV358IPT 137-4137●
358 ST 8 SOIC Dual General Purpose, Input/Output Rail-to-Rail Low Power Operational TSV358ID
Amplifiers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 137-4149●
358 ST 8 SOIC Dual Op Amp, LP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LM358D 136-6578●
358 FCH 8 DIP Dual, Low Power, Single Supply, Operational Amp . . . . . . . . . . . . . . . . . . . KA358A 101-3813●
358 TI 8 SOIC Dual Op Amp, GP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LM358AD 752-7007●
358 NSC 8 SOIC Dual, Low Power, Single Supply, Operational Amp . . . . . . . . . . . . . . . . . . . LM358AM 948-6801●
358 NSC 8 DIP Dual Op Amp, LP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LM358AN 948-6810●
358 ON 8 SOIC Dual, Low Power, Single Supply, Operational Amp . . . . . . . . . . . . . . . . . . . LM358DG 121-1106●
1
358 NSC 8 SOIC Dual Op Amp, LP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LM358M 948-6828●
358 NSC 8 DIP Dual, Low Power, Single Supply, Operational Amp . . . . . . . . . . . . . . . . . . . LM358N 948-6836●
279
280 farnell.com element14.com
952 ST 8 TSSOP Dual, Low Power, Rail-to-Rail, I/O, Op. Amp., 3MHz . . . . . . . . . . . . . . . . . . TS952IPT 975-5691●
972 ST 8 SOIC Dual, Rail-to-Rail, Low Noise, 12MHz Op. Amp. . . . . . . . . . . . . . . . . . . . . . TS972ID 109-4409●
972 ST 8 TSSOP Dual, Rail-to-Rail, Low Noise, 12MHz Op. Amp. . . . . . . . . . . . . . . . . . . . . . TS972IPT 109-4410●
972 TI 8 SOIC OP Amp Gp Rail-to-Rail 12MHz Dual . . . . . . . . . . . . . . . . . . . . . . . . . . . . . TL972ID 175-5226●
992 ST 8 SOIC Dual 20MHz GBWP R/R I/O Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . TSV992AIDT 164-2733●
1002 LT 14 DIP Op Amp, Dual. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT1002CN#PBF 133-0560●
1013 TI 8 CDIP Dual Precision Operational Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT1013AMJG 145-9525●
1013 TI 8 CDIP Dual Precision Operational Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT1013AMJGB 145-9526●
1013 LT 8 DIP Dual Precision Op Amp, LP. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT1013CN8#PBF 955-9280●
1013 TI 8 SOIC Dual Precision Operational Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT1013DDG4 958-9759●
1013 LT 8 DIP Dual Precision, Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT1013DN8#PBF 955-9299●
1013 LT 8 SOIC Dual Precision Op Amp, LP. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT1013DS8#PBF 955-9302●
1 1013 LT 8 SOIC Precision, 800kHz dual Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT1013IS8#PBF 210-2618●
1078 LT 8 DIP Dual Op Amp 200kHz GBWP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT1078ACN8#PBF 166-3346●
1078 TI 8 SOIC Dual Micropower Precision Low-Voltage Operational Amplifier . . . . . . . . . . TLC1078CD 145-9733●
1078 LT 8 DIP Dual Precision Op Amp, LP. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT1078CN8#PBF 955-9680●
Integrated Circuits & Development Kits
1078 LT 8 SOIC Dual, MicroPower Single Supply Operational Amplifier. . . . . . . . . . . . . . . . . LT1078S8#PBF 955-9698●
1078 LT 8 SOIC Micropower, 200kHz, dual precision Op Amp . . . . . . . . . . . . . . . . . . . . . . . LT1078IS8#PBF 211-5801●
1112 LT 8 DIP Dual Low-Power Precision PicoAmp Input Op Amp . . . . . . . . . . . . . . . . . . . LT1112ACN8#PBF 113-6611●
1112 LT 8 DIP Dual, Low Power, Precision Operational Amp . . . . . . . . . . . . . . . . . . . . . . . LT1112CN8#PBF 955-9990●
1112 LT 8 SOIC Dual Low-Power Precision PicoAmp Input Op Amp . . . . . . . . . . . . . . . . . . . LT1112S8#PBF 956-0009●
1113 LT 8 SOIC Low noise, precision, JFET I/P, dual Op Amp . . . . . . . . . . . . . . . . . . . . . . . LT1113CS8#PBF 211-5802●
1124 LT 8 SOIC Dual Low Noise High Speed Precision Op Amp . . . . . . . . . . . . . . . . . . . . . . LT1124CS8#PBF 166-3370●
1124 LT 8 DIP Dual, Low Noise, High Speed, Precision Op Amp . . . . . . . . . . . . . . . . . . . . LT1124CN8#PBF 133-0581●
1126 LT 8 DIP Dual, Decompensated Low Noise, High Speed Precision Op Amp . . . . . . . . LT1126CN8#PBF 133-0583●
1129 LT 8 DIP Dual, 100MHz Current Feedback Amp. . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT1229CN8#PBF 133-0625●
1151 LT 8 DIP Dual Zero Drift Op Amp. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC1151CN8#PBF 166-3602●
1205 LT 16 SOIC Op Amp, Dual. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT1205CS#PBF 133-0601●
1211 LT 8 DIP Dual, Single Supply Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT1211CN8#PBF 133-0608●
1211 LT 8 SOIC 14MHz Single Supply Dual Precision Op Amp . . . . . . . . . . . . . . . . . . . . . . . LT1211CS8#PBF 166-3395●
1213 LT 8 SOIC 28MHz Single Supply Dual Precision Op Amp . . . . . . . . . . . . . . . . . . . . . . . LT1213CS8#PBF. 166-3397●
1213 LT 8 DIP Dual, Single Supply Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT1213CN8#PBF 133-0610●
1215 LT 8 SOIC 23MHz Single Supply Dual Precision Op Amp . . . . . . . . . . . . . . . . . . . . . . . LT1215CS8#PBF 166-3398●
1215 LT 8 DIP Dual, Single Supply Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT1215CN8#PBF 133-0612●
1229 LT 8 SOIC Dual Current Feedback Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT1229CS8#PBF 956-0343●
1253 LT 8 SOIC Video Op Amp, Dual . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT1253CS8#PBF 133-0629●
1259 LT 14 DIP Dual, 130MHz Current Feedback Amp. With Shutdown . . . . . . . . . . . . . . . . LT1259CN#PBF 113-6639●
1352 LT 8 SOIC 3MHz Single Supply Dual Precision Op Amp . . . . . . . . . . . . . . . . . . . . . . . . LT1352CS8#PBF 166-3408●
1352 LT 8 DIP Dual, 3MHz Operational Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT1352CN8#PBF 956-0416●
1355 LT 8 SOIC Dual 12MHz Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT1355CS8#PBF 166-3412●
1355 LT 8 DIP Dual 12MHz,400V/μs Operational Amplifier. . . . . . . . . . . . . . . . . . . . . . . . . LT1355CN8#PBF 956-0424●
1358 LT 8 DIP Dual, 25MHz, 600V/μs Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT1358CN8#PBF 133-0637●
1361 LT 8 SOIC Dual 50MHz Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT1361CS8#PBF 166-3413●
1361 LT 8 DIP Dual 50MHz, 800V/μs Operational Amplifier . . . . . . . . . . . . . . . . . . . . . . . . LT1361CN8#PBF 956-0459●
1364 LT 8 SOIC Dual 70MHz Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT1364CS8#PBF 166-3414●
1364 LT 8 DIP Dual, 70MHz, 1000V/μs Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT1364CN8#PBF 133-0644●
1365 LT 8 DIP Micropower R/R O/P Op Amp and Reference . . . . . . . . . . . . . . . . . . . . . . . LT1635CN8#PBF 166-3455●
1366 LT 8 DIP Dual, Precision Rail-to-Rail Input and Output Operational Amp. . . . . . . . . . . LT1366CN8#PBF 956-0475●
1368 LT 8 SOIC Dual 400kHz R/R I/O Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT1368CS8#PBF 166-3415●
1396 LT 8 MSOP Dual,400MHz, Current Feedback Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . LT1396CMS8#PBF 133-0648●
1413 LT 8 SOIC Single Supply, Dual Precision Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT1413S8#PBF 133-0653●
1458 ST 8 PDIP High performance dual op-amp with wide input common mode voltage MC1458N
range . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 136-6581●
1458 NSC 8 SOIC Dual, Compensated Operational Amp. - (Two 741s) . . . . . . . . . . . . . . . . . . LM1458M 948-6844●
1458 NSC 8 DIP Dual Op Amp, Comp. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LM1458N 948-6852●
1458 TI 8 SOIC Dual General-Purpose Operational Amplifier . . . . . . . . . . . . . . . . . . . . . . . . MC1458D 958-9821●
1458 TI 8 DIP Dual, Compensated Operational Amp. - (Two 741s) . . . . . . . . . . . . . . . . . . MC1458P 110-3044●
1469 LT 12 DFN Dual 90MHz 16Bit Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT1469IDF-2#PBF 167-3554●
280
farnell.com element14.com 281
Price Each
Mftr. Pins Pkg Description Mftrs. List No. Order Code 1+ 10+ 100+ 250+
469 LT 8 SOIC 90 MHz, dual 16bit accurate Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT1469CS8#PBF 211-5805●
1490 LT 8 DFN Dual 200kHz R/R I/O Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT1490ACDD#PBF 166-3432●
1490 LT 8 SOIC Dual 200kHz R/R I/O Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT1490ACS8#PBF 166-3433●
1490 LT 8 SOIC Dual 200kHz R/R I/O Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT1490IS8#PBF 166-3435●
1490 LT 8 DIP Dual, MicroPower Rail to Rail Input and Output Operational Amplifier. . . . . . LT1490ACN8#PBF 956-0530●
1490 LT 8 DIP Dual, MicroPower Rail to Rail Input and Output Operational Amplifier (IND LT1490AIN8#PBF
TEMP) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 956-0548●
1490 LT 8 MSOP Dual, Micropower, Rail-to-Rail Input and Output Op Amp. . . . . . . . . . . . . . . LT1490ACMS8#PBF 133-0662●
1490 LT 8 MSOP Dual, Micropower, Rail-to-Rail Input and Output Op Amp. . . . . . . . . . . . . . . LT1490AIMS8#PBF 133-0665●
1490 LT 8 MSOP Dual, Micropower, Rail-to-Rail Input and Output Op Amp. . . . . . . . . . . . . . . LT1490CMS8#PBF 133-0666●
1492 LT 8 DIP Dual, Low Power, Single Supply Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . LT1492CN8#PBF 133-0669●
1495 LT 8 DIP Dual R/R I/O Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT1495CN8#PBF 166-3438●
1495 LT 8 SOIC Dual, Precision Rail-to-Rail Input and Output Op Amp . . . . . . . . . . . . . . . . . LT1495CS8#PBF 133-0671●
1497 LT 16 SOIC Dual, 125mA, 50MHz, Current Feedback Op Amp . . . . . . . . . . . . . . . . . . . . LT1497CS#PBF 133-0673●
1498 LT 8 SOIC 10MHz Dual R/R I/O Precision C-Load Op Amp. . . . . . . . . . . . . . . . . . . . . . LT1498CS8#PBF. 166-3439●
1498 LT 8 SOIC 10MHz Dual R/R I/O Precision C-Load Op Amp. . . . . . . . . . . . . . . . . . . . . . LT1498IS8#PBF 166-3440●
1498 LT 8 DIP Dual, Rail-to-Rail Input and Output, Precision C-Load Op Amp. . . . . . . . . . . LT1498CN8#PBF 133-0674●
1558 NSC 8 TO-5 Dual, Compensated Operational Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LM1558H 121-6159●
1630 LT 8 SOIC Dual 30MHz R/R I/O Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT1630CS8#PBF 166-3453●
1632 LT 8 SOIC Dual 45MHz R/R I/O Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT1632CS8#PBF 166-3454●
1632 LT 8 DIP Op Amp, Dual. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT1632CN8#PBF 133-0677●
1638 LT 8 SOIC Dual 1.2MHz Over The Top Micropower R/R I/O Op Amp . . . . . . . . . . . . . . LT1638CS8#PBF 166-3461●
1638 LT 8 SOIC Dual 1.2MHz Over The Top Micropower R/R I/O Op Amp . . . . . . . . . . . . . . LT1638HS8#PBF 166-3462●
1673 LT 8 SOIC Dual, Rail-to-Rail Input and Output Op Amp. . . . . . . . . . . . . . . . . . . . . . . . . LT1673CS8#PBF 133-0684●
Semiconductors
1678 LT 8 SOIC Dual Low Noise R/R Precision Op Amp. . . . . . . . . . . . . . . . . . . . . . . . . . . . LT1678IS8#PBF 166-3468●
1678 LT 8 SOIC Dual, Low Noise, Rail-to-Rail, Precision Op Amp. . . . . . . . . . . . . . . . . . . . . LT1678CS8#PBF 133-0689●
1795 LT 20 SOIC Dual 500mA/50MHz Current Feedback Line Driver Amplifier . . . . . . . . . . . . LT1795CSW#PBF 133-0701●
801 LT 8 SOIC 80MHz, low power, precision, RRIO Op Amp . . . . . . . . . . . . . . . . . . . . . . . LT1801CS8#PBF 211-5810●
1807 LT 8 SOIC 325MHz Dual R/R I/O Low Distortion & Noise Precision Op Amp. . . . . . . . . LT1807CS8#PBF 166-3511●
1807 LT 8 MSOP Dual, Rail-to-Rail Input and Output, Low Distortion, Op Amp . . . . . . . . . . . . LT1807CMS8#PBF 133-0710●
1810 LT 8 MSOP Op Amp, Dual, 320 MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT1810CMS8#PBF 133-0712●
1813 LT 8 MSOP Op Amp, Dual, 100 MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT1813DMS8#PBF 133-0714●
1816 LT 8 MSOP Dual 220MHz Op Amp with Programmable Supply Current . . . . . . . . . . . . . LT1816IMS8#PBF 166-3512●
1819 LT 8 SOIC 400MHz 9mA Dual Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT1819CS8#PBF 166-3515●
1819 LT 8 SOIC 400MHz 9mA Dual Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT1819IS8#PBF 166-3516●
1852 ST 8 SOIC Dual, MicroPower, 1.8V, 120μA/Amp., Rail-to-Rail I/O, Op. Amp. . . . . . . . . TS1852ID 109-4360●
1872 ST 8 SOIC Dual, Low Power, 1.8V, 400μA, Rail-to-Rail I/O, Op. Amp. . . . . . . . . . . . . . TS1872ID 109-4363●
1881 LT 8 SOIC Op Amp, Dual. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT1881CS8#PBF 133-0724●
1
1884 LT 8 SOIC Op Amp, Dual. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT1884CS8#PBF 133-0726●
1886 LT 8 SOIC Op Amp, Dual. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT1886CS8#PBF 133-0728●
414164
Price Each
Mftr. Pins Pkg Description Mftrs. List No. Order Code 1+ 10+ 100+ 250+
2241 TI 8 SOIC OP Amp uPWR BIPOLAR Dual . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . OPA2241UA 121-2437●
2241 TI 8 DIP Dual, MicroPower, Single Supply (25μA, 5Volt) Amp. (IND TEMP)... . . . . . . . . . . . OPA2241PAG4 121-4362●
2242 TI 8 SOIC Op Amp, Rail-Rail I/O Dual . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . TLV2242ID 845-4949●
2244 TI 8 VSSOP OP Amp Dual Single Supply . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . OPA2244EA/250G4 120-6939●
2244 BB 8 DIP Dual, MicroPower, Single Supply (40μA, 2.2V to 36V) Amp. (IND TEMP) . . . . . . . . OPA2244PA 110-6189
2244 TI 8 SOIC OP Amp,Dual Single Supply . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . OPA2244UA 121-2438●
2252 TI 8 SOIC RAIL-TO-RAIL Dual Operational Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . TLC2252AIDG4 123-4812●
2252 TI 8 SOIC Dual RAIL-TO-RAIL MICROPower Operational Amplifier . . . . . . . . . . . . . . . . . . . . . TLC2252CDG4 123-4770●
281
282 farnell.com element14.com
282
farnell.com element14.com 283
Price Each
Mftr. Pins Pkg Description Mftrs. List No. Order Code 1+ 10+ 100+ 250+
2904 NSC 8 SOIC Dual, Operational Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LM2904M 948-6860●
2904 ON 8 DIP Dual, Split or Single Supply, Operational Amp. (IND TEMP). . . . . . . . . . . . . . . . . . . LM2904NG 121-1131●
2904 ST 8 TSSOP Dual, Low Power, Operational Amp. (IND TEMP/TSSOP) . . . . . . . . . . . . . . . . . . . . LM2904PT 109-4244●
2904 TI 8 TSSOP Dual General-Purpose Operational Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LM2904PW 838-9217●
2904 ON 8 SOIC Low power, dual Op Amp. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LM2904VDG 207-2393●
3061 TI 8 SOIC OP Amp, Current Feedback Single . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . THS3061D 845-2962●
3062 TI 8 SOIC OP Amp, Current Feedback Dual . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . THS3062D 845-2970●
3092 TI 8 SOIC OP Amp, Current Feedback . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . THS3092D 845-3012●
3102 INTS 14 SOIC Dual Differential Amplifier For Low Power Applications Up to 500MHz . . . . . . . . . . CA3102MZ 156-2069●
3115 TI 14 SOIC Op Amp Dual 110MHz Current O/P. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . THS3115CD 175-5387●
3202 TI 8 SOIC OP Amp, Current Feedback 2.0GHZ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . THS3202D 845-3080●
3202 TI 8 VSSOP OP Amp, Current Feedback 2.0GHZ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . THS3202DGK 845-3098●
3202 TI 8 HTSSOP OP Amp, Current Feedback 2.0GHZ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . THS3202DGN 845-3101●
3414 TI 8 SOIC OP Amp Gp 2MHz Dual . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . TL3414AID 175-5393●
3702 TI 8 VSSOP OP Amp, Rail-Rail Dual . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . TLV3702IDGKG4 120-7300●
4012 TI 8 SOIC OP Amp, 290-MHZ Dual . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . THS4012CD 845-3128●
4016 MAX 8 SOIC 150MHz 3.3V/5V Operational Amp With Rail-to-Rail outputs. . . . . . . . . . . . . . . . . . MAX4016ESA+ 972-5849●
4022 TI 8 SOIC OP Amp, 350-MHZ Dual . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . THS4022ID 845-3160●
4032 TI 8 SOIC OP Amp, 100-MHZ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . THS4032CD 845-3209●
4032 TI 8 SOIC OP Amp, 100-MHZ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . THS4032ID 845-3217●
4042 MAX 8 uMAX Dual, MicroPower Rail-to-Rail Input/output Operational Amp. (IND TEMP). . . . . . . . MAX4042EUA+ 972-3986●
4042 TI 8 SOIC OP Amp, 165-MHZ Dual . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . THS4042CD 845-3225●
4062 TI 8 SOIC Dual 180MHz High Speed Operational Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . THS4062CD 110-2963●
4082 TI 8 SOIC Dual, 175MHz Low-Power, High Speed Operational Amp. (0 to +70°C Temp. THS4082CDG4
Semiconductors
Range) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 121-4367●
4253 MAX 10 SOIC Dual, Low-Noise, Low-Distortion, Single Supply, Rail-to-Rail Operational Amp . . . . MAX4253EUB+ 972-4010●
4558 ST 8 SOIC 5.5MHz GBWP Dual Bipolar Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . MC4558CD 156-4342●
4558 TI 8 SOIC Dual General-Purpose Operational Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . RC4558D 958-9937●
4558 TI 8 DIP Dual Op Amp, GP. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . RC4558P 110-6010●
4560 ROHM 8 SOP Dual General Purpose, 10MHz Operational Amplifier . . . . . . . . . . . . . . . . . . . . . . . . BA4560F-E2 183-1829●
4560 ROHM 8 SSOP Dual General Purpose, 4MHz Operational Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . BA4560RFV-E2 183-1830●
4841 ADI 8 MSOP Dual Low Power, Low Noise and Distortion, Rail-to-Rail Output Amplifier . . . . . . . . ADA4841-2YRMZ 127-4194●
4841 ADI 8 SOIC Dual Low Power, Low Noise and Distortion, Rail-to-Rail Output Amplifier . . . . . . . . ADA4841-2YRZ 127-4192●
4850 ADI 16 LFCSP Dual, High Speed, Rail-to-Rail Output Op Amp With UltraLow Power-Down. . . . . . . ADA4850-2YCPZ-R2 107-8232●
4851 ADI 8 MSOP Op Amp,Rail-Rail O/P,Dual. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADA4851-2YRMZ 133-3267●
5260 INTS 8 SOIC Dual 3MHz BiMOS Microprocessor Op Amp with MOSFET Input/CMOS Output . . . . CA5260MZ 156-2075●
5532 TI 8 SOIC OP-Amp Dual Low Noise . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . NE5532AD 110-3060●
5532 TI 8 DIP Dual Op Amp, Comp. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . NE5532AP 110-6091●
1
5532 TI 8 SOIC Dual Low-Noise High-Speed Audio Operational Amplifier . . . . . . . . . . . . . . . . . . . . NE5532D 958-9856●
5532 TI 8 DIP Dual Op Amp, Comp. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . NE5532P 110-6092●
283
284 farnell.com element14.com
6643 NSC 8 Mini SOIC Low Power, 130MHz, 75mA Rail-to-Rail Output Amplifiers. . . . . . . . . . . . . . . . . . . LMH6643MM 131-2812●
6646 NSC 8 SOIC Rail/Rail Input & Output Dual Amplifier,2.7V,0.65mA . . . . . . . . . . . . . . . . . . . . . . . LMH6646MA. 128-6945●
6655 NSC 8 Mini SOIC Dual Low Power, 250 MHz, Low Noise Amplifiers . . . . . . . . . . . . . . . . . . . . . . . . . LMH6655MM 131-2817●
6655 NSC 8 SOIC Dual, Low Power, 250MHz Operational Amp. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LMH6655MA 949-0159●
6658 NSC 8 SOIC 270MHz Single Supply, Dual Amplifiers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LMH6658MA 818-0806●
6672 NSC 8 SOIC Dual, High output Current Operational Amp. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LMH6672MA 949-0140●
6715 NSC 8 SOIC 400MHz Small Signal BW Dual Video Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . LMH6715MA/NOPB 156-4715●
6724 NSC 8 SOIC 370MHz Dual, 1mA Current Feedback Op Amp. . . . . . . . . . . . . . . . . . . . . . . . . . . . LMH6724MA 818-0920●
7322 NSC 8 SOIC Dual 15MHz R/R I/O Op Amp High Output Current . . . . . . . . . . . . . . . . . . . . . . . . . LM7322MA 166-3018●
7372 NSC 8 SOP High Output Current, Dual Op Amplifier. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LM7372MR. 128-6929●
7708 NSC 8 SOIC Dual CMOS Input R/R I/O Decompensated Op Amp . . . . . . . . . . . . . . . . . . . . . . . . LMP7708MA 166-3001●
7712 NSC 10 MSOP Single and Dual Precision, 17 MHz, Low Noise, CMOS Input Amplifiers . . . . . . . . . LMP7712MM 131-2609●
1 7732 NSC 8 MSOP Dual Low Noise Precision R/R I/O Op Amp. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LMP7732MM 166-3023●
7732 NSC 8 MSOP Dual Low Noise Precision R/R I/O Op Amp. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LMP7732MME 166-3024●
7732 NSC 8 NSOIC Low Noise Precision, RRIO Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LMP7732MA 149-5065●
8008 ADI 8 SOIC Dual Low Distortion, High Speed Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD8008ARZ 862-1470●
Integrated Circuits & Development Kits
8012 ADI 8 SOIC Dual, 350MHz Low Power Current Feedback Video Amp . . . . . . . . . . . . . . . . . . . . AD8012ARZ 960-3719●
8022 ADI 8 SOIC Dual Low Noise, Low distortion, High speed Amp. . . . . . . . . . . . . . . . . . . . . . . . . . AD8022ARZ 862-1497●
8030 ADI 8 SOIC 125MHz Dual High Speed R/R Input/Output Amplifier . . . . . . . . . . . . . . . . . . . . . . . AD8030ARZ 155-8391●
8032 ADI 8 MSOP 2.7V 80MHz R/R I/O Dual Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD8032ARMZ 166-0973●
8032 ADI 8 SOIC 2.7V 80MHz R/R I/O Dual Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD8032BRZ 166-0975●
8032 ADI 8 DIP Dual, 800μA/Amp., 80MHz, Rail-to-Rail, Input and Output Op. Amp.. . . . . . . . . . . . AD8032ANZ 960-3972●
8032 ADI 8 PDIP 2.7 V, 800 μA, 80 MHz Rail-to-Rail I/O Dual Amplifier . . . . . . . . . . . . . . . . . . . . . . AD8032BNZ 143-8576●
8039 ADI 8 SOIC Dual Lo Power Voltage Feedback Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD8039ARZ 158-1905●
8052 ADI 8 MSOP Dual High Speed, R/R Op Amp. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD8052ARMZ 166-0978●
8052 ADI 8 SOIC Dual, High Speed, Rail-to-Rail, 3V/5V or ± 5V operated, Operational Amp . . . . . . . AD8052ARZ 942-5616●
8056 ADI 8 SOIC Dual 300MHz Voltage Feedback Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD8056ARZ 166-0981●
8056 ADI 8 DIP Dual, 300MHz Voltage Feedback Operational Amp With bandwidth and slew rate AD8056ANZ
typical of Current Feedback Amps . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 107-9308●
8062 ADI 8 SOIC Dual, 300MHz Rail-to-Rail Voltage feedback, Single Supply +2.7 to+8V, Op. Amp AD8062ARZ 942-5837●
8066 ADI 8 MSOP Dual High Performance 145MHz FastFET Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . AD8066ARMZ 166-0987●
8100 NSC 14 NSOIC Programmable Gain Amplifier. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LMP8100MA 143-3175●
8262 NSC 8 Mini SOIC RRIO, High Output Current & Unlimited Cap Load Op Amp in MSOP . . . . . . . . . . . . LM8262MM 131-2797●
8272 NSC 8 MSOP Dual Rail-to-Rail High output Current Operational Amp.. . . . . . . . . . . . . . . . . . . . . . LM8272MM 977-9183●
8397 ADI 8 SOIC-Epad Dual, Rail-to-Rail Output, High Output Current Amplifier . . . . . . . . . . . . . . . . . . . . . AD8397ARDZ 107-8283●
8397 ADI 8 SOIC Dual, Rail-to-Rail Output, High Output Current Amplifier . . . . . . . . . . . . . . . . . . . . . AD8397ARZ 107-8284●
8539 ADI 8 SOIC Dual, Low Power, Precision, Auto-Zero Op Amp. . . . . . . . . . . . . . . . . . . . . . . . . . . AD8539ARZ 149-8686●
8552 ADI 8 TSSOP Dual Zero-Drift Single-Supply R/R I/O Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD8552ARUZ 166-0994●
8552 ADI 8 SOIC Dual Op.Amp, RR, ZD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD8552ARZ 942-5845●
8572 ADI 8 SOIC Dual, Zero-Drift, Single Supply, Rail-to-Rail, Input and Output Op.Amp., 750μA/ AD8572ARZ
Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 960-4022●
8599 ADI 8 SOIC Dual, Ultralow Distortion, Ultralow Noise Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . AD8599ARZ 143-8872●
8607 ADI 8 SOIC Precision MicroPower Low Noise CMOS Rail-to-Rail Input/Output Operational Am- AD8607ARZ
plifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 158-1950●
8616 ADI 8 SOIC Precision 20 MHz CMOS Rail-to-Rail Input/Output Operational Amplifier . . . . . . . . . AD8616ARZ 158-1952●
8646 ADI 8 SOIC 24 MHz Rail-to-Rail Dual Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD8646ARZ 144-0771●
8662 ADI 8 SOIC Low Noise, Precision 16 V CMOS, Rail-to-Rail Op-Amp . . . . . . . . . . . . . . . . . . . . . AD8662ARZ 127-4215●
8666 ADI 8 SOIC 16 V, 4 MHz Rail-to-Rail Output Amplifier 14-Lead . . . . . . . . . . . . . . . . . . . . . . . . . AD8666ARZ 127-4214●
8676 ADI 8 SOIC Ultra Precision, 36 V, 2.8 nV/rtHz Dual Rail-to-Rail Output Op Amp. . . . . . . . . . . . . AD8676BRZ 138-9165●
8672 ADI 8 SOIC Precision, Very Low Noise, Low Input Bias Current Operational Amplifier . . . . . . . . AD8672ARZ 158-1957●
8676 ADI 8 NSOIC Ultra Precision, 36 V, 2.8 nV/√Hz Dual Rail-to-Rail Output Op Amp. . . . . . . . . . . . . AD8676ARZ 131-6453●
9916 MAX 8 SOT-23 1MHz GBWP R/R I/P & O/P Dual Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . MAX9916EKA+T 160-9593●
10358 ROHM 8 SSOP Dual General Purpose Operational Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . BA10358FV-E2 183-1820●
28291 INTS 8 SOIC Ultra low noise, low distortion, 61MHz, RRO Dual op amp . . . . . . . . . . . . . . . . . . . ISL28291FBZ 211-1968●
33072 ON 8 DIP Dual, High Performance Operational Amp. (AUTO TEMP) . . . . . . . . . . . . . . . . . . . . MC33072PG 119-1841●
33077 ON 8 SOIC Dual, Low Noise Operational Amp. (IND TEMP) . . . . . . . . . . . . . . . . . . . . . . . . . . . MC33077DG 121-1116●
33172 ST 8 SOIC 2.1MHz GBWP Dual Bipolar Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . MC33172DT 156-4339●
33172 ON 8 SOIC Dual, Low Power, Single Supply, Operational Amp . . . . . . . . . . . . . . . . . . . . . . . . . MC33172DG 966-5307●
33172 ON 8 DIP Dual, Low Power, Single Supply, Operational Amp . . . . . . . . . . . . . . . . . . . . . . . . . MC33172PG 966-4963●
284
farnell.com element14.com 285
Price Each
Mftr. Pins Pkg Description Mftrs. List No. Order Code 1+ 10+ 100+ 250+
33178 ON 8 DIP Dual, High Output Current, Low Power, Low Noise Operational Amp. (AUTO TEMP) MC33178PG 966-6818●
33202 ON 8 SOIC Dual Op Amp, RR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . MC33202DG 966-6672●
33202 ON 8 DIP Dual, Single Supply Rail-to-Rail Input and Output Operational Amp. . . . . . . . . . . . . MC33202PG 966-6680●
33272 ON 8 DIP Dual, High Slew Rate Single Supply Operational Amp. (AUTO TEMP) . . . . . . . . . . . MC33272APG 966-6834●
34072 ON 8 SOIC Dual, High Performance Operational Amp. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . MC34072DG 966-4416●
Triple
414165
Price Each
Mftr. Pins Pkg Description Mftrs. List No. Order Code 1+ 10+ 100+ 250+ 500+
73 ST 14 TSSOP Triple, Wideband, Rail-to-Rail Video Op Amp With Standby . . . . . . . TSH73CPT 108-6952●
113 ST 14 TSSOP Wide Band, Low Noise Operational Amplifier . . . . . . . . . . . . . . . . . . TSH113IPT 109-4962●
1260 LT 16 SOIC Triple, Current Feedback Op Amp with Shutdown . . . . . . . . . . . . . . LT1260CS#PBF 133-0633●
1399 LT 16 SOIC Triple, 300MHz, Current Feedback Op Amp with Shutdown . . . . . . . LT1399CS#PBF 133-0651●
3355 TI 14 TSSOP Triple 200MHz Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . OPA3355EA/250 162-4413●
3692 TI 16 SOIC OP Amp, Triple, Fixed Gain . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . OPA3692IDG4 120-7055●
3832 TI 14 SOIC Fixed-Gain OP Amp Trpl . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . OPA3832ID 175-5384●
4861 ADI 14 SOIC High Speed, Triple Op-Amp. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADA4861-3YRZ 111-7874●
6738 NSC 16 SSOP Very Wideband, Low Distortion Triple Op Amp. . . . . . . . . . . . . . . . . LMH6738MQ 818-0970●
6550 LT 10 MSOP Triple, High Speed Video Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . LT6550CMS#PBF 133-0768●
6553 LT 16 SSOP Triple Video Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT6553CGN#PBF 133-0771●
6555 LT 24 SSOP Triple Video Multiplexer Op Amp, 650MHz. . . . . . . . . . . . . . . . . . . . LT6555CGN#PBF 133-0773●
6559 LT 16 QFN 300MHz Triple Video Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT6559CUD#PBF 132-9370●
Semiconductors
6733 NSC 16 SSOP Op Amp, Triple, Video . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LMH6733MQ 133-3959●
Quad
414166
Price Each
Mftr. Pins Pkg Description Mftrs. List No. Order Code 1+ 10+ 100+ 250+
6 MCHIP 14 SOIC Op Amp, Quad, 1.8V, 1MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . MCP6L04T-E/SL 171-5883●
6 MCHIP 14 SOIC Op Amp, Quad, 10MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . MCP6L94T-E/SL 171-5868●
11 ADI 14 PDIP Quad Matched 741-Type Operational Amplifiers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . OP11EPZ 143-8686●
24 ST 14 SOIC Op Amp, Quad, Bipolar, High PerformAnce . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . TSH24ID 173-7204●
27 ST 14 SOIC Precision Low Power Cmos Quad Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . TS27L4CD 173-7188●
27 ST 14 PDIP Precision Low Power Cmos Quad Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . TS27L4CN 173-7189●
27 TI 14 SOIC LINCMOS(TM) Precision Quad Operational Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . TLC27L4ACDG4 123-4732● 1
27 TI 14 SOIC LINCMOS(TM) Precision Quad Operational Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . TLC27L4AIDG4 123-4765●
27 TI 14 SOIC Quad Precision Single SUPPLY UPower Operational Amplifier . . . . . . . . . . . . . . . . . . . . TLC27L4IDG4 123-4706●
27 TI 14 PDIP Quad Precision Single SUPPLY UPower Operational Amplifier . . . . . . . . . . . . . . . . . . . . TLC27L4INE4 123-5005●
285
286 farnell.com element14.com
Price Each
Mftr. Pins Pkg Description Mftrs. List No. Order Code 1+ 10+ 100+ 250+
279 TI 14 SOIC OP Amp, Quad . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . TLC279ID 845-4205●
324 DI 14 TSSOP Quad 1MHz, Low Voltage Operational Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . APX324TSG-13 182-5361●
324 ST 14 TSSOP Quad 1MHz, Low Power, Rail-to-Rail I/O Operational Amplifier . . . . . . . . . . . . . . . . . . . LMV324IPT 184-2586●
324 ST 14 TSSOP Op Amp, Quad, Low Power. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LM324PT 173-7164●
324 NSC 14 DIP Op Amp, Quad Low Power . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LM324N 948-6933●
324 TI 14 PDIP 1.2MHz GBWP Quad Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LM324N.. 164-8684●
324 TI 14 PDIP 1.2MHz Quad Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LM324N 156-4884●
324 TI 14 PDIP 12MHz GBWP Quad Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LM324AN 156-4954●
324 NSC 14 MDIL Quad Op Amp Single/Dual PSU Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LM324AN/NOPB 156-4649●
324 NSC 14 SOIC 152kHz GBWP Quad Op Amp R/R O/P . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LPV324M/NOPB 168-5329●
324 ST 14 SOIC Quad Low cost, low power input/output rail-to-rail operational amplifier . . . . . . . . . . . . . LMV324ID 137-4135●
324 ST 14 SOIC Quad General Purpose, Input/Output Rail-to-Rail Low Power Operational Amplifiers . . . . TSV324ID 137-4148●
324 FCH 14 DIP Quad Operational Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LM324N 141-7640●
324 TI 14 TSSOP Quadruple Operational Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LM324APW 145-9518●
324 TI 14 SOIC Quad Op Amp, GP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LM324AD 838-9233●
324 TI 14 SOIC Ultra-Low-Power Quadruple Operational Amplifiers . . . . . . . . . . . . . . . . . . . . . . . . . . . . LP324D 149-4905●
324 NSC 14 SOIC Quad, Operational Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LM324AM 948-6909●
324 NSC 14 DIP Quad, Operational Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LM324AN 948-6917●
324 ON 14 DIP Quad Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LM324ANG 121-4466●
324 ON 14 SOIC Quad, Operational Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LM324DG 121-1103●
Semiconductors
286
farnell.com element14.com 287
Price Each
Mftr. Pins Pkg Description Mftrs. List No. Order Code 1+ 10+ 100+ 250+
925 ST 16 SOIC Quad, Rail-to-Rail I/O, High Output Current (80mA) Op. Amp.,4MHz, With adjustable TS925ID
phantom ground and standby . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 109-4394●
934 NSC 14 SOIC Rail/Rail Input & Output Quad Amplifier, 1.8V . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LMV934MA. 128-6956●
934 NSC 14 TSSOP Rail/Rail Input & Output Quad Amplifier, 1.8V . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LMV934MT. 128-6957●
934 ST 14 SOIC Quad, Rail-to-Rail, MicroPower (20μA/Amp.) Op. Amp. . . . . . . . . . . . . . . . . . . . . . . . . TS934ID 109-4398●
954 ST 14 SOIC Quad, Low Power, Rail-to-Rail I/O, Op. Amp., 3MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . TS954ID 109-4407●
974 ST 14 SOIC Quad, Rail-to-Rail, Low Noise, 12MHz Op. Amp. (SOIC) . . . . . . . . . . . . . . . . . . . . . . . . TS974ID 109-4411●
994 ST 14 SOIC Quad 20MHz, Wide Bandwidth Operational Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . TSV994AID 184-2583●
994 ST 14 TSSOP Quad 20MHz, Wide Bandwidth Rail-to-Rail I/O Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . TSV994IYPT 184-2607●
994 ST 14 TSSOP Quad Rail-to-rail input/output 20MHz GBP operational amplifier . . . . . . . . . . . . . . . . . . . TSV994IPT 137-4132●
1014 TI 16 SOIC 1MHz GBWP Quad Precision Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT1014DIDW.. 164-8691●
1014 LT 14 DIP Quad, Low Power, Precision Operational Amp. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT1014CN#PBF 955-9310●
1014 TI 14 DIP Quad Precision Operational Amplifier. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT1014CNG4 958-9767●
1014 LT 14 DIP Quad Precision, Op Amp. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT1014DN#PBF 955-9329●
1014 LT 16 SOIC Quad, Low Power, Precision Operational Amp. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT1014DSW#PBF 955-9337●
1014 LT 14 DIP Quad Precision, Op Amp. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT1014IN.#PBF 955-9345●
1014 LT 16 SOIC Precision, 800kHz quad Op Amp. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT1014ISW#PBF 210-2619●
1058 LT 14 DIP Quad 5MHz JFET Input Precision High Speed Op Amp. . . . . . . . . . . . . . . . . . . . . . . . . . LT1058ACN#PBF 166-3344●
1079 LT 14 DIP Quad, Low Power, Single Supply, Precision Operational Amp . . . . . . . . . . . . . . . . . . . . LT1079CN#PBF 955-9701●
1079 LT 16 SOIC Op Amp, Quad . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT1079SW#PBF 133-0575●
1882 LTC 14 SOIC Quad 850kHz, Rail-to-Rail, Precision Operational Amplifier . . . . . . . . . . . . . . . . . . . . . . LT1882HS#PBF 183-9223●
1882 LTC 14 SOIC Quad 850kHz, Rail-to-Rail, Precision Operational Amplifier . . . . . . . . . . . . . . . . . . . . . . LT1882MPS#PBF 183-9224●
6248 LTC 16 MSOP Quad 180MHz, Rail-to-Rail, Unity Gain Stable Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . LTC6248IMS#PBF 183-9265●
9224 ST 14 SOIC Quad 4MHz, Rail-to-Rail, Low Power Operational Amplifier . . . . . . . . . . . . . . . . . . . . . . TS9224IYDT 184-2585●
Semiconductors
Micropower Operational Amplifers with OVP
ADA4096 operational amplifiers feature micropower operation and rail-to-rail input and output ranges. Features:
The extremely low power requirements and guaranteed operation from 3V to 30V make these amplifiers Ì Input OVP, 32V above and below supply rails
perfectly suited to monitor battery usage and to control battery charging. These devices also have over- Ì Low power: 60μA per amplifier
voltage protection inputs and diodes that allow the voltage input to extend 32V above and below the sup- Ì High open loop gain: 120 dB
ply rails, making these devices ideal for robust industrial applications. Ì Unity Gain Stable
659983
287
288 farnell.com element14.com
660266
Operational Amplifers
659986
288
farnell.com element14.com 289
Operational Amplifiers
605162
Semiconductors
8/DIP Unity Gain Stable 14kHz 1.4V to 5.5V 0.003 -40°C to +125°C MCP6043-E/P 184-0869●
8/MSOP Unity Gain Stable 14kHz 1.4V to 5.5V 0.003 -40°C to +125°C MCP6043-E/MS 184-0870●
8/SOIC Unity Gain Stable 14kHz 1.4V to 5.5V 0.003 -40°C to +125°C MCP6043-E/SN 184-0871●
5/SOT-23 Unity Gain Stable 14kHz 1.4V to 5.5V 0.003 -40°C to +125°C MCP6041T-E/OT 184-0872●
8/SOIC Rail to Rail 100kHz 1.4V to 6V 0.024 -40°C to +125°C MCP6141-E/SN 185-2069●
5/SOT-23 Non Unity Gain Stable 100kHz 1.4V to 6V 0.024 -40°C to +125°C MCP6141T-E/OT 185-2070●
8/MSOP Non Unity Gain Stable 100kHz 1.4V to 6V 0.024 -40°C to +125°C MCP6142-E/MS 185-2071●
8/DIP Non Unity Gain Stable 100kHz 1.4V to 6V 0.024 -40°C to +125°C MCP6142-E/P 185-2072●
8/SOIC Non Unity Gain Stable 100kHz 1.4V to 6V 0.024 -40°C to +125°C MCP6142-E/SN 185-2074●
6/SOT-23 Non Unity Gain Stable 100kHz 1.4V to 6V 0.024 -40°C to +125°C MCP6143T-E/CH 185-2075●
14/DIP Non Unity Gain Stable 100kHz 1.4V to 6V 0.024 -40°C to +125°C MCP6144-E/P 185-2076●
14/TSSOP Non Unity Gain Stable 100kHz 1.4V to 6V – -40°C to +125°C MCP6144-E/ST 185-2077●
8/DIP Micropower 155kHz 2.5V to 6V 0.08 -40°C to +85°C MCP608-I/P 185-2067● 1
8/TSSOP Micropower 155kHz 2.5V to 6V 0.08 -40°C to +85°C MCP608-I/ST 185-2068●
8/TSSOP Micropower 155kHz 2.5V to 6V 0.08 -40°C to +85°C MCP606-I/ST 185-2063●
8/MSOP Micropower 190kHz 2.3V to 5.5V 0.08 -40°C to +85°C MCP616-I/MS 185-2078●
289
290 farnell.com element14.com
8/MSOP General Purpose 2.8MHz 2.7V to 6V 2.3 -40°C to +125°C MCP6L2T-E/MS 185-2147●
14/TSSOP General Purpose 2.8MHz 2.7V to 6V 2.3 -40°C to +125°C MCP6L4T-E/ST 185-2148●
8/DIP CMOS 2.8MHz 2.7V to 6V 2.3 -40°C to +125°C MCP603-E/P 185-2052●
8/SOIC CMOS 2.8MHz 2.7V to 6V 2.3 -40°C to +125°C MCP603-E/SN 185-2053●
6/SOT-23 CMOS 2.8MHz 2.7V to 6V 2.3 -40°C to +125°C MCP603T-E/CH 185-2054●
14/DIP CMOS 2.8MHz 2.7V to 6V 2.3 -40°C to +125°C MCP604-E/P 185-2055●
14/TSSOP CMOS 2.8MHz 2.7V to 6V 2.3 -40°C to +125°C MCP604-E/ST 185-2056●
8/DIP CMOS 2.8MHz 2.7V to 6V 2.3 -40°C to +125°C MCP602-E/P 185-2042●
8/SOIC CMOS 2.8MHz 2.7V to 6V 2.3 -40°C to +125°C MCP602-E/SN 185-2043●
8/TSSOP CMOS 2.8MHz 2.7V to 6V 2.3 -40°C to +125°C MCP602-E/ST 185-2044●
5/SOT-23 CMOS 2.8MHz 2.7V to 6V 2.3 -40°C to +125°C MCP601RT-E/OT 184-0904●
5/SOT-23 CMOS 2.8MHz 2.7V to 6V 2.3 -40°C to +125°C MCP601T-E/OT 184-0905●
8/DIP CMOS 2.8MHz 2.7V to 6V 2.3 -40°C to +125°C MCP601-E/P 185-2038●
8/SOIC CMOS 2.8MHz 2.7V to 6V 2.3 -40°C to +125°C MCP601-E/SN 185-2039●
8/TSSOP CMOS 2.8MHz 2.7V to 6V 2.3 -40°C to +85°C MCP602-I/ST 182-5059●
14/TSSOP CMOS 2.8MHz 2.7V to 6V 2.3 -40°C to +85°C MCP604-I/ST 182-5060●
5/SOT-23 Low Noise 3.5MHz 2.2V to 5.5V 2 -40°C to +125°C MCP6286T-E/OT 182-5038●
8/MSOP Rail to Rail 5MHz 2.2V to 6V 2.5 -40°C to +125°C MCP6281-E/MS 185-2088●
8/MSOP Rail to Rail 5MHz 2.2V to 6V 2.5 -40°C to +125°C MCP6282-E/MS 185-2089●
8/MSOP Rail to Rail 5MHz 2.2V to 6V 2.5 -40°C to +125°C MCP6283-E/MS 185-2090●
8/DIP Rail to Rail 5MHz 2.2V to 6V 2.5 -40°C to +125°C MCP6283-E/P 185-2091●
6/SOT-23 Rail to Rail 5MHz 2.2V to 6V 2.5 -40°C to +125°C MCP6283T-E/CH 185-2092●
14/TSSOP Rail to Rail 5MHz 2.2V to 6V 2.5 -40°C to +125°C MCP6284-E/ST 185-2093●
5/SOT-23 Wideband 10MHz 2.4V to 6V 7 -40°C to +125°C MCP6L91RT-E/OT 185-2153●
8/MSOP Wideband 10MHz 2.4V to 6V 7 -40°C to +125°C MCP6L91T-E/MS 185-2154●
8/MSOP Wideband 10MHz 2.4V to 6V 7 -40°C to +125°C MCP6L92T-E/MS 185-2155●
14/TSSOP Wideband 10MHz 2.4V to 6V 7 -40°C to +125°C MCP6L94T-E/ST 185-2156●
8/MSOP Rail to Rail 10MHz 2.4V to 6V 7 -40°C to +125°C MCP6291-E/MS 185-2094●
8/MSOP Rail to Rail 10MHz 2.4V to 6V 7 -40°C to +125°C MCP6292-E/MS 185-2095●
6/SOT-23 Rail to Rail 10MHz 2.4V to 6V 7 -40°C to +125°C MCP6293T-E/CH 185-2096●
14/TSSOP Rail to Rail 10MHz 2.4V to 6V 7 -40°C to +125°C MCP6294-E/ST 185-2098●
8/TSSOP Wideband 10MHz 2.5V to 5.5V 7 -40°C to +125°C MCP6021-E/ST 185-2040●
8/DIP Wideband 10MHz 2.5V to 5.5V 7 -40°C to +125°C MCP6023-E/P 185-2041●
8/SOIC Low Noise 10MHz 2.5V to 5.5V 7 -40°C to +125°C MCP6023-E/SN 184-0901●
8/TSSOP Low Noise 10MHz 2.5V to 5.5V 7 -40°C to +125°C MCP6023-E/ST 184-0902●
14/TSSOP Low Noise 10MHz 2.5V to 5.5V 7 -40°C to +125°C MCP6024-E/ST 184-0891●
5/SOT-23 Low Noise 10MHz 2.5V to 5.5V 7 -40°C to +125°C MCP6021RT-E/OT 184-0884●
8/TSSOP Low Noise 10MHz 2.5V to 5.5V 7 -40°C to +125°C MCP6022-E/ST 184-0887●
8/SOIC Low Offset Voltage 20MHz 2.5V to 5.5V 10 -40°C to +125°C MCP621-E/SN 182-5032●
8/DFN Low Offset Voltage 20MHz 2.5V to 5.5V 10 -40°C to +125°C MCP622-E/MF 182-5034●
8/SOIC Low Offset Voltage 20MHz 2.5V to 5.5V 10 -40°C to +125°C MCP622-E/SN 182-5035●
10/DFN Low Offset Voltage 20MHz 2.5V to 5.5V 10 -40°C to +125°C MCP625-E/MF 182-5036●
290
farnell.com element14.com 291
Operational Amplifiers
499821
Semiconductors
5/SOT-23 1 80kHz 0.03V/μs 1.6V to 5.5V MCP6V11UT-E/OT 211-3882●
5/SOT-23 1 80kHz 0.03V/μs 1.6V to 5.5V MCP6V11T-E/OT 211-3878●
5/SOT-23 1 300kHz 0.13V/μs 1.8V to 5.5V MCP6V31UT-E/OT 211-3885●
5/SOT-23 1 300kHz 0.13V/μs 1.8V to 5.5V MCP6V31T-E/OT 211-3883●
5/SC-70 1 300kHz 0.13V/μs 1.8V to 5.5V MCP6V31UT-E/LT 211-3884●
5/SC-70 1 350kHz 0.16V/μs 1.8V to 5.5V OPA330AIDCKT 207-5432●
6/SOT-23 1 1MHz 1.6V/μs 1.35V to 2.75V OPA334AIDBVTG4 120-7113●
5/SOT-23 1 1MHz 1V/μs 2.7V to 5.5V OPA342NA/250 207-5431●
5/SOT-23 1 1MHz 1.6V/μs ± 1.35V to ± 2.75V OPA335AIDBVTG4 120-7044●
8/SOIC 1 1MHz 2V/μs ± 2.25V to ± 18V OPA130UAE4 120-6927●
5/SOT-23 1 1.2MHz 0.4V/μs 2.7V to 36V OPA170AIDBVT 205-7089●
5/TO-263 1 1.4MHz 8V/μs ± 10V to ± 35V OPA544F/500 210-2679●
8/SOIC 1 2MHz 1V/μs 2.3V to 5.5V MCP6V26-E/SN 206-4541●
8/MSOP 1 2MHz 1V/μs 2.3V to 5.5V MCP6V28-E/MS 206-4542●
1
8/SOIC 1 2MHz 1V/μs 2.3V to 5.5V MCP6V28-E/SN 206-4543●
8/MSOP 1 2MHz 1V/μs 2.3V to 5.5V MCP6V26-E/MS 206-4540●
291
292 farnell.com element14.com
Low-Power Op Amps
The MAX9943/MAX9944 is a family of high-voltage amplifiers that offers precision, low drift, and low-power consumption. The MAX9943 (single) and MAX9944 (dual) op amps offer 2.4MHz
of gain-bandwidth product with only 550μA of supply current per amplifier. The MAX9943/MAX9944 family has a wide power supply range operating from ±3V to ±19V dual supplies or a
6V to 38V single supply. The MAX9943/MAX9944 is ideal for sensor signal conditioning, high-performance industrial instrumentation and loop-powered systems (e.g., 4mA-20mA transmit-
ters).
The MAX9945 operational amplifier features an excellent combination of low operating power and low input voltage noise. In addition, MOS inputs enable the MAX9945
to feature low input bias currents and low input current noise. The device accepts a wide supply voltage range from 4.75V to 38V and draws a low 400μA quiescent current. The MAX9945 is
unity-gain stable and is capable of rail-to-rail output voltage swing. The MAX9945 is ideal for portable medical and industrial applications that require low noise analog front-ends for perform-
ance applications such as photodiode transimpedance and chemical sensor interface circuits.
608967
292
farnell.com element14.com 293
Operational Amplifiers
501298
Price Each
-3dB BW /GBWPSlew Rate I/P Offset Pins Pkg Description Mftrs. List No. Order Code 1+ 10+ 100+ 250+
(MHz) max. (mV)
Single
/-85 500V/μs 18 5 SOT-23 85MHz Op Amp with Rail-to-Rail Outputs and Disable . . . . . . . . . . . . . . . . . . . MAX4390EUK+T 155-0544●
300/- 600V/μs 9 8 SO 300MHz, Single-Supply, R/R Single Op Amp with Enable . . . . . . . . . . . . . . . . . MAX4213ESA+ 155-0538●
500/- 220V/μs 9 5 SOT-23 Low-Power 3V/5V, 500MHz Single-Supply Single Op Amp with Rail-to-Rail MAX4412EUK+T
Output . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 155-0534●
-/0.2 0.1V/μs 5 6 SC70 200kHz Rail-to-Rail I/O Op Amp with Shutdown . . . . . . . . . . . . . . . . . . . . . . . . MAX9911EXT+T 155-0529●
-/3 0.3V/μs 0.75 8 SO Low-Distortion, Rail-to-Rail Op Amps Single-Supply . . . . . . . . . . . . . . . . . . . . . MAX4251ESA+ 155-0517●
-/10 10V/μs 6 6 SOT-23 10MHz Rail-to-Rail I/O Op Amps with Shutdown & High-Output-Drive, . . . . . . . MAX4231AUT+T 155-0522●
-/10 3V/μs 0.35 8 SO 10MHz Low-Noise, Low-Distortion Rail-to-Rail Op Amps. . . . . . . . . . . . . . . . . . MAX4475ASA+ 155-0525●
-/22 2.1V/μs 0.75 14 SO Low-Distortion, Rail-to-Rail Op Amps Single-Supply . . . . . . . . . . . . . . . . . . . . . MAX4249ESD+ 155-0516●
-/42 10V/μs 0.35 8 SO 10MHz Low-Noise, Low-Distortion Rail-to-Rail Op Amps. . . . . . . . . . . . . . . . . . MAX4488ASA+ 155-0528●
1.5 -/ 0.7V/μs 25 5 SC-70 1.5MHz, Low noise, single Opamp with zero drift, RRIO. . . . . . . . . . . . . . . . . . . MAX9620AXK+T 184-5847●
1.5 -/ 0.7V/μs 25 6 SC-70 1.5MHz, Low noise, single Opamp with zero drift, RRIO. . . . . . . . . . . . . . . . . . . MAX9617AXT+T 184-5848●
1.5 -/ 0.7V/μs 25 6 SC-70 1.5MHz, Low noise, single Opamp with zero drift, RRIO. . . . . . . . . . . . . . . . . . . MAX9619AXT+T 184-5849●
Dual
85/- 500V/μs 18 8 SO 85MHz Daul Op Amp with Rail-to-Rail Outputs and Disable . . . . . . . . . . . . . . . . MAX4392ESA+ 155-0545●
300/- 600V/μs 9 8 SO 300MHz, Single-Supply, Rail-to-Rail Dual Op Amp with Enable . . . . . . . . . . . . . MAX4216ESA+ 155-0540●
-/10 3V/μs 0.35 8 SO 10MHz Low-Noise, Low-Distortion Rail-to-Rail Dual Op Amps . . . . . . . . . . . . . . MAX4477ASA+ 155-0527●
-/10 4V/μs 0.75 8 SO Wide-Bandwidth Single-Supply Rail-to-Rail I/O Dual Op Amps . . . . . . . . . . . . . MAX4132ESA+ 155-0537●
Semiconductors
LMP223x Micropower 1.8V Precision Single/Dual Op Amp
LMP7721MA 17MHz GBWP Op Amp
The LMP2231/2 are single/dual micropower precision amplifiers designed for battery powered applications. The 1.8V to
5.0V guaranteed supply voltage range and quiescent power consumption of only 18μW/29μW extend the battery life in
portable battery operated systems. The high impedance CMOS input makes it ideal for instrumentation and other sensor
interface applications. The precise specifications make the devices suitable for maintaining system accuracy and long
term stability. The LMP2231/2 has a rail-to-rail output that swings 15 mV from the supply voltage, which increases sys-
tem dynamic range. The common mode input voltage range extends 200 mV below the negative supply, thus the de-
8 pin MSOP 8 pin SOIC vices are an ideal choice for use in single supply applications with ground sensing.
5 pin SOT23
LMP223x Ì Operating Temperature -40°C to 125°C Ì Supply Voltage 1.6V to 5.5V Ì Input Bias Current 20fA
Ì Gain Bandwidth Product 130KHz Ì Input Offset Voltage Max 150μV Ì Supply Current 10μA per Channel Ì PSRR 120dB & CMRR 97dB
501296
Price Each 1
Single/Dual Amp Max. Input Offset Voltage Drift Typ. Slew Pins Pkg Mftrs. List No. Order Code 1+ 10+ 100+ 250+ 500+
Rate
Single ±1.5μV/°C 9.3V/μs 8 SOIC LMP7721MA 155-2453●
Price Each
Op. Temp. GBWP (MHz) Typ. Slew Rate Pins Pkg Mftrs. List No. Order Code 1+ 10+ 100+ 250+ 500+
Single
-40 to 125 130 0.042V/μs 8 SOIC LMP2231AMAE 155-2444●
293
294 farnell.com element14.com
606 TI 8/DIP DI-FET 13MHz Bandwidth Operational Amp. Low bias Current, offset Volt- 0°C to +70°C OPA606KPG4
age and distortion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 121-9416●
627 TI 8/DIP DI-FET High Speed Precision Operational Amp. Low Noise, Low offset. -25°C to +85°C OPA627APG4
Unity Gain stable . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 121-8524●
627 TI 8/SOIC OP Amp, DIFET . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-25°C to +85°C OPA627AUE4 120-7067●
627 TI 8/PDIP OP Amp, DIFET . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-25°C to +85°C OPA627BP 121-2318●
627 TI 8/TO-99 Precision High-Speed Difet(R) Operational Amplifiers . . . . . . . . . . . . . . . . .-25°C to +85°C OPA627AM 145-9637●
627 TI 8/TO-99 Precision High-Speed Difet(R) Operational Amplifiers . . . . . . . . . . . . . . . . .-25°C to +85°C OPA627BM 145-9638●
637 TI 8/TO-99 Precision High-Speed Difet(R) Operational Amplifiers . . . . . . . . . . . . . . . . .-25°C to +85°C OPA637AM 145-9640●
637 TI 8/PDIP OP Amp, DIFET . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-25°C to +85°C OPA637BP 121-2319●
653 TI 8/SON Op Amp, 500MHz, Jfet. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C OPA653IDRBT 171-0800●
656 TI 5/SOT-23 Wideband, Unity Gain stable FET Input Op-Amp . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C OPA656NB 121-4432▲
657 TI 5/SOT-23 OP Amp, Wideband, JFET, Input VFB . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C OPA657N 121-2324
657 TI 5/SOT-23 OP Amp, 1.6GHZ, FET-I/P . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C OPA657NB 121-2325●
657 TI 8/SOIC OP Amp, 1.6GHZ, FET-I/P . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C OPA657U 121-2326●
657 TI 8/SOIC OP Amp, 1.6GHZ, FET-I/P . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C OPA657UB 121-2327●
659 TI 8/SON Op Amp, 650MHz, Jfet. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C OPA659IDRBT 171-0802●
659 TI 5/SOT-23 650MHz, 2550 V/μs, JFET Input Unity Gain Stable Op Amp . . . . . . . . . . . .-40°C to +85°C OPA659IDBVT 190-9738●
711 ADI 8/DIP BI-FET High Speed Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C AD711JNZ 960-4839●
711 ADI 8/SOIC BI-FET High Speed Operational Amp. . . . . . . . . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C AD711JRZ 942-6396●
744 ADI 8/SOIC Precision 500ns Settling BiFET Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C AD744JRZ 165-1300●
744 ADI 8/PDIP Precision, 500 ns Settling BiFET Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C AD744KNZ 143-8362●
744 ADI 8/DIP BI-FET High Speed Precision Op. Amp - 500ns to 0.01% for 10V step.. . . .0°C to +70°C AD744JNZ 960-4847●
795 ADI 8/SOIC Low Power Low Noise Precision FET Op Amp . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C AD795JRZ 165-1296●
820 ADI 8/PDIP Single-Supply, Rail-to-Rail, Low Power FET-Input Op Amp . . . . . . . . . . . . .-40°C to +85°C AD820ANZ 143-8579●
820 ADI 8/SOIC FET Op Amp, RR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C AD820ARZ 942-6469●
825 ADI 8/SOIC General Purpose, High Speed, FET-Input Operational Amp . . . . . . . . . . . . .-40°C to +85°C AD825ARZ 960-3735●
843 ADI 8/PDIP 34 MHz, CBFET Fast Settling Op Amp. . . . . . . . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C AD843JNZ 143-8585●
843 ADI 8/PDIP 34 MHz, CBFET Fast Settling Op Amp. . . . . . . . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C AD843KNZ 143-8586●
845 ADI 8/DIP Fast Settling FET Operational Amp - 350ns to 0.01%, stable Gains > 1.. . . .0°C to +70°C AD845JNZ 960-4855●
845 ADI 8/DIP Fast Settling FET Operational Amp - 350ns to 0.01%, stable Gains > 1.. . . .0°C to +70°C AD845KNZ 960-5649●
1055 LT 8/SOIC Single, Precision, High Speed, JFET Input Op Amp . . . . . . . . . . . . . . . . . . .0°C to +70°C LT1055S8#PBF 133-0569●
1792 LT 8/SOIC Low Noise, Precision,JFET Input Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C LT1792CS8#PBF 133-0698●
1793 LT 8/SOIC Single, Low Noise, JFET Input Op Amp. . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C LT1793CS8#PBF 133-0699●
2061 TI 8/8 JFET-Input High-OUTPUT-DRIVE UPower Operational Amplifier. . . . . . . . . .-55°C to +125°C TLE2061CDG4 123-4847●
2061 TI 8/SOIC JFET Input High Output drive, microPower Operational Amp. (IND TEMP) . .-40°C to +125°C TLE2061ID 110-2946●
2061 TI 8/DIP JFET Input High Output drive, microPower Operational Amp. (IND TEMP) . .-40°C to +125°C TLE2061IP 110-2947●
2061 TI 8/SOIC Excalibur JFET-Input High-Output-Drive uPower Operational Amplifier . . . . .0°C to +70°C TLE2061ACD 145-9868●
2061 TI 8/SOIC Excalibur JFET-Input High-Output-Drive uPower Operational Amplifier . . . . .-40°C to +85°C TLE2061AID 145-9870●
2072 TI 8/SOIC Excalibur Low-Noise High-Speed JFET-Input Dual Operational Amplifier . . .0°C to +70°C TLE2072ACD 145-9882●
2081 TI 8/SOIC High-Speed JFET-Input Operational Amplifier . . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C TLE2081CD 145-9890●
294
farnell.com element14.com 295
Semiconductors
052 TI 8/DIP Dual, BI-FET Operational Amp - Low Noise enhanced TL072 . . . . . . . . . . .0°C to +70°C TL052CP 110-6013●
052 TI 8/SOIC Dual Enhanced JFET Precision Operational Amplifier. . . . . . . . . . . . . . . . . .-40°C to +85°C TL052ID 959-3195●
062 TI 8/SOIC Low-Power JFET-Input Operational Amplifier . . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C TL062ACD 959-3241●
062 TI 8/SOIC Dual BI-FET Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C TL062CD 110-3178●
062 ST 8/DIP Dual, BI-FET Operational Amp - Low Power . . . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C TL062CN 109-4347●
062 TI 8/DIP Dual BI-FET Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C TL062CP 110-6014●
062 TI 8/SOIC Dual Low-Power JFET-Input GENERAL-PURPOSE Operational Amplifier . . .0°C to +70°C TL062CPSR 123-6509●
062 TI 8/SOIC Dual Low-Power JFET-Input General-Purpose Operational Amplifier . . . . . .-40°C to +85°C TL062ID 959-3268●
72 DI 8/SOIC 3MHz, 13V/μs FET / JFET Dual Operational Amplifier . . . . . . . . . . . . . . . . .-40°C to +85°C TL072SG-13 190-4043●
72 TI 8/PDIP Dual Low-Noise JFET-Input General-Purpose Operational Amplifier . . . . . . .-40°C to +85°C TL072IP 145-9704●
072 TI 8/SOIC Dual JFET Op Amp, Low Noise. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C TL072ACD 959-3322●
072 TI 8/DIP Dual BI-FET Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C TL072ACP 110-3005●
072 TI 8/SOIC Low-Noise JFET-Input Operational Amplifier . . . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C TL072BCD 959-3330● 1
072 TI 8/SOIC Dual BI-FET Op Amp, Low Noise . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C TL072CD 110-3006●
072 ST 8/DIP Dual BI-FET Op Amp, Low Noise . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C TL072CN 109-4352●
295
296 farnell.com element14.com
8620 ADI 8/SOIC Precision, Low Input Bias Current, Wide BW JFET Op Amp (Dual). . . . . . . .-40°C to +85°C AD8620ARZ 999-4386●
8626 ADI 8/SOIC Precision Low Power Single-Supply JFET Amplifier . . . . . . . . . . . . . . . . . .-40°C to +85°C AD8626ARZ 158-1954●
8642 ADI 8/SOIC Dual, Low Power, Rail-to-Rail Precision JFET Op Amp . . . . . . . . . . . . . . . .-40°C to +125°C AD8642ARZ 999-4327●
Quad
034 TI 14/SOIC Quad, BI-FET Operational Amp - Low Power. . . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C TL034CD 110-3007●
034 TI 14/DIP Quad, BI-FET Operational Amp - Low Power enhanced TL064 . . . . . . . . . .0°C to +70°C TL034CN 110-3008●
54 TI 14/SOIC Enhanced-JFET Precision Quad Operational Amplifier . . . . . . . . . . . . . . . . .0°C to +70°C TL054ACD 145-9681●
054 TI 14/SOIC Quad Enhanced JFET Precision Operational Amplifier . . . . . . . . . . . . . . . . .0°C to +70°C TL054CD 959-3209●
054 TI 14/DIP Quad, BI-FET Operational Amp - Low Noise enhanced TL074 . . . . . . . . . . .0°C to +70°C TL054CN 110-3009●
064 TI 14/SOIC Low-Power JFET-Input Operational Amplifier . . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C TL064ACD 959-3276●
064 TI 14/SOIC Quad, BI-FET Operational Amp - Low Power. . . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C TL064CD 110-3181●
064 TI 14/DIP Quad BI-FET Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C TL064CN 110-6018●
1 064 ST 14/DIP Quad, BI-FET Operational Amp - Low Power. . . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C TL064CN 109-4349●
064 TI 14/TSSOP Quad Low-Power JFET-Input General-Purpose Operational Amplifier . . . . . .0°C to +70°C TL064CPW 959-3284●
064 TI 14/SOIC Quad Low-Power JFET-Input General-Purpose Operational Amplifier . . . . . .-40°C to +85°C TL064ID 959-3292●
Integrated Circuits & Development Kits
064 TI 14/DIP Quad Low-Power JFET-Input General-Purpose Operational Amplifier . . . . . .-40°C to +85°C TL064ING4 959-3306●
074 TI 14/SOIC Quad JFET Op Amp, Low Noise . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C TL074ACD 959-3349●
074 TI 14/DIP Quad, BI-FET Operational Amp., as TL074CN but 6mV mAx. offset Voltage.0°C to +70°C TL074ACN 110-5902●
074 TI 14/SOIC Quad BI-FET Op Amp, Low Noise . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C TL074CD 110-3010●
074 ST 14/DIP Quad BI-FET Op Amp, Low Noise . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C TL074CN 975-5934●
074 TI 14/DIP Quad, BI-FET Operational Amp - Low Noise . . . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C TL074CNE4 125-6325●
074 TI 14/SOIC Quad, BI-FET Operational Amp - Low Noise (IND TEMP). . . . . . . . . . . . . . .-40°C to +85°C TL074ID 110-3191●
074 TI 14/DIP Quad Low-Noise JFET-Input General-Purpose Operational Amplifier . . . . . .-40°C to +85°C TL074ING4 959-3357●
84 ADI 14/SOIC Quad JFET Input Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C ADTL084ARZ 133-1021●
084 TI 14/SOIC JFET-Input Operational Amplifier. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C TL084ACD 959-3420●
084 TI 14/DIP Quad, BI-FET Operational Amp., as TL084CN but 6mV mAx. offset Voltage.0°C to +70°C TL084ACN 110-6129●
084 TI 14/SOIC JFET-Input Operational Amplifier. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C TL084BCD 959-3438●
084 TI 14/DIP JFET-Input Operational Amplifier. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C TL084BCNG4 959-3446●
084 TI 14/SOIC Quad BI-FET Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C TL084CD 110-3184●
084 TI 14/DIP Quad, BI-FET Operational Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C TL084CN 110-6020●
084 ST 14/DIP Quad BI-FET Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C TL084CN 109-4355●
084 TI 14/SOIC Quad JFET-Input General-Purpose Operational Amplifier . . . . . . . . . . . . . . .0°C to +70°C TL084CNSR 123-6513●
084 TI 14/TSSOP Quad JFET-Input General-Purpose Operational Amplifier . . . . . . . . . . . . . . .0°C to +70°C TL084CPW 959-3454●
084 TI 14/SOIC Quad JFET-Input General-Purpose Operational Amplifier . . . . . . . . . . . . . . .-40°C to +85°C TL084ID 959-3462●
084 TI 14/DIP Quad JFET-Input General-Purpose Operational Amplifier . . . . . . . . . . . . . . .-40°C to +85°C TL084ING4 959-3470●
347 TI 14/SOIC Quad General-Purpose JFET-input Operational Amplifier . . . . . . . . . . . . . . .0°C to +70°C LF347D 145-9492●
347 TI 14/PDIP Quad General-Purpose JFET-input Operational Amplifier . . . . . . . . . . . . . . .0°C to +70°C LF347N 145-9493●
347 NSC 14/DIP Quad, BI-FET Operational Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C LF347BN 948-7069●
347 NSC 14/DIP Quad BI-FET Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C LF347N 948-7077●
404 TI 14/DIP Quad, DI-FET High Speed, Fast Settling, Precision Operational Amp... . . . . .0°C to +70°C OPA404KPG4 121-9417●
444 NSC 14/DIP Quad BI-FET Op Amp, LP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C LF444CN 948-7085●
713 ADI 14/DIP Quad, BI-FET Precision High Speed Operational Amp. (I/P offset Vdrift 0°C to +70°C AD713JNZ
6μV/°C, min open loop Gain 150V/mV) . . . . . . . . . . . . . . . . . . . . . . . . . . . 960-4910●
822 ADI 8/SOIC Dual Precision R/R Low Power FET Input Op Amp . . . . . . . . . . . . . . . . . . .-40°C to +85°C AD822BRZ 165-1303●
823 ADI 8/SOIC Dual 16 MHz R/Rl FET Input Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C AD823ARZ 165-1305●
824 ADI 14/SOIC Quad, Low Power, Single Supply, Rail-to-Rail FET - Input Operational Amp .-40°C to +85°C AD824ARZ-14 942-5535●
1058 LT 14/DIP Quad JFET Input High Speed Op Amp. . . . . . . . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C LT1058CN.#PBF 955-9540●
1644 TI 14/SOIC High-Performance, JFET-Input Audio OP Amp . . . . . . . . . . . . . . . . . . . . . .-55°C to +125°C OPA1644AID 182-3862●
1644 TI 14/TSSOP 11MHz, ±2.25V to ±18V Supply, Quad Low Noise Op Amp . . . . . . . . . . .-55°C to +125°C OPA1644AIPW 188-2283●
2064 TI 14/SOIC Excalibur JFET-Input High-Output-Drive UPower Quad Operational Amplifier-40°C to +85°C TLE2064AIDG4 123-4964●
2064 TI 14/SOIC Quad JFET-Input High-OUTPUT-DRIVE UPower Operational Amplifier . . . . .0°C to +70°C TLE2064CDG4 123-4692●
2064 TI 14/PDIP Quad JFET-Input High-OUTPUT-DRIVE UPower Operational Amplifier . . . . .0°C to +70°C TLE2064CNE4 123-4712●
2064 TI 14/SOIC Quad, JFET Input High Output drive, microPower Operational Amp.(IND -40°C to +85°C TLE2064ID
TEMP) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 110-5910●
2064 TI 14/DIP Quad, JFET Input High Output drive, microPower Operational Amp.(IND -40°C to +85°C TLE2064IN
TEMP) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 110-2949●
296
farnell.com element14.com 297
Semiconductors
Dual
16/LFCSP 1.05 870 2 2 21 4 ADA4817-2ACPZ-R2 178-6055●
297
298 farnell.com element14.com
2330 TI 8 / SOIC 50uV, 35uA Dual CMOS Op Amp, Zero-Drift Series . . . . . . . . . . . . . . . .-40°C to +125°C OPA2330AID 178-2831●
2330 TI 8 / MSOP 50uV, 35uA Dual CMOS Op Amp, Zero-Drift Series . . . . . . . . . . . . . . . .-40°C to +125°C OPA2330AIDGKT 178-2832●
2322 TI 8 / MSOP 20MHz, 10V/μs, Rail-to-Rail Dual CMOS Op Amp. . . . . . . . . . . . . . . . .-40°C to +125°C OPA2322AIDGKT 190-3649●
2322 TI 8 / SON 20MHz, 10V/μs, Rail-to-Rail Dual CMOS Op Amp. . . . . . . . . . . . . . . . .-40°C to +125°C OPA2322AIDRGT 190-3650●
2471 TI 5 / SOT-23 600μA, Rail to Rail, High-drive, 2.8MHz, CMOS Op. Amp (IND TEMP) .-40°C to +125°C TLV2471IDBVT 110-2964●
2652 TI 8 / DIP Precision Chopper-Stabilized CMOS Operational Amp . . . . . . . . . . . . . .-55°C to +125°C TLC2652CP 110-2937●
2703 TI 8 / SOIC 12V, CMOS, Rail-to-Rail I/O, Operational Amplifier . . . . . . . . . . . . . . . .-55°C to +125°C OPA2703UA 145-9578●
4122 MAX 5 / SOT-23 Wideband, Low Power, Single-Supply, Rail-to-Rail Input/Output CMOS -40°C to +85°C MAX4122EUK+T
Operational Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 118-7954●
6001 MCHIP5 / SOT-23 Single General Purpose Rail-to-Rail Op Amp. 1MHz . . . . . . . . . . . . . . .-40°C to +125°C MCP6001RT-I/OT 854-0829●
6001 MCHIP5 / SC-70 Single General Purpose Rail-to-Rail Op Amp. 1MHz . . . . . . . . . . . . . . .-40°C to +125°C MCP6001T-I/LT 854-0837●
6001 MCP 5 / SOT-23 CMOS Op Amp, RR, GP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C MCP6001T-I/OT 497-4992●
6001 MCHIP5 / SOT-23 Single General Purpose Rail-to-Rail Op Amp. 1MHz . . . . . . . . . . . . . . .-40°C to +125°C MCP6001UT-I/OT 854-0845●
1 6001 MCHIP5 / SOT-23 Op Amp, Single 1MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C MCP6001T-E/OT 133-2116●
6021 MCHIP8 / DIP Op Amp, Single 10MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C MCP6021-E/P 133-2123●
6021 MCHIP8 / SOIC Op Amp, Single 10MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C MCP6021-E/SN 133-2124●
Integrated Circuits & Development Kits
6024 MCHIP5 / SOT-23 Op Amp, Single 10 Khz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C MCP6041T-I/OT 133-2129●
6041 MCHIP8 / DIP CMOS, 600nA, Rail-to-Rail Input/Output Op. Amp (IND TEMP) . . . . . . .-40°C to +85°C MCP6041-I/P 975-8682●
6041 MCHIP8 / SOIC CMOS, 600nA, Rail-to-Rail Input/Output Op. Amp. (IND TEMP). . . . . . .-40°C to +125°C MCP6041-I/SN 108-4311●
6061 NSC 8 / SOIC CMOS, Precision MicroPower Operational Amp., Supply Cur- -40°C to +85°C LMC6061AIM
rent=20μA, Vos=350μV mAx. (IND TEMP). . . . . . . . . . . . . . . . . . . . . 949-4642●
6081 NSC 8 / SOIC CMOS, Precision Operational Amp., Input bias Cur- -40°C to +85°C LMC6081AIM
rent=10fA,Vos=350μV mAx. (IND TEMP) . . . . . . . . . . . . . . . . . . . . . . 121-5211●
6241 MCHIP8 / SOIC 50μA, 650KHz Rail-to-Rail OP Amp . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C MCP6241-E/SN 975-8739●
6601 NSC 6 / SC-70 250 MHz, 2.4V CMOS Op Amp with Shutdown . . . . . . . . . . . . . . . . . . .-40°C to +85°C LMH6601MG 131-2603●
7101 NSC 5 / SOT-23 CMOS Op Amp, RR, LP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C LMC7101AIM5 977-9914●
7101 NSC 5 / SOT-23 CMOS Op Amp, RR, LP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C LMC7101BIM5 121-2200●
7261 ROHM 5 / SSOP Cmos Op Amp, Low Power, 105°C. . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +105°C BU7261SG-TR 171-6102●
7541 ROHM 5 / SSOP Cmos Op Amp, 14.5V . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C BD7541G-TR 171-6075●
7561 ROHM 5 / SSOP Cmos Op Amp, 14.5V . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C BD7561G-TR 171-6081●
7611 INTS 8 / SOIC Low Power, CMOS Operational Amp. . . . . . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C ICL7611DCBAZ 101-8165●
7611 INTS 8 / DIP CMOS Op Amp, LP. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C ICL7611DCPAZ 101-8166●
7612 INTS 8 / DIP Low Power, CMOS Operational Amp., extended CMVR . . . . . . . . . . . . .0°C to +70°C ICL7612DCPAZ 121-6123●
7708 NSC 8 / Mini SOICPrecision, CMOS Input, RRIO, Wide Supply Range Decompensated -40°C to +125°C LMP7708MM
Amplifiers from the PowerWise® Family . . . . . . . . . . . . . . . . . . . . . . . 143-3151●
7718 NSC 8 / Mini SOIC88 MHz, Precision, Low Noise, 1.8V CMOS Input, Decompensated Op- -40°C to +125°C LMP7718MM
erational Amplifier from the PowerWise® Family . . . . . . . . . . . . . . . . . 143-3174●
8541 ADI 8 / SOIC General Purpose Rail-to-Rail Operational Amp . . . . . . . . . . . . . . . . . . .-40°C to +125°C AD8541ARZ 960-3859●
8541 ADI 5 / SC-70 Single, General-Purpose, CMOS, Rail-to-Rail Op Amp . . . . . . . . . . . . . .-40°C to +125°C AD8541AKSZ 133-3253●
8541 ADI 5 / SOT-23 Single, General-Purpose, CMOS, Rail-to-Rail Op Amp . . . . . . . . . . . . . .-40°C to +125°C AD8541ARTZ 133-3254●
8571 ADI 8 / SOIC Zero-Drift, Single-Supply, Rail-to-Rail I/O Operational Amplifier (EXT -40°C to +125°C AD8571ARZ
TEMP) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 942-6078●
8603 ADI 5 / TSOT Precision Single MicroPower R/R I/O Low Noise CMOS . . . . . . . . . . . .-40°C to +125°C AD8603AUJZ 165-1290●
8613 ADI 5 / SC-70 Single, CMOS, Rail-Rail I/O Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C AD8613AKSZ 133-3261●
8613 ADI 5 / TSOT Single, CMOS, Rail-Rail I/O Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C AD8613AUJZ-R2 133-3262●
8638 ADI 8 / NSOIC 1.5MHz BW Single R/R & Auto-Zero O/P Op Amp . . . . . . . . . . . . . . . . .-40°C to +125°C AD8638ARZ 160-7239●
8661 ADI 8 / SOIC 16V, High Performance CMOS Rail-to-Rail Operational Amplifiers . . . . .-40°C to +125°C AD8661ARZ 111-7886●
Dual
27 TI 8 / SOIC LinCMOS Precision Dual. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C TLC27M2ACD 164-8724●
27 TI 8 / SOIC Dual, Lin-CMOS Operational Amp., Low bias . . . . . . . . . . . . . . . . . . . .0°C to +70°C TLC27L2CD 110-6125
27 TI 8 / DIP Dual CMOS Op Amp. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C TLC27L2CP 110-3013●
27 TI 8 / DIP Dual CMOS Op Amp. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-55°C to +125°C TLC27M2CP 110-3014●
27 ST 8 / SOIC Dual, CMOS Operational Amp., Low bias . . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C TS27L2CD 109-4368●
243 TI 8 / SOIC Dual, Rail-Rail Wide Input Op-Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . .-55°C to +125°C TLV2432IDG4 137-9262●
272 TI 8 / SOIC Dual CMOS Op Amp. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C TLC272CD 110-6022●
272 TI 8 / DIP Dual CMOS Op Amp. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C TLC272CP 110-3016●
272 ST 8 / SOIC Dual, Lin-CMOS Operational Amp. (IND TEMP) . . . . . . . . . . . . . . . . . . .-40°C to +85°C TS272ID 109-4367●
295 ADI 8 / DIP Dual, Rail-to-Rail Input and Output, CMOS, Operational Amp . . . . . . . . .-40°C to +125°C OP295GPZ 960-5258●
333 TI 5 / SOT-23 Dual CMOS Op-Amp. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +150°C OPA333AIDBVTG4 123-0456●
298
farnell.com element14.com 299
Semiconductors
1051 LT 16 / WSOIC Dual Zero-Drift Op Amp With Internal Capacitors . . . . . . . . . . . . . . . . . .-40°C to +85°C LTC1051CSW#PBF 133-0782●
1151 LT 16 / SOIC Dual Op Amp, Zero-Drift . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C LTC1151CSW#PBF 133-0791●
2051 LT 8 / DFN Dual Op Amp, Zero-Drift . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C LTC2051CDD#PBF 133-0904●
2051 LT 10 / MSOP Dual Op Amp, Zero-Drift . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C LTC2051CMS10#PBF 133-0905●
2051 LT 8 / MSOP Dual Op Amp, Zero-Drift . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C LTC2051CMS8#PBF 133-0906●
2051 LT 8 / MSOP Dual Op Amp, Zero-Drift . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C LTC2051HMS8#PBF 133-0907●
2051 LT 8 / MSOP Dual Op Amp, Zero-Drift . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C LTC2051HVCMS8#PBF 133-0909●
2051 LT 8 / MSOP Dual Op Amp, Zero-Drift . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C LTC2051HVHMS8#PBF 133-0910●
2055 LT 8 / MSOP Dual Micropower Zero-Drift Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C LTC2055CMS8#PBF 133-0916●
2202 TI 8 / DIP Dual, Lin-CMOS Low Noise Operational Amp. (IND TEMP) . . . . . . . . . .-55°C to +125°C TLC2202IP 110-2936●
2333 TI 8 / SOIC Dual CMOS Op-Amp. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +150°C OPA2333AIDG4 123-0455●
2336 TI 8 / DIP Dual, Single Supply, MicroPower CMOS Operational Amp With Low -55°C to +125°C OPA2336PA.
2336 TI 8 / SOIC
offset Voltage, 125μV mAx. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Dual, Single Supply, MicroPower CMOS Operational Amp With Low -55°C to +125°C OPA2336UA
109-7396
1
offset Voltage, 125μV mAx. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 110-6183●
2337 TI 8 / DIP Dual, Single Supply, Rail-to-Rail Output CMOS Operational Amp . . . . . .-40°C to +85°C OPA2337PA 109-7410●
299
300 farnell.com element14.com
8502 ADI 8 / SOT-23 Dual 1 uA Micropower CMOS Operational Amplifier . . . . . . . . . . . . . . .-40°C to +125°C AD8502ARJZ 149-8684●
8542 ADI 8 / SOIC Dual, General purpose Rail-to-Rail Operational Amp . . . . . . . . . . . . . . .-40°C to +125°C AD8542ARZ 942-5730●
8542 ADI 8 / TSSOP Dual, Rail-to-Rail I/O, Single-Supply Op Amp . . . . . . . . . . . . . . . . . . . .-40°C to +125°C AD8542ARUZ 133-3256●
8602 ADI 8 / SOIC Dual, Precision CMOS Single Supply, Rail-to-Rail I/O, Wideband Oper- -40°C to +125°C AD8602ARZ
ational Amplifier (EXT TEMP) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 960-4308●
8606 ADI 8 / SOIC Precision Low Noise CMOS Dual Rail-to-Rail Input/Output Operational -40°C to +125°C AD8606ARZ
Amp. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 999-4343●
8617 ADI 8 / SOIC MicroPower, Low Noise CMOS Rail-to-Rail Input/Output Operational -40°C to +125°C AD8617ARZ
Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 111-7888●
8652 ADI 8 / SOIC 50 MHz, Precision, Low Distortion, Low Noise CMOS Amplifiers. . . . . .-40°C to +125°C AD8652ARZ 999-4335●
8656 ADI 8 / SOIC Low Noise, Precision CMOS Dual Amplifier. . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C AD8656ARZ 111-7887●
8667 ADI 8 / SOIC 16 V, 250 microA, Dual Precision, CMOS, Rail-to-Rail Output Oper- -40°C to +125°C AD8667ARZ
ational Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 143-8874●
1 Quad
27 TI 14 / SOIC LinCMOS Precision Quad . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C TLC27M4CD 164-8725●
27 TI 14 / SOIC Quad, Lin-CMOS Operational Amp., Low bias . . . . . . . . . . . . . . . . . . . .0°C to +70°C TLC27L4CD 110-6127●
27 TI 14 / DIP Quad, Lin-CMOS Operational Amp., Low bias . . . . . . . . . . . . . . . . . . . .0°C to +70°C TLC27L4CN 110-3017●
Integrated Circuits & Development Kits
27 TI 14 / DIP Quad, Lin-CMOS Operational Amp., medium bias . . . . . . . . . . . . . . . . .-55°C to +125°C TLC27M4CN 110-3018●
274 TI 14 / SOIC Quad, Lin-CMOS Operational Amp., High bias. . . . . . . . . . . . . . . . . . . .0°C to +70°C TLC274CD 110-3019●
274 TI 14 / DIP Quad CMOS Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C TLC274CN 110-3020●
496 ADI 14 / SOIC Quad, Micropower, Rail-to-Rail Input and Output Operational Amplifiers -40°C to +125°C OP496GSZ 143-9001●
604 MCHIP14 / DIP Quad, CMOS, Low Power, Rail-to-Rail Output Op. Amp.(IND TEMP) . . .-40°C to +85°C MCP604-I/P 975-8712●
604 MCHIP14 / SOIC Quad, CMOS, Low Power, Rail-to-Rail Output Op. Amp.(IND TEMP) . . .-40°C to +85°C MCP604-I/SL 975-8720●
604 MCHIP14 / SOIC Quad R/R O/P 2.8MHz GBWP CMOS Op Amp. . . . . . . . . . . . . . . . . . . .-40°C to +125°C MCP604-E/SL 162-9354●
619 MCHIP14 / PDIP Quad 2.3V to 5.5V Bi-CMOS Rail-to-Rail Op Amp . . . . . . . . . . . . . . . . .-40°C to +125°C MCP619-I/P 143-9436●
619 MCHIP14 / SOIC Quad 2.3V to 5.5V Bi-CMOS Rail-to-Rail Op Amp . . . . . . . . . . . . . . . . .-40°C to +125°C MCP619-I/SL 143-9437●
660 NSC 14 / SOIC Low Power CMOS Quad Op Amp R/R O/P . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C LPC660AIM/NOPB 168-5388●
660 NSC 14 / SOIC CMOS Quad Op Amp R/R O/P . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C LMC660AIM/NOPB 168-5336●
660 NSC 14 / DIP Quad, CMOS Operational Amp - Industrial Temperature Range . . . . . . .-40°C to +85°C LMC660AIN 948-7107●
660 NSC 14 / SOIC Quad CMOS Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C LMC660CM 948-7115●
660 NSC 14 / DIP Quad CMOS Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C LMC660CN 948-7123●
834 NSC 14 / TSSOP Quad 3.3 MHz Low Power CMOS Op Amp . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C LMV834MT 167-9690●
914 ST 14 / SOIC Quad, Rail to Rail, Low Power (400μA/Amp.) Op. Amp. . . . . . . . . . . . .-40°C to +125°C TS914ID 109-4387●
924 ST 14 / SOIC Quad CMOS Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C TS924ID 109-4392●
924 ST 14 / DIP Quad, Rail-to-Rail, High output Current (80mA) Op. Amp., 4MHz . . . . .-40°C to +125°C TS924IN 109-4393●
1035 LT 18 / SOIC Quad , Precision Chopper Stabilised Operation Amplifiers With Internal -40°C to +85°C LTC1053CSW#PBF
Capacitors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 113-6625●
2234 NSC 14 / SOIC 130kHz GBWP CMOS Quad Op Amp R/R O/P . . . . . . . . . . . . . . . . . . . .-40°C to +125°C LMP2234AMA/NOPB 168-5333●
4342 TI 14 / SOIC Quad, CMOS, Rail-to-Rail I/O Single Supply Amp., Low Power 150μA .-55°C to +125°C OPA4342UAG4 121-9413●
4350 TI 14 / SOIC Quad, Single Supply, Rail-to-Rail CMOS Operational Amp. (IND TEMP) .-40°C to +85°C OPA4350UA 109-7445●
4364 TI 14 / SOIC 7MHz 90dB CMRR R/R I/O. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C OPA4364AID. 164-8712●
4364 TI 14 / TSSOP 7MHz 90dB CMRR R/R I/O. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C OPA4364AIPWT 164-8713●
6004 MCHIP14 / TSSOP Quad General Purpose Rail-to-Rail Op Amp. 1MHz . . . . . . . . . . . . . . . .-40°C to +125°C MCP6004-I/ST 854-0861●
6004 MCHIP14 / DIP Op Amp, Quad 1MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C MCP6004-E/P 133-2121●
6004 MCHIP14 / SOIC Op Amp, Quad 1MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C MCP6004-E/SL 133-2122●
6024 MCHIP14 / DIP Op Amp, Quad 10MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C MCP6024-E/P 133-2127●
6024 MCHIP14 / SOIC Op Amp, Quad 10MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C MCP6024-E/SL 133-2128●
6036 NSC 14 / SOIC Quad, Low Power Single Supply CMOS Operational Amp . . . . . . . . . . .-40°C to +85°C LMC6036IM 949-4294●
6044 NSC 14 / SOIC Quad, CMOS MicroPower Operational Amp., Supply Current=10μA/ -40°C to +85°C LMC6044IM
Amp., Vos=6mV mAx. (IND TEMP) . . . . . . . . . . . . . . . . . . . . . . . . . . . 949-4626●
6044 NSC 14 / DIP Quad, CMOS MicroPower Operational Amp., Supply Current=10μA/ -40°C to +85°C LMC6044IN
Amp., Vos=6mV mAx. (IND TEMP) . . . . . . . . . . . . . . . . . . . . . . . . . . . 949-4634●
6044 MCHIP14 / DIP Quad, CMOS, 600nA, Rail-to-Rail Op. Amp. (IND TEMP). . . . . . . . . . . .-40°C to +85°C MCP6044-I/P 108-4312●
6044 MCHIP14 / SOIC Quad, CMOS, 600nA, Rail-to-Rail Op. Amp. (IND TEMP). . . . . . . . . . . .-40°C to +85°C MCP6044-I/SL 975-8704●
6064 NSC 14 / DIP Quad, MicroPower, Precision CMOS Operational Amp . . . . . . . . . . . . .-40°C to +85°C LMC6064IN 948-6089●
6064 NSC 14 / SOIC 100kHz GBWP CMOS Quad Op Amp R/R O/P . . . . . . . . . . . . . . . . . . . .-40°C to +85°C LMC6064IM/NOPB 168-5346●
6079 LT 16 / SSOP Quad, CMOS, Rail-to-Rail Input/Output Op Amps . . . . . . . . . . . . . . . . .-40°C to +85°C LTC6079CGN#PBF 133-0964●
6082 LT 16 / DFN Precision Quad CMOS Rail-to-Rail Input/Output Amplifier . . . . . . . . . . .-40°C to +85°C LTC6082CDHC#PBF 143-2787●
6082 LT 16 / SSOP Precision Quad CMOS Rail-to-Rail Input/Output Amplifier . . . . . . . . . . .-40°C to +85°C LTC6082CGN#PBF 143-2788●
6082 LT 16 / SSOP Precision Quad CMOS Rail-to-Rail Input/Output Amplifier . . . . . . . . . . .-40°C to +85°C LTC6082IGN#PBF 143-2791●
300
farnell.com element14.com 301
Semiconductors
8574 ADI 14 / SOIC Quad, Zero-Drift, Single-Supply, Rail-to-Rail I/O Operational Amplifier -40°C to +125°C AD8574ARZ
(EXT TEMP) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 960-4294●
8594 ADI 16 / SOIC Op Amp, Rail-Rail I/O,Quad . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C AD8594ARZ 133-3260●
8604 ADI 14 / SOIC Quad, Precision CMOS Single Supply, Rail-to-Rail I/O, Wideband Oper- -40°C to +125°C AD8604ARZ
ational Amplifier (EXT TEMP) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 960-4316●
8619 ADI 14 / TSSOP Op Amp,Rail-Rail I/O,Quad . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C AD8619ARUZ 133-3265●
8664 ADI 14 / SOIC Quad 16 V Precision CMOS Rail - to - Rail Operational Amplifier . . . . . .-40°C to +125°C AD8664ARZ 149-8687●
450881
MCP6S22 PGA PICtail Demo Board Mftrs List No. Description Order Code Price Each
1+
MCP6S22DM-PICTL MCP6S22 PGA PICtail Demo Board 111-2753
Buffer Amplifiers
211036
Price Each
Mftr. Pins Description Mftrs. List No. Order Code 1+ 10+ 100+ 250+ 500+
3 TI 8 / DSBGA 26MHz, 2 o/p, 400μA Fan-out Buffer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CDC3RL02YFPR 185-5142●
15 NSC 48 / TQFP 4-Channel LVDS Buffer/Repeater with Pre-Emphasis . . . . . . . . . . . . . . . . . . . . . . . DS15BR400TVS/
NOPB 168-5362●
25 NSC 8 / LLP 3.125Gbps LVDS Buffer with Receive Equalization . . . . . . . . . . . . . . . . . . . . . . . . . DS25BR110TSD/
NOPB 168-5392●
25 NSC 8 / LLP 3.125Gbps LVDS Buffer with Transmit Pre-Emphasis. . . . . . . . . . . . . . . . . . . . . . . DS25BR120TSD/
NOPB 168-5393●
25 NSC 40 / LLP 3.125Gbps Quad LVDS Buffer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DS25BR440TSQ/
NOPB 168-5403●
112 NSC 8 / LLP 40 MHz Dual Clock Buffer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LMV112SD/NOPB 168-5395●
173 ST 8 / SOIC 8.2MHz, 34mA o/p Current Triple Video Buffer . . . . . . . . . . . . . . . . . . . . . . . . . . . . TSH173ID 184-2548●
602 TI 8 / SOIC OP Amp Buffer 1GHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . BUF602ID. 175-4777●
633 ADI 8 / SOIC 4-Quad Analogue Multiplier, Accuracy 2% Max., 1MHz smAll signal bandwidth . . . AD633JRZ 942-6221●
634 TI 5 / TO-220 Power Booster/Buffer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . BUF634TG3 120-7894●
634 TI 8 / SOIC Power Booster/Buffer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . BUF634U 121-2267●
692 TI 8 / SOIC Wideband Fixed Gain Buffer Amplifier with Disable . . . . . . . . . . . . . . . . . . . . . . . . . OPA692ID. 164-8726●
860 TI 8 / SOIC Op Amp Transconductance & Buffer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . OPA860ID 118-0132●
1112 INTS 8 / DIP High Speed, Programmable Gain Buffer Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . HFA1112IPZ 121-6125●
301
302 farnell.com element14.com
Current Amplifiers
210736
Mftr.Pins Description Temp. Range Mftrs. List No. Order Code 1+ 10+ 100+ 250+
93 TI 5 / SOT-23 500kHz, 370μA Supply, 94dB CMRR, 1V/μs, 8μA Bias Current Monitor . . . . . . .-40°C to +125°C INA193AQDBVRQ1 190-3412●
101 TI 14 / PDIP Precision Low Drift 4 to 20mA Two Wire Transmitter . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C XTR101AP. 164-8756●
101 ST 5 / SOT-23 Amp Highgh Side Curr Sense . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C TSC101AILT 173-7210●
101 ST 5 / SOT-23 Amp Highgh Side Curr Sense . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C TSC101BILT 173-7212●
101 ST 5 / SOT-23 450kHz, 100dB Gain Max, 165μA Supply Current Hiside Current Sense Amplifier -40°C to +125°C TSC101CILT 184-2540●
102 ST 8 / SOIC 800kHz, 20dB Gain Max, 420μA Dual Current Sense Amplifier . . . . . . . . . . . . . .-40°C to +125°C TSC102IDT 184-2534●
102 ST 8 / TSSOP 800kHz, 20dB Gain Max, 420μA Dual Current Sense Amplifier . . . . . . . . . . . . . .-40°C to +125°C TSC102IPT 184-2536●
103 ST 8 / SOIC 700kHz, 100dB Gain Max, 300μA supply current Current Sense Amplifier . . . . . .-40°C to +125°C TSC103ID 184-2533●
103 ST 8 / TSSOP 700kHz, 100dB Gain Max, 300μA Supply Current Hiside Current Sense Amplifier -40°C to +125°C TSC103IPT 184-2539●
116 TI 8 / SOIC 4-20mA Current Loop Transmitter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-55°C to +125°C XTR116U. 164-8758●
193 TI 5 / SOT-23 Common Mode Voltage -16V to 80V Current Shunt Monitor . . . . . . . . . . . . . . . .-55°C to +150°C INA193AIDBVT 156-4940●
1 193 TI 5 / SOT-23 Voltage Output High Side Measurement Current Shunt Monitor . . . . . . . . . . . . . .-55°C to +150°C INA193AIDBVT. 164-8651●
195 TI 5 / SOT-23 Common Mode Voltage -16V to 80V Current Shunt Monitor . . . . . . . . . . . . . . . .-55°C to +150°C INA195AIDBVT 156-4941●
196 TI 5 / SOT-23 Common Mode Voltage -16V to 80V Current Shunt Monitor . . . . . . . . . . . . . . . .-55°C to +150°C INA196AIDBVT 156-4942●
Integrated Circuits & Development Kits
198 TI 5 / SOT-23 Common Mode Voltage -16V to 80V Current Shunt Monitor . . . . . . . . . . . . . . . .-55°C to +150°C INA198AIDBVT 156-4943●
226 TI 10 / MSOP 330μA Supply, 140dB CMRR, 10μA i/p Bias Current Monitor . . . . . . . . . . . . . . .-40°C to +125°C INA226AIDGST 192-4807●
223 TI 10 / SON 0 to 26V Output current shunt and voltage instantaneous power monitor . . . . . . .-40°C to +105°C INA223AIDSKT 214-4240●
230 TI 16 / QFN 2.7V to 5.7V Current Shunt Monitor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C INA230AIRGTT 209-9885●
709 AM 24 / QSOP 120kHz, Fast Fault Response Current Sensor IC . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +150°C ACS709LLFTR-35BB-T 185-8082●
709 AM 24 / QSOP 120kHz, Unipolar Current Sensor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +150°C ACS709LLFTR-20BB-T 185-8083●
860 NSC8 / SOIC 60V bidirectional precision current sensing amplifier . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C LMP8601MA/NOPB 210-2666●
1031 ST 8 / SOIC 700kHz,100dB Gain Max, 300μA supply current Current Sense Amplifier . . . . . .-40°C to +125°C TSC1031ID 184-2531●
1031 ST 8 / SOIC 700kHz, 100dB Gain Max, 300μA supply current Current Sense Amplifier . . . . . .-40°C to +125°C TSC1031IYD 184-2532●
1031 ST 8 / TSSOP 700kHz, 100dB Gain Max, 300μA Supply Current Hiside Current Sense Amplifier -40°C to +125°C TSC1031IPT 184-2537●
1031 ST 8 / TSSOP 700kHz, 100dB Gain Max, 300μA Supply Current Hiside Current Sense Amplifier -40°C to +125°C TSC1031IYPT 184-2538●
1082 DI 5 / SOT-23 500kHz, 2μA Supply, 2.5mV I/p Offset, 80dB CMRR, 3% Current Monitor . . . . . .-40°C to +125°C ZXCT1082E5TA 190-4025●
1084 DI 5 / SOT-23 500kHz, 2μA Supply, 2.5mV I/p Offset, 80dB CMRR, 3% Current Monitor . . . . . .-40°C to +125°C ZXCT1084E5TA 190-4026●
1086 DI 5 / SOT-23 500kHz, 2μA Supply, 3mV I/p Offset, 80dB CMRR, Current Monitor . . . . . . . . . .-40°C to +125°C ZXCT1086E5TA 190-4029●
1107 DI 3 / SOT-23 650kHz, 3μA, Low Power High-Side Current Monitor . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C ZXCT1107SA-7 190-4027●
1109 DI 3 / SOT-23 650kHz, 3μA, Low Power High-Side Current Monitor . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C ZXCT1109SA-7 190-4028●
1110 DI 5 / SOT-23 650kHz, 3μA, Low Power High-Side Current Monitor . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C ZXCT1110W5-7 190-4030●
1206 LT 8 / DIP 250mA/60MHz Current Feedback Amplifier. . . . . . . . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C LT1206CN8#PBF 166-3393●
1210 LT 7 / TO-220 1.1A 35MHz Current Feedback Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C LT1210CT7#PBF. 166-3394●
1223 LT 8 / DIP 100MHz Current Feedback Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C LT1223CN8#PBF 133-0621●
1395 LT 5 / SOT-23 Single 400MHz Current Feedback Amplifier. . . . . . . . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C LT1395CS5#TRMPBF 166-3758●
1787 LT 8 / MSOP Precision, High Side Current Sense Amplifiers. . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C LT1787CMS8#PBF 133-0696●
1787 LT 8 / MSOP Precision, 60V, High Side Current Sense Amplifiers. . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C LT1787HVCMS8#PBF 133-0697●
1999 LT 8 / MSOP High voltage, bidirectional current sense amp . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C LT1999IMS8-10#PBF 200-9058●
3001 TI 8 / SOIC 420MHz High-speed, Current Feedback Op Amp. (0 to+70°C Temp. range) . . . .0°C to +70°C THS3001CD 110-2958●
3001 TI 8 / SOIC 420MHz High-speed, Current Feedback Op Amp. (-40 to+85°C Temp. range) . .-40°C to +85°C THS3001ID 110-2959●
4073 ADI 6 / SOT-23 High side current sense amp, 1.8MHz. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C ADM4073FWRJZ 206-7755●
4073 ADI 6 / SOT-23 High side current sense amp, 1.8MHz. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C ADM4073HWRJZ-REEL7 207-4854●
4073 ADI 6 / SOT-23 High side current sense amp, 1.8MHz. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C ADM4073TWRJZ-REEL7 207-4855●
4080 MAX8 / SOIC Unidirectional Current-Sense Amplifiers with Voltage Output . . . . . . . . . . . . . . . .-40°C to +125°C MAX4080TASA+ 159-3368●
4080 MAX8 / SOIC 76V high side current sense amplifier with voltage o/p . . . . . . . . . . . . . . . . . . . .-40°C to +125°C MAX4080FASA+ 211-3112●
4080 MAX8 / 76V high side current sense amplifier with voltage o/p . . . . . . . . . . . . . . . . . . . .-40°C to +125°C MAX4080TAUA+ 211-3113●
4372 MAX5 / SOT-23 Micropower, high side current sensing amp . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C MAX4372FEUK+T 208-5156●
6100 LT 8 / MSOP Precision, Gain Selectable High Side Current Sense Amplifier . . . . . . . . . . . . . . .-40°C to +85°C LT6100IMS8#PBF 141-7731●
6100 LT 8 / MSOP Precision, Gain Selectable High Side Current Sense Amplifier . . . . . . . . . . . . . . .-40°C to +85°C LT6100CMS8#PBF 133-0749●
6100 LT 8 / MSOP Op Amp, Current Sense . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C LT6100HMS8#PBF 133-0750●
6101 LT 5 / SOT-23 High Voltage High Side Current Sense Amplifier . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C LTC6101HVACS5#TRMPBF 166-3920●
6101 LT 8 / MSOP Current Sense Op Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C LTC6101HVAHMS8#PBF 133-0968●
6102 LT 8 / MSOP Zero Drift High Side Current Sense Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C LTC6102HVIMS8#PBF 143-2115●
6102 LT 8 / MSOP Zero Drift High Side Current Sense Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C LTC6102CMS8#PBF 141-7725●
6102 LT 8 / MSOP Zero Drift High Side Current Sense Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C LTC6102IMS#PBF 141-7726●
6103 LT 8 / MSOP Dual High Voltage, High Side Current Sense Amplifier . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C LTC6103IMS8#PBF 143-2116●
6103 LTC 8 / MSOP Current Sense Amp, Dual . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C LTC6103CMS8#PBF 171-5037●
302
farnell.com element14.com 303
Semiconductors
8218 ADI 8 / MSOP
450kHz, 800μA Supply, 12V i/p, 200μV i/p Offset, 110dB CMRR, 1V/μs Bi-Di- -40°C to +125°C AD8218BRMZ
rectional Current Monitor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 189-7106●
8219 ADI 8 / MSOP 500kHz, 800μA Supply, 200μV i/p Offset, 110dB CMRR, 1V/μs Uni-Directional -40°C to +125°C AD8219BRMZ
Current Monitor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 189-7107●
8332 ADI 28 / TSSOP Dual VGA with Ultralow Noise Preamplifier and Programmable RIN . . . . . . . . . . .-40°C to +85°C AD8332ARUZ 149-8693●
9928 MAX8 / UMAX Uni-/Bidirectional, Current-Sense Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C MAX9928FAUA+ 167-3100●
9929 MAX8 / UMAX Uni-/Bidirectional, Current-Sense Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C MAX9929FAUA+ 167-3101●
28006INTS5 / SOT-23 Micropower, RRI current sense amplifier. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C ISL28006FH50Z-T7 211-1970●
The LMP8640 and the LMP8640HV are precision current sense amplifiers that detect small differential voltages across a sense resistor in the presence of high input common mode voltages
with a supply voltage range from 2.7V to 12V. The LMP8640 accepts input signals with common mode voltage range from -2V to 42V, while the LMP8640HV accepts input signal with com-
mon mode voltage range from -2V to 76V. The LMP8640 and LMP8640HV have fixed gain for applications that demand accuracy over temperature. The LMP8640 and LMP8640HV come out
with three different fixed gains 20V/V, 50V/V, 100V/V ensuring a gain accuracy as low as 0.25%. The output is buffered in order to provide low output impedance. This high side current sense
amplifier is ideal for sensing and monitoring currents in DC or battery powered systems, excellent AC and DC specifications over temperature, and keeps errors in the current sense loop to a
minimum.
605478
Differential Amplifiers
210737
303
304 farnell.com element14.com
1993 LT 16 / QFN 700MHz Low Noise/Distortion Differential Amplifer. . . . . . . . . . . . . . . . . .-40°C to +85°C LT1993IUD-10#PBF 134-5614●
1994 LT 8 / MSOP 70MHz Low Noise/Distortion Differential Amplifer. . . . . . . . . . . . . . . . . . .-40°C to +85°C LT1994IMS8#PBF 134-5613●
1994 LT 8 / DFN Fully Differential I/O Amplifier/Driver . . . . . . . . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C LT1994CDD#PBF 166-3539●
1994 LT 8 / MSOP Op Amp, Diff . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C LT1994CMS8#PBF 133-0736●
1995 LT 10 / MSOP Op Amp, Diff . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C LT1995CMS#PBF 133-0737●
2141 ADI 8 / SOIC Differential Amp With balanced line Inputs and High common mode re- -40°C to +85°C SSM2141SZ
jection. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 107-9392●
4130 TI 8 / SOIC Fully Differential Input/Output Low Noise Amplifier With Shutdown . . . . . .0°C to +70°C THS4130CD 145-9436●
4130 TI 8 / SOIC High speed, Low Noise, fully-differential I/O Amp. . . . . . . . . . . . . . . . . . .-40°C to +85°C THS4130ID 110-3108●
4131 TI 8 / SOIC Fully Differential Input/Output Low Noise Amplifier . . . . . . . . . . . . . . . . . .0°C to +70°C THS4131CDG4 123-4946●
4131 TI 8 / MSOP High speed, Low Noise, fully-differential I/O Amp. . . . . . . . . . . . . . . . . . .0°C to +70°C THS4131CDGN 110-3047●
4131 TI 8 / SOIC OP Amp, Differential . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C THS4131ID 845-3250●
4500 TI 8 / SOIC OP Amp, Differential . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C THS4500ID 845-3365●
4501 TI 8 / SOIC OP Amp, Differential . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C THS4501ID 845-3373●
4502 TI 8 / SOIC OP Amp, Differential . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C THS4502ID 845-3381●
4503 TI 8 / SOIC Wideband fully differential Amp (IND TEMP). . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C THS4503ID 136-5426●
4503 TI 8 / HTSSOP OP Amp, Differential . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C THS4503IDGN 845-3403●
4505 TI 8 / SOIC OP Amp, Differential . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C THS4505D 845-3411●
4509 TI 16 / QFN Wideband, Low Noise, Low Distortion, Fully Differential Amplifier . . . . . . .-40°C to +85°C THS4509RGTT 959-4027●
4521 TI 8 / SOIC Very Low Power Rail-to-Rail Fully Diff Amp . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C THS4521ID 175-5707●
4521 TI 8 / MSOP Very Low Power Rail-to-Rail Fully Diff Amp . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C THS4521IDGKT 175-5708●
4522 TI 16 / TSSOP Low Power Rail-to-Rail Fully Diff Dual Amp . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C THS4522IPW 175-5709●
4524 TI 38 / TSSOP Op Amp, Quad, Diff Rail-to-Rail . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C THS4524IDBT 173-5571●
4531 TI 8 / SOIC Ultralow power, RRO, 36MHz, fully diff amp. . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C THS4531ID 211-5228●
4531 TI 8 / MSOP
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C THS4531IDGK 209-9896●
4531 TI 10 / QFN
Ultralow power, RRO, 36MHz, fully diff amp. . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C THS4531IRUNT 211-5229●
4830 ADI 8 / LFCSP
High speed difference amplifier with i/p short to battery protection . . . . . .-40°C to +125°C ADA4830-1BCPZ-R7 207-0672●
4830 ADI 16 / LFCSP
71MHz, High speed difference amplifier with i/p short to battery protec- -40°C to +125°C ADA4830-2BCPZ-R2
tion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 208-1028●
4830 ADI 16 / LFCSP 84MHz, high speed difference amp with short to batt protection . . . . . . . .-40°C to +125°C ADA4830-2BCPZ-R7 211-2678●
4932 ADI 16 / LFCSP 80mA, Low power, differential ADC driver . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +105°C ADA4932-1YCPZ-R2 207-4856●
4927 ADI 16 / LFCSP Ultralow distortion current f/b differential ADC Driver . . . . . . . . . . . . . . . .-40°C to +105°C ADA4927-1YCPZ-R2 207-4876●
4922 ADI 8 / SOIC High Voltage, Differential 18-Bit ADC Driver . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C ADA4922-1ARDZ 127-4190●
4941 ADI 8 / SOIC Single-Supply, Differential 18-Bit ADC Driver . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C ADA4941-1YRZ 127-4189●
6350 LT 8 / MSOP Low noise single ended to differential converter/115 MHz ADC driver . . . .0°C to +70°C LT6350CMS8#PBF 210-2657●
6360 LT 8 / MSOP Low Power, High Precision SAR ADC Driver . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C LTC6360CMS8E#PBF 203-3981●
6362 LT 8 / MSOP 34 MHz, Precision low power RRIO diff Op Amp/ SAR ADC Driver . . . . . .0°C to +70°C LTC6362CMS8#PBF 209-7967●
6362 LT 8 / MSOP 34 MHz, Precision low power RRIO diff Op Amp/ SAR ADC Driver . . . . . .-40°C to +85°C LTC6362HMS8#PBF 209-7968●
6362 LT 8 / MSOP 34 MHz, Precision low power RRIO diff Op Amp/ SAR ADC Driver . . . . . .-40°C to +85°C LTC6362IMS8#PBF 209-7969●
6362 LT 8 / DFN 34 MHz, Precision low power RRIO diff Op Amp/ SAR ADC Driver . . . . . .LT LTC6362HDD#PBF 214-5093●
6400 LT 16 / QFN 1.8GHz Low Noise, Low Distortion Differential ADC Driver for 300MHz IF .-40°C to +85°C LTC6400IUD-20#PBF 143-2100●
304
farnell.com element14.com 305
Semiconductors
6405 LTC 8 / MSOP Diff Amp< 2.7GHz, 5V, Ln . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C LTC6405IMS8E#PBF 169-6240●
8129 ADI 8 / MSOP 200 MHz Differential Receiver Amplifier . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C AD8129ARMZ 165-1275●
8129 ADI 8 / SOIC 200 MHz Differential Receiver Amplifier . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C AD8129ARZ 165-1276●
8130 ADI 8 / MSOP 270MHz Differential Receiver Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C AD8130ARMZ 166-0990●
8130 ADI 8 / SOIC 270 MHz Differential Line Receiver Amplifier (IND TEMP) . . . . . . . . . . . . .-40°C to +85°C AD8130ARZ 960-4278●
8132 ADI 8 / MSOP 350MHz Differential Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C AD8132ARMZ 166-0958●
8132 ADI 8 / SOIC 350MHz High-Speed Differential Amplifier (IND TEMP). . . . . . . . . . . . . . .-40°C to +85°C AD8132ARZ 942-6043●
8138 ADI 8 / MSOP Low Distortion Differential ADC Driver . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C AD8138ARMZ 166-0991●
8138 ADI 8 / SOIC Single High Speed Differential Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C AD8138ARZ 862-1551●
8139 ADI 8 / LFCSP Ultra Low Noise Fully Differential ADC Driver . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C AD8139ACPZ-R2 107-8297●
8202 ADI 8 / MSOP High Common-Mode Voltage, Single-Supply Difference Amplifier - -40°C to +125°C AD8202YRMZ
50MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 107-8295●
8202 ADI 8 / SOIC High Common-Mode Voltage, Single-Supply Difference Amplifier - -40°C to +125°C AD8202YRZ
50MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 107-8296● 1
8203 ADI 8 / MSOP High Common-Mode Voltage, Single-Supply Difference Amplifier - -40°C to +125°C AD8203YRMZ
60MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 107-8294●
The ADA4960 is a high performance, differential amplifier optimised for RF and IF applications. It achieves better than 63dB IMD3 performance for frequencies up to
and beyond 1GHz, making it an ideal driver for 8bit to 10bit giga-sample analog-to-digital converters. This device is optimised for wideband, low distortion performance
forfrequencies up to and beyond 1GHz.
659987
305
306 farnell.com element14.com
The INA149EVM is a platform for basic The 1646 is pin-compatible with the DRV134 and DRV135, as well as the SSM2142. The
functional evaluation of the INA149 High Common-Mode 1606 offers an advanced common-mode offset voltage reduction scheme, which requires
Difference Amplifier. a small single capacitor instead of the two electrolytics required by the 1646 and its pin-
Features: compatible cousins.
467530
Ì Easy access to pertinent nodes with test points and
Mftrs. Price Each
terminal blocks
Ì Convenient input and output filtering List No. Pins Pkg Order Code 1+ 10+ 100+ 250+ 500+
Ì Versatile pad for evaluation in current-sensing applica- THAT1606Q16-U 16 QSOP 135-4155●
tions THAT1646S08-U 8 SO 135-4156●
Ì Reverse supply and overvoltage protection THAT1646P08-U 8 DIP 135-4158●
Ì Advanced evaluation with prototype areas and SMA/SMB connector footprints
Ì Footprints for providing a flexible reference voltage
661647 Balanced Line Receivers
Order Code Price Each Series of precision differential amplifiers designed for use as balanced line receivers for au-
INA149EVM 208-1122 dio applications.
Laser trimmed in wafer form to give the precision resistor matching needed for high CMR
performance and precise gain.
OutSmarts™ Balanced Line Drivers Manufactured in THAT Corporation’s proprietary complementary dielectric isolation (DI)
Monolithic audio differential line drivers offering improved performance over conventional process, the THAT 1240-series provides the sonic benefits of discrete designs with the
cross-coupled designs by the use of OutSmarts technology - a dual feedback-loop design simplicity, reliability, matching, and small size of a fully integrated solution.
that prevents the excessive ground currents typical of cross-coupled output stages (CCOS) The THAT 1246 is pin-compatible with the INA137 and SSM2143, while the THAT 1240 is
when clipping into single-ended loads. pin-compatible with the INA134 and the SSM2141.
Semiconductors
OutSmarts uses two individual negative-feedback loops to separately control the differential CMRR 90dB at 60Hz Distortion 0.0006% THD
output voltage and common mode output currents, making the designs inherently more Bandwidth ≥8.6Mhz Noise -103dBu (re: input)
stable and less sensitive to component tolerances than common CCOSes. As a result, Slew Rate 12V/μs Current 2mA
467527
THAT’s topology prevents the loss of common-mode feedback that plagues common
CCOS designs when clipping into single-ended loads. This avoids excessive ground cur- Mftrs. Price Each
rents that would otherwise upset power supplies and create additional distortion, even in List No. Pins Pkg Gain Order Code 1+ 10+ 100+ 250+
adjacent channels. THAT1240P08-U 8 DIP 0dB 135-4130●
THAT1246P08-U 8 DIP -6dB 135-4147●
THAT1246S08-U 8 SO -6dB 135-4148●
Instrumentation Amplifiers
1 Instrumentation Amplifiers
The AD8428s are ultralow noise intrumentation amplifers designed to accurately measure tiny, high speed signals. All gain Features:
setting resistors for these devices are internal to the part and are precisely matched. These are one of the fastest instrumen- Ì Fixed gain of 2000V/V
Integrated Circuits & Development Kits
tation amplifiers available. The performance is specified over the industrial temperature range of -40°C to +85°C. Ì ESD protection >5000V
659989
Instrumentation Amplifiers
MCP6N11 instrumentation amplifers have an Enable/Vos calibration pin and several minimum gain options. These devices Features:
require two external resistors to set the gain, minimising gain error and drift-over temperature. These parts have five mini- Ì RRIO
mum gain options (1,2,5,10 and 100V/V). Ì Supply Current: 800μA
Ì Extended Temperature Range
659990
210738
6 MCHIP 14 / NSOIC 12MHz GW 6 Channel Programmable Gain Amplifiers R/R I/O . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C MCP6S26-I/SL 160-5575●
6 MCHIP 8 / MSOP 1 Channel, Single Ended, Rail-to-Rail I/O, Low Gain PGA. . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C MCP6S91-E/MS 919-0465●
6 MCHIP 8 / SOIC 2 Channel, Single Ended, Rail-to-Rail I/O, Low Gain PGA. . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C MCP6S92-E/SN 919-0511●
6 MCHIP 10 / MSOP 2 Channel, Single Ended, Rail-to-Rail I/O, Low Gain PGA. . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C MCP6S93-E/UN 919-0520●
306
farnell.com element14.com 307
103 TI 16 / SOIC OP Amp, Instrumentation, Low Noise . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C INA103KU 121-2393●
110 BB 16 / DIP Precision Monolithic FET Instrumentation Amp. - internal Gains 1, 10, 200, 500 . . . . . . .0°C to +70°C INA110KP 109-7535
111 TI 8 / PDIP 2MHz FET-Input Instrumentation Amplifier. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C INA111AP. 164-8638●
111 TI 16 / SOIC High Speed FET - Input Instrumentation Amp. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C INA111AUE4 121-9424●
111 TI 8 / PDIP OP Amp, Instrumentation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C INA111BP 121-2397●
Semiconductors
118 TI 8 / SOIC OP Amp, Instrumentation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C INA118U 121-2404●
118 TI 8 / SOIC OP Amp, Instrumentation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C INA118UB 121-2405●
121 TI 8 / PDIP 600kHz FET Input Low Power . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C INA121PA.. 164-8643●
121 TI 8 / SOIC 600kHz FET Input Low Power . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C INA121UA 164-8644●
125 TI 16 / SOIC 150kHz Inst. Amp with Precision Voltage Reference . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C INA125UA 164-8646● 1
125 TI 16 / PDIP Instrumentation Amplifier with Precision Voltage Reference . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C INA125P 145-9461●
126 TI 8 / VSSOP OP Amp, Instrumentation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C INA126EA 121-2408●
126 TI 8 / SOIC OP Amp, Instrumentation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C INA126U 121-2409●
129 TI 8 / SOIC OP Amp, Instrumentation, Low Power. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C INA129U 121-2415●
129 TI 8 / SOIC OP Amp, Instrumentation, Low Power. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C INA129UAE4 120-6897●
131 TI 8 / DIP Precision G = 100 Instrumentation Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C INA131AP 141-7406●
131 TI 8 / PDIP Precision G = 100 Instrumentation Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C INA131BP 145-9463●
141 TI 8 / SOIC OP Amp, Instrumentation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C INA141U 121-2419●
202 TI 14 / PDIP Digitally Controlled Programmable Gain. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C PGA202KP 164-8647●
203 TI 14 / PDIP Digital Programmable-Gain Instrumentation Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . .-25°C to +85°C PGA203KP 154-4015●
204 TI 16 / PDIP 1MHz Programmable Gain . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C PGA204AP. 164-8648●
205 TI 16 / PDIP Programmable Gain Instrumentation Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C PGA205AP 154-4016●
217 TI 8 / PDIP OP Amp, Instrumentation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C INA217AIP 121-2423●
217 TI 16 / SOIC Low Noise, Low-Distortion Instrumentation Amplifier. . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C INA217AIDWT 145-9470●
321 TI 8 / VSSOP OP Amp, Instrumentation, MicroPower CMOS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-55°C to +125°C INA321E/250G4 120-6911●
321 TI 8 / MSOP OP Amp, Instrumentation, MicroPower CMOS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-55°C to +125°C INA321EA/250G4 120-6912●
322 TI 8 / MSOP Single Supply/Channel CMOS Instrumentation Amplifier . . . . . . . . . . . . . . . . . . . . . . . . .-55°C to +125°C INA322EA/250 164-5384●
326 TI 8 / MSOP Instrumentation Rail\Rail Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C INA326EA/250G4 123-4688●
327 TI 10 / VSSOP OP Amp, Instrumentation, Low Power. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C INA327EA/250 120-6913●
331 TI 8 / VSSOP Low-Power, Single Supply, CMOS, Instumentation Amplifier . . . . . . . . . . . . . . . . . . . . . .-55°C to +125°C INA331IDGKT 145-9472●
332 TI 8 / MSOP Single Supply/Channel CMOS Instrumentation Amplifier . . . . . . . . . . . . . . . . . . . . . . . . .-55°C to +125°C INA332AIDGKT.. 164-5385●
333 TI 8 / MSOP Zero Drift R/R/P Instrumentation Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C INA333AIDGKT 164-5386●
333 TI 8 / SON 150kHz, 50μA Supply, Rail-to-Rail, 100dB CMRR, 0.16V/μs Low Power Instrumentation -40°C to +125°C INA333AIDRGT
Amplifier. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 190-3402●
337 TI 8 / MSOP Wide temperature Precision Instrumentation Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C INA337AIDGKT 110-0951
620 ADI 8 / DIP Low Power, Instrumentation Amp. 1 external resistor sets Gains of 1 to 1000 . . . . . . . . .-40°C to +85°C AD620ANZ 107-9404●
620 ADI 8 / SOIC Low Power Instrumentation Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C AD620ARZ 942-6183●
620 ADI 8 / DIP Low Power, Instrumentation Amp. 1 external resistor sets Gains of 1 to 1000 (50μV -40°C to +85°C AD620BNZ
mAx i/p offset Voltage) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 960-3522●
620 ADI 8 / SOIC Low Power Instrumentation Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C AD620BRZ 942-6191●
307
308 farnell.com element14.com
623 ADI 8 / MSOP Single Supply R/R Instrumentation Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C AD623ARMZ 166-0961●
623 ADI 8 / DIP Single Supply, Rail-to-Rail Instrumentation Amp. Gain range 1 to1000, set by an external -40°C to +85°C AD623ANZ
resistor. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 960-3700●
623 ADI 8 / SOIC Low Power Instrumentation Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C AD623ARZ. 942-6213●
624 ADI 16 / DIP High Precision Low Noise Instrumentation Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . .-25°C to +85°C AD624ADZ 165-1279●
625 ADI 16 / DIP Programmable Gain, Precision Instrumentation Amp. - 3 external resistors set Gain from 0°C to +70°C AD625JNZ
1 to 10,000 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 960-4936●
625 ADI 16 / PDIP Programmable Gain Instrumentation Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C AD625KNZ 143-8353●
627 ADI 8 / SOIC Micropower, Single and Dual Supply Rail-to-Rail Instrumentation Amplifier . . . . . . . . . . .-40°C to +85°C AD627BRZ 138-9161●
627 ADI 8 / PDIP Micropower, Single and Dual Supply Rail-to-Rail Instrumentation Amplifier . . . . . . . . . . .-40°C to +85°C AD627BNZ 143-8354●
627 ADI 8 / DIP MicroPower, Rail-to-Rail output Instrumentation Amp . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C AD627ANZ 960-3824●
627 ADI 8 / SOIC MicroPower, Single and Dual Supply, Rail-to-Rail Instrumentation Amplifier. . . . . . . . . . .-40°C to +85°C AD627ARZ 999-4211●
789 LT 8 / SOIC Micropower, RRIO, 36V, Inst amp. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C LT1789IS8-1#PBF 211-5800●
826 TI 8 / MSOP Precision, RRO, 36V, 1MHZ Inst Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C INA826AIDGK 206-1817●
Semiconductors
826 TI 8 / SOIC Precision, RRO, 36V, 1MHZ Inst Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C INA826AID 209-5821●
826 TI 8 / SON . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C INA826AIDRGT 209-9886●
1100 LT 8 / DIP Precision, Chopper-Stabilized Instrumentation Amp. . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C LTC1100CN8#PBF 956-0866●
1101 LT 8 / DIP Precision, MicroPower Instrumentation Amp. With Fixed Gains=10 or 100 . . . . . . . . . . .0°C to +70°C LT1101CN8#PBF 955-9930●
1101 LT 16 / SOIC Op Amp, Instr . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C LT1101SW#PBF 133-0576●
1167 LT 8 / SOIC Single Resistor Gain Programmable Precision Inst. Amp.. . . . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C LT1167ACS8#PBF 166-3384●
1167 LT 8 / SOIC Single Resistor Gain Programmable Precision Inst. Amp.. . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C LT1167AIS8#PBF 166-3385●
1167 LT 8 / SOIC Single Resistor Gain Programmable Precision Inst. Amp.. . . . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C LT1167CS8#PBF. 166-3386●
1167 LT 8 / SOIC Single Resistor Gain Programmable Precision Inst. Amp.. . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C LT1167IS8#PBF 166-3387●
1167 LT 8 / DIP Gain Programmable, Precision Insrumentation Amplifier . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C LT1167AIN8#PBF 956-0130●
1167 LT 8 / DIP Gain Programmable, Precision Insrumentation Amplifier . . . . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C LT1167CN8#PBF 956-0149●
1 1168 LT 8 / DIP Op Amp, Instr . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C LT1168CN8#PBF 133-0587●
1789 LT 8 / SOIC Micropower Single Supply R/R Output Instrumentation Amplifier Gain 1. . . . . . . . . . . . . .0°C to +70°C LT1789CS8-1#PBF 166-3503●
1789 LT 8 / SOIC Micropower Single Supply R/R Output Instrumentation Amplifier Gain 10. . . . . . . . . . . . .0°C to +70°C LT1789CS8-10#PBF 166-3504●
Integrated Circuits & Development Kits
1920 LT 8 / SOIC Single Resistor Gain Programmable Precision Instrumentation Amplifier . . . . . . . . . . . . .0°C to +70°C LT1920CS8#PBF 166-3517●
2053 LT 8 / MSOP Precision R/R Zero-Drift Resistor Programmable Instrumentation Amplifier . . . . . . . . . . .-40°C to +85°C LTC2053IMS8#PBF 166-3681●
2053 LT 8 / MSOP Op Amp, Instr, Zero-Drift. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C LTC2053CMS8#PBF 133-0912●
2053 LT 8 / MSOP Op Amp, Ext Clk Input . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C LTC2053CMS8-
SYNC#PBF 133-0914●
4208 MAX 8 / MicroMAX Adjustable Gain Ultra-Low Offset/Drift, Precision Instrumentation Amplifiers with REF -40°C to +125°C MAX4208AUA+T
Buffer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 155-0518●
4209 MAX 8 / MicroMAX Adjustable Gain Ultra-Low Offset/Drift, Precision Instrumentation Amplifiers with REF -40°C to +125°C MAX4209HAUA+T
Buffer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 155-0519●
4460 MAX 8 / SO Adjustable Gain Precision Instrumentation Amplifier Single-Supply Rail-to-Rail . . . . . . . .-40°C to +85°C MAX4460ESA+ 155-0515●
5748 ADI 32 / LFCSP Triple, 5.2mA Supply Current, I/V Output Driver . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +105°C AD5748ACPZ 182-7345●
5750 ADI 32 / LFCSP Dual, 5.2mA Supply Current, I/V Output Driver. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +105°C AD5750ACPZ 182-7265●
5750 ADI 32 / LFCSP Industrial current/voltage o/p driver . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +105°C AD5750-1ACPZ 209-4194●
5750 ADI 32 / LFCSP Industrial current/voltage o/p driver . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +105°C AD5750-1BCPZ 209-4195●
5750 ADI 32 / LFCSP Programmable o/p, industrial I/v o/p driver . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +105°C AD5750-2BCPZ 211-2671●
5751 ADI 32 / LFCSP Dual, 5.2mA Supply Current, I/V Output Driver. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +105°C AD5751ACPZ 182-7281●
6800 LT 8 / MSOP Op Amp, Instr . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C LTC6800HMS8#PBF 133-0972●
7704 NSC 14 / TSSOP Precision RRIO Quad Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C LMP7704MT 128-6779●
8220 ADI 8 / MSOP Rail to Rail Output JFET Input Instrumentation Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C AD8220ARMZ 127-4225●
8220 ADI 8 / MSOP Rail to Rail Output JFET Input Instrumentation Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C AD8220BRMZ 127-4224●
8221 ADI 8 / SOIC Precision Instrumentation Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C AD8221ARZ 166-0959●
8222 ADI 16 / LFCSP Precision, Dual-Channel Instrumentation Amplifier. . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C AD8222ACPZ-WP 143-8868●
8224 ADI 16 / LFCSP Precision, Dual-Channel, JFET Input, Rail-to-Rail Instrumentation Amplifier . . . . . . . . . . .-40°C to +125°C AD8224ACPZ-WP 143-8869●
8231 ADI 16 / LFCSP Zero Drift, Digitally Programmable Instrumentation Amplifier . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C AD8231ACPZ-WP 143-8870●
8250 ADI 10 / MSOP 10 MHz, 20 V/us, G = 1, 2, 5, 10 iCMOS® Programmable Gain Instrumentation Ampli- -40°C to +85°C AD8250ARMZ
fier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 139-1032●
8251 ADI 10 / MSOP 10 MHz, 20 V/us, G = 1, 2, 4, 8 iCMOS® Programmable Gain Instrumentation Amplifier-40°C to +85°C AD8251ARMZ 143-8871●
8420 ADI 8 / MSOP Wide supply, micropower, RRO instrumentation amp . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C AD8420ARMZ 208-1031●
8426 ADI 16 / LFCSP Wide supply, RRO dual channel Inst Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C AD8426ACPZ-R7 197-1714●
8429 ADI 8 / SOIC Ultra low noise Instrumentation amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C AD8429ARZ 197-1715●
8555 ADI 16 / LFCSP Digitally Programmable Sensor Signal Zero-Drift Amplifier . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C AD8555ACPZ-R2 107-8282●
8556 ADI 8 / SOIC Digitally Programmable Sensor Signal Amplifier With EMI Filters . . . . . . . . . . . . . . . . . . .-40°C to +140°C AD8556ARZ 107-8281●
308
farnell.com element14.com 309
Isolation Amplifiers
210541
Semiconductors
210 ADI 30 / DIP Precision Wide Bandwidth 3 Port Isolation Amp., 20kHz, 2.5kV isolation, Non-linearity AD210AN
± 0.002% . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 318-2034▲
210 ADI 30 / DIP Precision Wide Bandwidth 3 Port Isolation Amp., 20kHz, 2.5kV isolation, Non-linearity AD210BN
± 0.012% . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 318-2046▲
210 ADI 30 / DIP Precision Wide Bandwidth 3 Port Isolation Amp., 20kHz, 1.5kV isolation, Non-linearity AD210JN
± 0.012% . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 318-2058▲
721 TI 8 / SOIC High Speed Digital Isolator, 4000V, 100MBPS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ISO721DG4 139-0642●
721 TI 8 / SOIC High Speed Digital Isolator, 4000V, 150MBPS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ISO721MDG4 139-0643●
780 AV 8 / SOIC Miniature Isolation Amp. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ACPL-C780-000E 171-0662●
782 AV 8 / DIP Plastic Automotive Isolation Amp. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ACPL-782T-000E 171-0659●
782 AV 8 / DIP Plastic Automotive Isolation Amp. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ACPL-782T-300E 171-0660●
784 AV 8 / SOIC Miniature Isolation Amp. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ACPL-C784-000E 171-0663●
785 AV 16 / SOIC Isolation Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ACPL-785J-000E 171-0665●
790 AT 8 / SOIC Single, 200kHz, 5000Vrms Isolation Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ACPL-C790-000E 185-4250● 1
796 AV 16 / SOIC Isolated SIGMA-Delta, Modulator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ACPL-796J-000E 171-0661●
1100 TI 8 / SOIC Fully differential isolation amplifier for energy metering, 60kHz . . . . . . . . . . . . . . . . . . . AMC1100DUB 214-4250●
1200 TI 8 / SOIC 60KHz, SiO2, fully differential amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AMC1200SDUB 206-4240●
Price Each
Mftr. Pins Description Mftrs. List No. Order Code 1+ 10+ 100+ 250+ 500+
3 INTS 14 / DIP High Speed Sample and Hold Amp. 1μs acquistion, 1.0mV typ. hold step, internal capacitor . . HA3-5320-5 633-410▲
4 ADI 16 / SOIC CMOS Quad Sample and Hold Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . SMP04ESZ 166-1013●
4 ADI 16 / PDIP CMOS Quad Sample-and-Hold Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . SMP04EPZ 143-8698●
9 INTS 16 / SOIC 1μs Precision Sample & Hold Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . HA9P5320-5Z 156-2033●
298 NSC 14 / SOIC Sample and Hold Circuit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LF298M 822-1820●
398 NSC 8 / DIP Sample and Hold Amp. (enhanced LF398N) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LF398AN 948-5937●
398 NSC 8 / DIP Sample and Hold Amp. 4μs acquistion, 1.0mV typ. hold step . . . . . . . . . . . . . . . . . . . . . . . . . LF398N 948-7140●
398 LT 8 / SOIC Precision sample and hold amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LF398S8#PBF 211-5816●
585 ADI 14 / DIP Sample and Hold Amp. 3μs acquistion, 3mV typ. hold step, internal capacitor. . . . . . . . . . . . . AD585AQ 402-163▲
781 ADI 8 / DIP Sample and Hold Amp. 700ns Acquisition Time, internal hold capacitor. . . . . . . . . . . . . . . . . . AD781JNZ 960-4073●
783 ADI 8 / SOIC High Speed Sample and Hold Amp. 250nS Acquisition Time. Internal capacitor . . . . . . . . . . . . AD783JRZ 107-9328●
197 MAX 28 / DIP 12Bit DAC, 8 Analogue I/P, Selectable I/P Voltage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . MAX197BCNI+ 142-2294●
Miscellaneous Amplifiers
Sensor Signal Conditioner
659995
309
310 farnell.com element14.com
Max THD
Mftrs. @ 1V, Price Each
List No. Pins Pkg 1kHz, 0dB Order Code 1+ 10+ 100+ 250+
THAT2180AL08-U 8 SIP 0.01% 135-4166●
THAT2180BL08-U 8 SIP 0.02% 135-4167●
THAT2180CL08-U 8 SIP 0.05% 135-4168●
210740
6 MCHIP8 / PDIP Single-Ended, Rail-to-Rail I/O, Low Gain PGA . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C MCP6S21-I/P 143-9474●
6 MCHIP8 / SOIC 2-Channel Single-Ended, Rail-to-Rail I/O, Low Gain PGA . . . . . . . . . . . . . . . . . . . .-40°C to +125°C MCP6S22-I/SN 143-9475●
6 MCHIP16 / PDIP 8-Channel Single-Ended, Rail-to-Rail I/O, Low Gain PGA . . . . . . . . . . . . . . . . . . . .-40°C to +125°C MCP6S28-I/P 143-9476●
6 MCHIP5 / SOT-23 1 Ch, 900kHz, RRIO, Prog. Gain Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C MCP6G01RT-E/OT 185-2131●
6 MCHIP5 / SOT-23 1 Ch, 900kHz, RRIO, Prog. Gain Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C MCP6G01UT-E/OT 185-2132●
6 MCHIP8 / MSOP 2 Ch, 900kHz, RRIO, Prog. Gain Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C MCP6G02-E/MS 185-2133●
6 MCHIP8 / MSOP 1 Ch, 900kHz, RRIO, Prog. Gain Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C MCP6G03-E/MS 185-2134●
6 MCHIP8 / SOIC 1 Ch, 900kHz, RRIO, Prog. Gain Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C MCP6G03-E/SN 185-2135●
6 MCHIP14 / TSSOP4 Ch, 900kHz, RRIO, Prog. Gain Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C MCP6G04-E/ST 185-2136●
6 MCHIP8 / MSOP 1 Ch, 12MHz, RRIO, Prog. Gain Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C MCP6S21-I/MS 185-2157●
6 MCHIP8 / MSOP 2 Ch, 12MHz, RRIO, Prog. Gain Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C MCP6S22-I/MS 185-2158●
6 MCHIP14 / DIP 6 Ch, 12MHz, RRIO, Prog. Gain Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C MCP6S26-I/P 185-2159●
6 MCHIP14 / TSSOP6 Ch, 12MHz, RRIO, Prog. Gain Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C MCP6S26-I/ST 185-2160●
112 TI 10 / MSOP Programmable Gain Amplifier with 2 Channel Multiplexed O/P . . . . . . . . . . . . . . . .-40°C to +125°C PGA112AIDGST 156-5875●
112 TI 14 / SOIC PrecisionLogarithmic &Log Ratio Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C LOG112AID 121-4431●
221 NSC 6 / LLP 50 MHz to 3.5 GHz 40 dB Logarithmic Power Detector for CDMA and WCDMA. . . .-40°C to +85°C LMV221SD 132-0978●
280 TI 24 / TSSOPAmp, Progr Gain . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +105°C PGA280AIPW 177-1744●
452 BB 7 / TO-220 High Voltage (80V)/High Current (50mA) Operational Amp. (IND TEMP). . . . . . . . .-55°C to +125°C OPA452TA 109-7497●
526 ADI 16 / DIP Software Programmable Gain Amp. Gains of 1, 2, 4, 8, 16 . . . . . . . . . . . . . . . . . . .-40°C to +85°C AD526AD 402-140▲
526 ADI 16 / DIP Software Programmable Gain Amp. Gains of 1, 2, 4, 8, 16 . . . . . . . . . . . . . . . . . . .0°C to +70°C AD526JNZ 960-5444●
547 BB 7 / TO-220 High Voltage/High Current Power Op Amp, operates from Single or Dual supplies . .-40°C to +125°C OPA547T 109-7395●
549 ADI 8 / TO-99 Electrometer Amp . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C AD549JH 402-151▲
549 ADI 8 / TO-99 Ultra-Low Input Bias Current Electrometer Operational Amp . . . . . . . . . . . . . . . . . .0°C to +70°C AD549LH 318-2186▲
603 ADI 8 / SOIC Low Noise 90MHz Variable Gain Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C AD603ARZ 166-1010●
604 ADI 24 / SOIC Dual, Ultralow Noise Variable Gain Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C AD604ARZ 143-8877●
605 ADI 16 / SOIC Dual, Low Noise, Single-Supply Variable Gain Amplifier . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C AD605BRZ 143-8878●
606 ADI 16 / PDIP 50 MHz, 80 dB Demodulating Logarithmic Amplifier with Limiter Output . . . . . . . . .0°C to +70°C AD606JNZ 143-8364●
870 TI 28 / VQFN 1 Ch, 650MHz Prog. Gain Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C PGA870IRHDT 181-5733●
1250LT 8 / DIP Low Noise, Zero-Drift Bridge Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .0°C to +70°C LTC1250CN8#PBF 956-0947●
3096INTS 16 / SOIC NPN-PNP Ultra High Frequency 5 Transistor Array . . . . . . . . . . . . . . . . . . . . . . . . .-55°C to +125°C HFA3096BZ 156-2039●
5304ADI 32 / LFCSP High Speed, 200 dB Range Logarithmic Converter . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C ADL5304ACPZ-R2 206-5945●
6505NSC 8 / MSOP Wideband, Low Power, Linear-in-dB, Variable Gain Amplifier . . . . . . . . . . . . . . . . .-40°C to +85°C LMH6505MM 131-2602●
6514NSC 16 / LLP Variable Gain Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C LMH6514SQE 155-2443●
6517NSC 32 / LLP Low power & noise, IF and baseband Dual 16bit ADC Driver. . . . . . . . . . . . . . . . . .-40°C to +85°C LMH6517SQE 206-4677●
6521NSC 32 / LLP 200MHz, dual digitally controlled VGA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C LMH6521SQE 206-4676●
6910LT 8 / SOT-23 Digitally Controlled Programmable Gain Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C LTC6910-1CTS8#TRMPBF 166-3930●
6910LT 8 / SOT-23 Digitally Controlled Programmable Gain Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C LTC6910-2CTS8#TRMPBF 166-3931●
6911LT 10 / MSOP Dual Op Amp, Prog Gain . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C LTC6911CMS-1#PBF 133-0973●
6912LT 16 / SSOP Op Amp Prog Gain, Dual . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C LTC6912CGN-1#PBF 133-0978●
6912LT 16 / SSOP Op Amp Prog Gain, Dual . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C LTC6912CGN-2#PBF 133-0979●
6912LT 16 / SSOP Op Amp Prog Gain, Dual . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +125°C LTC6912HGN-2#PBF 133-0981●
8015ADI 8 / SOIC 240MHz, differential o/p transimpedance amp . . . . . . . . . . . . . . . . . . . . . . . . . . . .-40°C to +85°C AD8015ARZ 210-2501●
310
farnell.com element14.com 311
Ì Reference voltage inputs of 0V, 2.5V and 5.0V Mftrs. Price Each
Ì SMD pads for adding SMD connectors List No. Description Order Code 1+
Ì Reprogrammable PIC16F676 with ICSP™ (In-Cir- MCP6S2XEV MCP6S2X PGA Evaluation Board 133-2155●
cuit Serial Programming) interface
Power Amplifiers
Bipolar and MOSFET Power Amplifiers
Semiconductors
The HY series of Power Amplifier Modules are a versatile range of building blocks for constructing high quality audio amplifiers with a mini-
mum of additional components. The HY200x range includes the latest technology incorporating anti-thump circuitry and modules with on-
board PSU components.
These modular hybrid amplifiers have been designed using Bipolar or MOSFET (HY2006/7) technology to provide high fidelity performance.
Encapsulation to an integral heatsink together with internal circuitry providing output stage protection make them extremely rugged, both
electrically and mechanically, and therefore suitable for all audio applications. For loudspeaker protection the use of a quickblow fuse is
recommended - please refer to the data sheet.
The modules only require a minimum of external connection, and should be mounted to allow a vertical flow of air through the heatsink
fins. T slots in the heatsink facilitate mounting using the nuts and screws provided.
The HY2000/1/2/3/4/5 series are switchable for 4 or 8 Ohm operation, the power supply Price Each
requirements will change depending on this setting. Mftrs. List No. Order Code 1+ 5+ 10+
The modules HY2001, 2003, 2005, 2007 feature on-board rectifier and smoothing capaci- HY2000 121-1574●
tors and therefore only require an AC source from a suitable transformer.
HY2001 121-1577●
The modules HY2000/2002/2004/2006 require smoothing capacitors, rectifier and trans-
HY2002 121-1578●
former.
HY2003 121-1579●
HY2004 121-1580●
HY2005 121-1581●
HY2006 121-1582●
HY2007 121-1583●
Analogue Multiplexers
Multiplexers
The ADG5208/09 are monolithic CMOS analog multiplexers comprising eight single channels and four differential channels, respectively. The
ADG52008 switches one of eight inputs to a common output, as determined by the 3-bit binary address lines. The ADG5209 switches one of
four differential inputs to a common differential output, as determined by the 2-bit binary address lines.
The ultralow capacitance and charge injection of these switches make them ideal solutions for data acquisition and sample-and-hold applica-
tions, where low glitch and fast settling are requiredl. Each switch is bidirectional and has an input signal range that extends to the power supplies. In the off condi-
tion, signal levels up to the supplies are blocked.
Features:
Ì Human body model ESD rating Ì ±9V to ±22V dual-supply operation Ì 52pF off drain and 5.5pF off source capacitance
660139
311
312 farnell.com element14.com
409 INTS 16 SOIC 4-Channel Double Pole CMOS Analogue Multiplexer. . . . . . . . . . . . . . . . . 40 -40°C to +85°C DG409DYZ 966-3835●
409 SLX 16 SOIC 4Channel Double Pole CMOS Analogue Multiplexer . . . . . . . . . . . . . . . . . 20 -40°C to +85°C DG409LDY-E3 107-7100●
509 VS 16 SOIC Dual 4-Channel Differential Analouge Multiplexer . . . . . . . . . . . . . . . . . . . 380 -40°C to +125°C DG509BEY-T1-E3 181-4787●
509 ADI 16 SOIC CMOS 4 Channel Analog Multiplexers . . . . . . . . . . . . . . . . . . . . . . . . . . . 300 -40°C to +85°C ADG509FBRNZ 166-1034●
509 ADI 16 DIP 4-Channel Double Pole CMOS AnaLogue Multiplexer . . . . . . . . . . . . . . . . 280 -40°C to +85°C ADG509AKNZ 960-4944●
509 TI 28 DIP 4-Channel Double Pole CMOS AnaLogue Multiplexer. Over-Voltage pro- 1.3 -40°C to +85°C MPC509AP
tected and ESD resistant . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 121-4449●
509 TI 16 SOIC Multiplexer, 4-Channel . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1.3 -40°C to +85°C MPC509AUG4 120-6921●
604 ADI 14 TSSOP 1pC Charge Injection, Low Leakage CMOS 4-Channel Multiplexer . . . . . . 85 -40°C to +125°C ADG604YRUZ 143-8751●
609 ADI 16 PDIP LC2MOS, ± 5 V, 4-Channel High Performance Analog Multiplexer . . . . . 22 -40°C to +85°C ADG609BNZ 143-8945●
609 ADI 16 SOIC LC2MOS, ± 5 V, 4-Channel High Performance Analog Multiplexer . . . . . 22 -40°C to +85°C ADG609BRZ 143-8946●
659 ADI 16 TSSOP 3V/5V ± 5V CMOS 4 Channel Analog Multiplexer . . . . . . . . . . . . . . . . . . 45 -40°C to +125°C ADG659YRUZ 143-8948●
704 ADI 10 MSOP 4-Channel Single Pole CMOS Analogue Multiplexer . . . . . . . . . . . . . . . . . 2.5 -40°C to +85°C ADG704BRMZ 942-5756●
709 ADI 16 TSSOP Dual, CMOS, 3-Ohm Low Voltage, 4-Channel Multiplexer (IND TEMP) . . . 3 -40°C to +85°C ADG709BRUZ 960-4359●
729 ADI 16 TSSOP Dual, CMOS, Low-Voltage, 2-Wire Serially-Controlled, 4 way Matrix 4.5 -40°C to +85°C ADG729BRUZ
Switch (IND TEMP). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 107-9348●
739 ADI 16 TSSOP Dual, CMOS, Low-Voltage, 3-Wire Serially-Controlled, 4 way Matrix 4.5 -40°C to +85°C ADG739BRUZ
Switch (IND TEMP). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 158-1899●
774 ADI 16 SOIC 4-Channel Double Pole CMOS Analogue Multiplexer . . . . . . . . . . . . . . . . 2.2 -40°C to +85°C ADG774BRZ 942-5799●
804 ADI 10 MSOP 1.65V to 3.6V 4-Channel CMOS Multiplexer . . . . . . . . . . . . . . . . . . . . . . 0.5 -40°C to +125°C ADG804YRMZ 107-8225●
1204 ADI 14 TSSOP Low Capacitance, Low Charge Injection, Multiplexer . . . . . . . . . . . . . . . . 120 -40°C to +125°C ADG1204YRUZ 111-7872●
1209 ADI 16 SOIC Low Capacitance, 4-Channel, ± 15 V/+12 V iCMOS™ Multiplexer . . . . . 120 -40°C to +125°C ADG1209YRZ 143-8939●
1308 ADI 16 TSSOP 4-Channel ± 15 V/+12 V Multiplexer . . . . . . . . . . . . . . . . . . . . . . . . . . . 130 -40°C to +105°C ADG1308BRUZ 127-4179●
1309 ADI 16 TSSOP 4-Channel ± 15 V/+12 V Multiplexer . . . . . . . . . . . . . . . . . . . . . . . . . . . 130 -40°C to +105°C ADG1309BRUZ 127-4178●
1409 ADI 16 TSSOP 4 - Channel ± 15 V /12 V /± 5 V Multiplexer . . . . . . . . . . . . . . . . . . . . . 4 -40°C to +125°C ADG1409YRUZ 143-8941●
1604 ADI 14 TSSOP 4 Ch, 230μA Supply Current, Analogue Mux . . . . . . . . . . . . . . . . . . . . . . 1 -40°C to +125°C ADG1604BRUZ 182-7326●
1609 ADI 16 TSSOP 4 Ch, 225μA Supply Current, Analogue Mux . . . . . . . . . . . . . . . . . . . . . . 8 -40°C to +125°C ADG1609BRUZ 182-7331●
4314 INTS 14 SOIC 400MHz, 4x1 Video Crosspoint Switch . . . . . . . . . . . . . . . . . . . . . . . . . . – 0°C to +70°C HA4314BCBZ 156-2012●
4052 MAX 16 NSOIC Analogue Multiplexer/Switch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 125 0°C to +70°C MAX4052ACSE+ 142-2313●
4052 SLX 16 Mini 50nA Supply, 100ohm Max On State Resistance, 4:1 Configuration Dual 100 -40°C to +125°C DG4052AEN-T1-E4
QFN Multiplexer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 189-0648●
4052 SLX 16 TSSOP 50nA Supply, 100ohm Max On State Resistance, 4:1 Configuration Dual 100 -40°C to +125°C DG4052AEQ-T1-E3
Multiplexer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 189-0649●
4052 TI 16 SOIC 240ohm Max On State Resistance, 80nA, 3V to 20V, Dual Circuit 04:01 240 -55°C to +125°C CD4052BM96G3
Configuration MUX/DEMUX . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 188-2277
4524 MAX 10 TSSOP 4-Channel Single Pole CMOS AnaLogue Multiplexer. (TSSOP) . . . . . . . . . 90 0°C to +70°C MAX4524CUB+ 118-7936●
4614 MAX 14 SOIC Quad Channel SPST NO CMOS Analog Switch . . . . . . . . . . . . . . . . . . . . 10 0°C to +70°C MAX4614CSD+ 161-0112●
4639 MAX 16 TSSOP 3.5Ω, Single 8:1 and Dual 4:1, Low-Voltage Analog Multiplexers. . . . . . . 2.5 -40°C to +85°C MAX4639EUE+ 137-9780●
5204 ADI 14 TSSOP 4 channel, high voltage, latch-up proof Mux . . . . . . . . . . . . . . . . . . . . . . 280 -40°C to +125°C ADG5204BRUZ 194-4983●
8184 ADI 14 SOIC 700 MHz, 5 mA 4-to-1 Video Multiplexer. . . . . . . . . . . . . . . . . . . . . . . . . – -40°C to +85°C AD8184ARZ 166-1014●
6-Channel
3 TI 24 TSSOP 6Bit, 1-Of-2 Mux/Demux . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6.2 -40°C to +85°C TS3A27518EPWR 173-5605●
312
farnell.com element14.com 313
Semiconductors
507 TI 28 PDIP 8 Channel Differential Input Analog Multiplexer . . . . . . . . . . . . . . . . . . . . 1.5 -40°C to +85°C MPC507AP. 164-8762●
507 ADI 28 SOIC CMOS ± 15 V 8-Channel Analog Multiplexer. . . . . . . . . . . . . . . . . . . . . . 280 -40°C to +85°C ADG507AKRZ 143-8749●
507 ADI 28 DIP 8-Channel Double Pole CMOS Analogue Multiplexer . . . . . . . . . . . . . . . . 280 -40°C to +85°C ADG507AKNZ 960-4960●
508 ADI 16 DIP 8-Channel Single Pole CMOS Analogue Multiplexer . . . . . . . . . . . . . . . . . 280 -40°C to +85°C ADG508AKNZ 960-4979●
508 ADI 16 DIP 8-Channel Single Pole CMOS Analogue Multiplexer . . . . . . . . . . . . . . . . . 300 -40°C to +85°C ADG508FBNZ 107-9419●
508 VS 16 SOIC 8-Channel Single Output CMOS Analog Multiplexer . . . . . . . . . . . . . . . . . 380 -40°C to +125°C DG508BEY-T1-E3 181-4785●
528 ADI 18 DIP 8-Channel Single Pole CMOS Analogue Latchable Multiplexer . . . . . . . . . 280 -40°C to +85°C ADG528AKNZ 158-1901●
538 SLX 28 DIP 8-Channel/Dual 4-Channel Wideband/Video Multiplexer, 500MHz.. . . . . . 90 -40°C to +85°C DG538ADJ-E3 107-7129●
608 ADI 16 PDIP LC2MOS ± 5V 8-Channel High Performance Analog Multiplexer . . . . . . . 22 -40°C to +85°C ADG608BNZ 143-8752●
608 ADI 16 SOIC LC2MOS ± 5V 8-Channel High Performance Analog Multiplexer . . . . . . . 22 -40°C to +85°C ADG608BRZ 143-8944●
707 ADI 28 TSSOP Dual, CMOS, 2.5ohm Low-Voltage, 8-Channel Multiplexer (IND TEMP) . . 2.5 -40°C to +85°C ADG707BRUZ 107-9346●
708 ADI 16 TSSOP CMOS, 3-Ohm Low Voltage, 8-Channel Multiplexer (IND TEMP) . . . . . . . 3 -40°C to +85°C ADG708BRUZ 960-4340● 1
728 ADI 16 TSSOP CMOS, Low-Voltage, 2-Wire Serially-Controlled, 8 way mAtrix Switch 4.5 -40°C to +85°C ADG728BRUZ
(IND TEMP) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 960-4383●
738 ADI 16 TSSOP CMOS, Low-Voltage, 3-Wire Serially-Controlled, 8 way mAtrix Switch 4.5 -40°C to +85°C ADG738BRUZ
313
314 farnell.com element14.com
Analogue Multipliers
211037
Price Each
Mftr. Pins Description Mftrs. List No. Order Code 1+ 10+ 100+
534 ADI 14 / DIP Four Quadrant Multiplier. Accuracy 0.25%, Internally Trimmed, total error ± 1%,Output Offset ± 30n . . . . AD534JD 318-2137▲
534 ADI 10 / TO-100 4-Quad Analogue Multiplier. Accuracy 1% mAx. Scale factor 10 trimmAble to 3... . . . . . . . . . . . . . . . . . . . AD534JH 402-655▲
534 ADI 14 / DIP Four Quadrant Multiplier. Accuracy 0.25%, Internally Trimmed, total error ± 0.5%, Output Offset ± 1 . . . . AD534KD 318-2149▲
534 ADI 14 / DIP Four Quadrant Multiplier. Accuracy 0.25%, Internally Trimmed, total error ± 0.25%, Output Offset ± . . . . . AD534LD 318-2150▲
538 ADI 18 / DIP Real Time Computational Circuit providing accurate anaLogue multiplication, division and exponentiation. AD538AD
Accuracy 1% mAx. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 402-667▲
633 ADI 8 / PDIP Four-Quadrant Analog Multiplier, Accuracy 2% max . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD633ANZ 143-8410●
633 ADI 8 / DIP 4-Quad AnaLogue Multiplier. Accuracy 2% Max., 1MHz smAll signal bandwidth... . . . . . . . . . . . . . . . . . . . AD633JNZ 960-4995●
1 633 ADI 8 / SOIC 4-Quad Analogue Multiplier, Accuracy 2% Max., 1MHz smAll signal bandwidth . . . . . . . . . . . . . . . . . . . . . AD633JRZ 942-6221●
634 TI 14 / DIP 10MHz Precision Analogue Multiplier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . MPY634KP 156-4887●
634 TI 16 / SOIC Wide Bandwidth Precision Analog Multiplier. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . MPY634KUE4 120-6922●
Integrated Circuits & Development Kits
734 ADI 14 / DIP 4-Quad Analogue Multiplier. Accuracy 0.4% Max., 10MHz bandwidth (upgraded AD534) . . . . . . . . . . . . . . AD734ANZ 960-3573●
734 ADI 14 / DIP 4-Quad Analogue Multiplier. Accuracy 0.25% Max., 10MHz bandwidth (upgraded AD534) . . . . . . . . . . . . . AD734BNZ 960-3581●
734 ADI 14 / DIP 4-Quad AnaLogue Multiplier. Accuracy 0.4% mAx., 10MHz bandwidth (upgraded AD534) Ceramic Pack- AD734AQ
age . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 402-709▲
835 ADI 8 / SOIC 250 MHz Voltage Output 4-Quadrant Multiplier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD835ARZ 166-1049●
835 ADI 8 / DIP 4-Quad Voltage Output Multiplier. 250MHz -3dB bandwidth . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD835ANZ 107-9407●
54200 INTS 10 / TDFN USB 2.0 High/Full Speed Multiplexer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ISL54200IRZ 136-0992●
Analogue Switches
Analogue Switches - Dual/Triple/Quad SPDT
659996
211042/410976
314
farnell.com element14.com 315
Semiconductors
419 SLX 8 SOIC Single DPST Low Voltage CMOS Analogue Switch. . . . . . . . . . . . . . 18.5 -40°C to +85°C DG419LDY-E3 107-7106●
447 SLX 6 TSOP Low Power, High Voltage SPST Analogue Switch . . . . . . . . . . . . . . 25 -40°C to +85°C DG447DV-T1-E3 141-4088●
506 ADI 28 SOIC 16 Channel Multiplexer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 280 -40°C to +85°C ADG506AKRZ 166-1032●
601 ADI 6 SOT-23 2R CMOS ± 5 V/+5 V SPST Switch. . . . . . . . . . . . . . . . . . . . . . . . 2 -40°C to +85°C ADG601BRTZ-REEL7 166-1035●
619 ADI 8 SOT-23 4ohm, CMOS single SPDT switch . . . . . . . . . . . . . . . . . . . . . . . . . . 4 -40°C to +85°C ADG619BRTZ-500RL7 206-7803●
701 ADI 8 MSOP Low Voltage SPST CMOS Analogue Switch . . . . . . . . . . . . . . . . . . . 3 -40°C to +85°C ADG701BRMZ 107-9296●
701 ADI 6 SOT-23 2 ohm, CMOS low voltage SPST switch . . . . . . . . . . . . . . . . . . . . . 3 -40°C to +85°C ADG701LBRTZ-REEL7 207-4894●
702 ADI 8 MSOP Low Voltage SPST CMOS Analogue Switch . . . . . . . . . . . . . . . . . . . 3 -40°C to +85°C ADG702BRMZ 107-9297●
719 ADI 8 MSOP Low Voltage SPDT CMOS Analogue Switch. . . . . . . . . . . . . . . . . . . 4 -40°C to +85°C ADG719BRMZ 942-5578●
719 ADI 6 SOT-23 Spdt/Mux,2:1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4 -40°C to +125°C ADG719BRTZ 133-3248●
819 ADI 6 SOT-23 0.5ohm, 1.8V to 5.5V, dual SPDT switch. . . . . . . . . . . . . . . . . . . . . 0.6 -40°C to +125°C ADG819BRTZ-500RL7 206-7801●
–
901 ADI 8 MSOP Wideband, 43 dB Isolation @ 1 GHz, CMOS 1.65 V to 2.75 V, Ab-
sorptive Switch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
-40°C to +85°C ADG901BRMZ
134-6344●
1
902 ADI 8 MSOP Wideband, 43 dB Isolation @ 1 GHz, CMOS 1.65 V to 2.75 V, Ab- – -40°C to +85°C ADG902BRMZ
sorptive/Reflective Switch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 134-6345●
315
316 farnell.com element14.com
2467 FCH 16 MLP Low Voltage Dual DPDT Analog Switch . . . . . . . . . . . . . . . . . . . . . . 0.6 -40°C to +85°C FSA2467MPX 122-8239●
2517 SLX 10 DFN 10nA Supply, 4.5ohm Max On State Resistance, Dual SPDT Switch 4.5 -40°C to +85°C DG2517DN-T1-E4 189-0639●
2742 SLX 8 MSOP Low Voltage Dual SPDT Analogue Switch . . . . . . . . . . . . . . . . . . . . 0.8 -40°C to +85°C DG2742DQ-T1-E3 141-4081●
2743 SLX 8 MSOP Low Voltage Dual SPDT Analogue Switch . . . . . . . . . . . . . . . . . . . . 0.8 -40°C to +85°C DG2743DQ-T1-E3 141-4083●
2747 SLX 8 Mini QFN 1μA Supply, 600mohm Max On State Resistance, Dual SPST 600 -40°C to +85°C DG2747DN-T1-E4
Switch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 189-0640●
2748 SLX 8 Mini QFN 1μA Supply, 600mohm Max On State Resistance, Dual SPST 600 -40°C to +85°C DG2748DN-T1-E4
Switch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 189-0641●
2749 SLX 8 Mini QFN 1μA Supply, 600mohm Max On State Resistance, Dual SPST 600 -40°C to +85°C DG2749DN-T1-E4
Switch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 189-0642●
2788 SLX 16 Mini QFN 1μA Supply, 500mohm Max On State Resistance, Dual DPDT 500 -40°C to +85°C DG2788DN-T1-E4
Switch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 189-0643●
2789 SLX 16 Mini QFN 1μA Supply, 500mohm Max On State Resistance, Dual SPST 500 -40°C to +85°C DG2789DN-T1-E4
Switch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 189-0644●
3157 FCH 6 SC70 SPDT Analogue Switch/ 2:1Demultiplexer/Multiplexer Bus Switch . . 7 -40°C to +85°C FSA3157P6X 156-4487●
4544 MAX 6 SOT-23 Low voltage, 33ohm, Dual, SPDT switch. . . . . . . . . . . . . . . . . . . . . 60 -40°C to +85°C MAX4544EUT+T 211-3116●
4684 NSC 12 Bump Mi- 0.5Ohm Low-Voltage, Dual SPDT Analog Switch. . . . . . . . . . . . . . . 0.8 -40°C to +85°C LMS4684ITL
cro SMD 818-1233●
4684 NSC 10 LLP 0.5Ohm Low-Voltage, Dual SPDT Analog Switch. . . . . . . . . . . . . . . 0.8 -40°C to +85°C LMS4684LD 818-1241●
4741 TI 8 MSOP 0.9Ω Low voltage dual SPST analogue switch . . . . . . . . . . . . . . . . 0.9 -40°C to +85°C TS3A4741DGKR 214-4303●
5236 ADI 16 TSSOP 266MHz, 170 ns Turn On, 160ns Turn off, 280ohm Max On State 280 -40°C to +125°C ADG5236BRUZ
Resistance SPDT Dual Switch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 194-4986●
7218 ADI 8 MSOP Dual, SPST CMOS Analogue Switch (switch normAlly open) . . . . . . 4 -40°C to +85°C ADG721BRMZ 942-5764●
7238 ADI 8 MSOP Dual, SPST CMOS Analogue Switch (1 switch normAlly open). . . . . 4 -40°C to +85°C ADG723BRMZ 960-3921●
7512 ADI 14 DIP Dual SPDT CMOS Analogue Switch With ± 25V protection above 100 0°C to +70°C AD7512DIJNZ
supply. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 107-9334●
9233 SLX 8 SOIC Low-Voltage Dual SPST AnaLogue Switch. . . . . . . . . . . . . . . . . . . . 30 -40°C to +85°C DG9233DY-T1-E3 110-2507●
14805 MAX 48 TQFP 8 Ch Active-Low, 2mA Supply Current Dual Mux . . . . . . . . . . . . . . . 16 -40°C to +85°C MAX14805CCM+ 184-5844●
14806 MAX 48 TQFP 8 Ch Active-Low, 2mA Supply Current Dual Mux . . . . . . . . . . . . . . . 16 -40°C to +85°C MAX14806CCM+ 184-5845●
22366 TI 12 BGA Dual SPDT Analogue Switch with Negative Rail Capability, 1.8V 1.8 -40°C to +85°C TS5A22366YFCR
Compatible Input Logic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 186-3110●
22960 TI 8 SOT-23 Power Switch, 1.62V In . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . – -40°C to +85°C TPS22960DCNR 173-5581●
54050 INTS 10 TQFN Ultra Low ON-Resistance, +1.65V to +4.5V, Single Supply, Dual 300 -40°C to +85°C ISL54050IRUZ-T
SPDT Analog Switch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 136-0989●
84541 INTS 8 SOIC Low Voltage, Single Supply, Dual SPST CMOS Analogue Switches . 60 -40°C to +85°C ISL84541IBZ 966-4017●
Triple
733 ADI 16 TSSOP 2.5ohm low voltage, 160MHz, triple SPDT switch . . . . . . . . . . . . . . 4.5 -40°C to +85°C ADG733BRUZ 210-2516●
1234 ADI 20 TSSOP Low Capacitance, Triple SPDT ± 15 V/12 V iCMOS Switch. . . . . . . 190 -40°C to +125°C ADG1234YRUZ 127-4180●
2367 FCH 14 TSSOP Triple SPDT, Negative Swing Audio Source Switch, Low Ron (0.75 – -40°C to +85°C FSA2367MTCX
Ohm) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 149-5459●
4619 MAX 16 PDIP 3 Channel CMOS SPDT Switch . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10 0°C to +70°C MAX4619CPE+ 159-3372●
84053 INTS 16 QSOP Triple SPDT Analogue Switch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 60 -40°C to +85°C ISL84053IAZ 156-2056●
Quad
316
farnell.com element14.com 317
Semiconductors
221 ADI 16 DIP Quad SPST CMOS Analogue Switch . . . . . . . . . . . . . . . . . . . . . . . . 90 -40°C to +85°C ADG221KNZ 107-9383●
303 MAX 14 DIP Dual/Quad TTL Compatible CMOS Analog Switch . . . . . . . . . . . . . . 50 0°C to +70°C DG303ACJ+ 137-9789●
308 SLX 16 DIP Quad SPST CMOS Analogue Switch . . . . . . . . . . . . . . . . . . . . . . . . 85 -40°C to +85°C DG308BDJ 107-7073●
308 SLX 16 SOIC Quad SPST CMOS Analogue Switch . . . . . . . . . . . . . . . . . . . . . . . . 85 -40°C to +85°C DG308BDY-E3 107-7121●
309 SLX 16 DIP Quad CMOS AnaLog Switches . . . . . . . . . . . . . . . . . . . . . . . . . . . . 85 -40°C to +85°C DG309BDJ-E3 111-1234●
312 MAX 16 NSO 10R Quad CMOS Analog SPST Switches N/C . . . . . . . . . . . . . . . . . 10 0°C to +70°C MAX312CSE+ 142-2299●
312 MAX 16 DIP Quad SPST CMOS Analogue Switch . . . . . . . . . . . . . . . . . . . . . . . . 10 0°C to +70°C MAX312CPE+ 118-8035●
313 MAX 16 NSOIC Quad SPST CMOS Analogue Switch N/O . . . . . . . . . . . . . . . . . . . . . 10 -40°C to +85°C MAX313ESE+ 160-6631●
313 MAX 16 NSO 10R Quad CMOS Analog SPST Switches N/O . . . . . . . . . . . . . . . . . 10 0°C to +70°C MAX313CSE+ 142-2300●
313 MAX 16 DIP Quad SPST CMOS Analogue Switch . . . . . . . . . . . . . . . . . . . . . . . . 10 0°C to +70°C MAX313CPE+ 118-8036●
314 MAX 16 NSO 10R Quad CMOS Analog SPST Switches 2 N/C & 2 N/O . . . . . . . . . 10 0°C to +70°C MAX314CSE+ 142-2301●
314
320
MAX
MAX
16
8
DIP
SO
Quad SPST CMOS Analogue Switch . . . . . . . . . . . . . . . . . . . . . . . .
10R Quad CMOS Analog SPST Switches 4 N/O. . . . . . . . . . . . . . . .
10
35
0°C to +70°C
0°C to +70°C
MAX314CPE+
MAX320CSA+
118-8037●
142-2302●
1
330 FCH 16 TSSOP Quad Channel 2:1 Video Switch SPDT. . . . . . . . . . . . . . . . . . . . . . . 10 -40°C to +85°C FSAV330MTCX 156-4490●
333 ADI 20 SSOP ± 15 V Quad SPDT Switch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20 -40°C to +85°C ADG333ABRSZ 166-1017●
317
318 farnell.com element14.com
819 ADI 8 MSOP 0.5R CMOS 2:1 Mux/SPDT Switch with BBM Switching Action . . . . 0.5 -40°C to +125°C ADG819BRMZ 166-1038●
1211 ADI 16 TSSOP Low Capacitance, Low Charge Injection Quad SPST Switches . . . . . 120 -40°C to +125°C ADG1211YRUZ 111-7871●
1212 ADI 16 TSSOP Low Capacitance, Low Charge Injection Quad SPST Switches . . . . . 120 -40°C to +125°C ADG1212YRUZ 111-7870●
1233 ADI 16 TSSOP Low Capacitance, Quad SPDT ± 15 V/12 V iCMOS Switch . . . . . . . 190 0°C to +125°C ADG1233YRUZ 127-4182●
1311 ADI 16 TSSOP ± 15 V /12 V Quad SPST NO Switch . . . . . . . . . . . . . . . . . . . . . . . 200 -40°C to +105°C ADG1311YRUZ 127-4177●
1312 ADI 16 SOIC ± 15 V /12 V Quad SPST NC Switch . . . . . . . . . . . . . . . . . . . . . . . 200 -40°C to +105°C ADG1312YRZ 127-4175●
1313 ADI 16 TSSOP ± 15 V /12 V Quad SPST Switch . . . . . . . . . . . . . . . . . . . . . . . . . . 200 -40°C to +105°C ADG1313YRUZ 127-4174●
1412 ADI 16 TSSOP 1.5ohm, iCMOS quad SPST switch . . . . . . . . . . . . . . . . . . . . . . . . . 1.5 -40°C to +125°C ADG1412YRUZ 206-7800●
1434 ADI 20 LFCSP 4ohm, iCMOS quad SPDT switch . . . . . . . . . . . . . . . . . . . . . . . . . . 4.7 -40°C to +125°C ADG1434YCPZ-REEL7 207-4892●
1611 ADI 16 TSSOP 1 ohm, 52MHz, quad SPST switch . . . . . . . . . . . . . . . . . . . . . . . . . 1.2 -40°C to +125°C ADG1611BRUZ 210-2526●
1612 ADI 16 TSSOP 1 ohm, 52MHz, quad SPST switch . . . . . . . . . . . . . . . . . . . . . . . . . 2.15 -40°C to +125°C ADG1612BRUZ 207-4893●
1634 ADI 20 TSSOP Quad, 103MHz, SPDT Analog Switch . . . . . . . . . . . . . . . . . . . . . . . 4.5 -40°C to +125°C ADG1634BRUZ 185-8058●
1 2011 ADI 16 SOIC 60R Quad SPST Switch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 60 -40°C to +85°C ADG201AKRZ 166-1015●
2384 TI 64 HTQFP Quad-Port Equip Mgr . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . – -40°C to +125°C TPS23841PAP 175-5553●
4522 MAX 16 NSOIC Quad, SPST Analogue Switches . . . . . . . . . . . . . . . . . . . . . . . . . . . 100 0°C to +70°C MAX4522CSE+ 142-2328●
Integrated Circuits & Development Kits
4661 MAX 16 SSOP 2.5 Ohm Quad, SPST, CMOS Analog Switches . . . . . . . . . . . . . . . . 2.5 0°C to +70°C MAX4661CAE+ 137-9971●
4662 MAX 16 SSOP 2.5ohm quad SPST analogue switch . . . . . . . . . . . . . . . . . . . . . . . . 2.5 -40°C to +85°C MAX4662EAE+ 211-3121●
5212 ADI 16 TSSOP 435MHz, 175ns Turn on, 140ns Turn off, 160ohm Max On State 160 -40°C to +125°C ADG5212BRUZ
Resistance SPST Quad Switch . . . . . . . . . . . . . . . . . . . . . . . . . . . . 190-3612●
5213 ADI 16 TSSOP 435MHz, 175ns Turn on, 140ns Turn off, 160ohm Max On State 160 -40°C to +125°C ADG5213BRUZ
Resistance SPST Quad Switch . . . . . . . . . . . . . . . . . . . . . . . . . . . . 190-3613●
7510 ADI 16 DIP Quad SPST CMOS Analogue Switch With ± 25V protection above 75 0°C to +70°C AD7510DIJNZ
supply. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 107-9333●
7511 ADI 16 DIP Analoge Switch. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 100 – AD7511DIJNZ 181-4348●
7590 ADI 16 DIP Quad SPST Analogue Switch - integral data latches μP compatible.. 60 0°C to +70°C AD7590DIKNZ 107-9385●
9425 SLX 16 TSSOP 20nA Supply, 3ohm Max On State Resistance, Quad SPST Switch. . 3 -40°C to +85°C DG9425DQ-T1-E3 189-0661●
9426 SLX 16 TSSOP 20nA Supply, 3ohm Max On State Resistance, Quad SPST Switch. . 3 -40°C to +85°C DG9426DQ-T1-E3 189-0662●
9546 TI 16 TSSOP Quad I2C & SMBus Multiplexer With Reset Function . . . . . . . . . . . . 16 -40°C to +85°C PCA9546APWT 156-4905●
Octal
335 MAX 24 DIP SPST CMOS Analogue Switch. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 150 0°C to +70°C MAX335CNG+ 118-8014●
335 MAX 24 SOIC SPST CMOS Analogue Switch. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 100 0°C to +70°C MAX335CWG+ 972-5555●
408 ADI 16 TSSOP LC2MOS ± 15 V 8 Channel High Performance Analog Multiplexer. . 40 -40°C to +85°C ADG408BRUZ 166-1018●
408 ADI 16 SOIC LC2MOS ± 15 V 8 Channel High Performance Analog Multiplexer. . 40 -40°C to +85°C ADG408BRZ 166-1019●
714 ADI 24 TSSOP CMOS, Low Voltage, Serial (SPI) controlled Octal SPST Switch (IND 4.5 -40°C to +85°C ADG714BRUZ
TEMP) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 960-4367●
715 ADI 24 TSSOP CMOS, Low Voltage, Serial (I2C) controlled Octal SPST Switch (IND 4.5 -40°C to +85°C ADG715BRUZ
TEMP) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 960-4375●
1414 ADI 24 TSSOP 9.5ohm, SPI contolled octal SPST switch . . . . . . . . . . . . . . . . . . . . 11.5 -40°C to +125°C ADG1414BRUZ 209-4201●
4802 MAX 28 PLCC 8 Channel SPST Analogue Voltage Switches . . . . . . . . . . . . . . . . . . 38 0°C to +70°C MAX4802CQI+ 160-9600●
4800 MAX 48 TQFP 8-Channel, High-Voltage Analog Switches with 20MHz Serial Inter- 38 0°C to +70°C MAX4800ACCM+
face . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 167-3127●
4802 MAX 48 TQFP 8-Channel, High-Voltage Analog Switches with 20MHz Serial Inter- 38 0°C to +70°C MAX4802ACCM+
face . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 167-3128●
9051 SLX 16 TSSOP Low Voltage Precision 8-Ch Analogue Switches/Multiplexers. . . . . . 30 -40°C to +85°C DG9051DQ-T1-E3 141-4107●
Special Function
65 TI 38 TSSOP Crosspt Swtch 2.5Gbps . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . – -40°C to +85°C SN65LVDS250DBT. 175-5010●
10 NSC 40 LLP 1.5 Gbps 4x4 LVDS Crosspoint Switch . . . . . . . . . . . . . . . . . . . . . . – -40°C to +85°C DS10CP154ATSQ 166-7412●
201 ADI 16 PDIP Quad SPST Switch, LC2MOS ± 15 V High Speed . . . . . . . . . . . . . . 30 -40°C to +85°C ADG201HSJNZ 143-8701●
221 ADI 16 SOIC Quad SPST Switch LC2MOS ± 15 V. . . . . . . . . . . . . . . . . . . . . . . . 90 -40°C to +85°C ADG221KRZ 143-8702●
333 ADI 20 PDIP ± 15 V Quad SPDT Switch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45 -40°C to +85°C ADG333ABNZ 143-8703●
412 ADI 16 PDIP Quad SPST Switch LC2MOS Precision . . . . . . . . . . . . . . . . . . . . . . 35 -40°C to +85°C ADG412BNZ 143-8704●
419 ADI 8 PDIP LC2MOS Precision Mini-Dip Analog Switch . . . . . . . . . . . . . . . . . . . 35 -40°C to +85°C ADG419BNZ 143-8705●
433 ADI 16 SOIC Quad SPST Switch LC2MOS Precision . . . . . . . . . . . . . . . . . . . . . . 24 -40°C to +85°C ADG433BRZ 143-8707●
436 ADI 16 SOIC Dual, LC2MOS ± 15 V SPDT Switch. . . . . . . . . . . . . . . . . . . . . . . . 25 -40°C to +85°C ADG436BRZ 143-8881●
436 ADI 16 PDIP Dual SPDT Switch LC2MOS ± 15 V . . . . . . . . . . . . . . . . . . . . . . . . 25 -40°C to +85°C ADG436BNZ 143-8708●
441 ADI 16 PDIP Quad SPST Switch LC2MOS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70 -40°C to +85°C ADG441BNZ 143-8709●
444 ADI 16 SOIC Quad SPST Switch LC2MOS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70 -40°C to +85°C ADG444BRZ 143-8710●
318
farnell.com element14.com 319
Semiconductors
2731 SLX 10 MSOP Low Voltage Dual SPDT Analogue Switch . . . . . . . . . . . . . . . . . . . . 0.45 -40°C to +85°C DG2731DQ-T1-E3 141-4078●
2733 SLX 10 MSOP Low Voltage Dual SPDT Analogue Switch . . . . . . . . . . . . . . . . . . . . 0.45 -40°C to +85°C DG2733DQ-T1-E3 141-4080●
2950 LT 8 DFN Push Button On/Off Controller . . . . . . . . . . . . . . . . . . . . . . . . . . . . . – 0°C to +70°C LTC2950CDDB-2#TRMPBF 167-3534●
2950 LT 8 TSOT-23 Push Button On/Off Controller . . . . . . . . . . . . . . . . . . . . . . . . . . . . . – 0°C to +70°C LTC2950CTS8-2#TRMPBF 167-3536●
2950 LT 8 DFN Push Button On/Off Controller . . . . . . . . . . . . . . . . . . . . . . . . . . . . . – -40°C to +85°C LTC2950IDDB-1#TRMPBF 167-3537●
2950 LT 8 DFN Push Button On/Off Controller . . . . . . . . . . . . . . . . . . . . . . . . . . . . . – -40°C to +85°C LTC2950IDDB-2#TRMPBF 167-3538●
2950 LT 8 TSOT-23 Push Button On/Off Controller . . . . . . . . . . . . . . . . . . . . . . . . . . . . . – -40°C to +85°C LTC2950ITS8-1#TRMPBF 167-3539●
2950 LT 8 TSOT-23 Push Button On/Off Controller . . . . . . . . . . . . . . . . . . . . . . . . . . . . . – -40°C to +85°C LTC2950ITS8-2#TRMPBF 167-3540●
2951 LT 8 DFN Push Button On/Off Controller . . . . . . . . . . . . . . . . . . . . . . . . . . . . . – 0°C to +70°C LTC2951CDDB-1#TRMPBF 167-3541●
2951 LT 8 DFN Push Button On/Off Controller . . . . . . . . . . . . . . . . . . . . . . . . . . . . . – 0°C to +70°C LTC2951CDDB-2#TRMPBF 167-3542●
2951 LT 8 TSOT-23 Push Button On/Off Controller . . . . . . . . . . . . . . . . . . . . . . . . . . . . . – 0°C to +70°C LTC2951CTS8-1#TRMPBF 167-3544●
2951 LT 8 TSOT-23 Push Button On/Off Controller . . . . . . . . . . . . . . . . . . . . . . . . . . . . . – 0°C to +70°C LTC2951CTS8-2#TRMPBF 167-3545● 1
2951 LT 8 DFN Push Button On/Off Controller . . . . . . . . . . . . . . . . . . . . . . . . . . . . . – -40°C to +85°C LTC2951IDDB-1#TRMPBF 167-3546●
2951 LT 8 DFN Push Button On/Off Controller . . . . . . . . . . . . . . . . . . . . . . . . . . . . . – -40°C to +85°C LTC2951IDDB-2#TRMPBF 167-3547●
2951 LT 8 TSOT-23 Push Button On/Off Controller . . . . . . . . . . . . . . . . . . . . . . . . . . . . . – -40°C to +85°C LTC2951ITS8-1#TRMPBF 167-3548●
Bus Transceivers
210418
Price Each
Mftr. Pins Pkg Description Mftrs. List No. Order Code 1+ 10+ 100+ 250+ 500+
001 NSC 8 SOIC Adjustable LVDS Cable Driver. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CLC001AJE. 128-6813●
6 ON 16 QFN 2:1 LVDS Transceiver 2.5Gbps . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . NB6N11SMNG 136-9727●
10 NSC 16 NSOIC 1.5 Gbps 2X2 LVDS Crosspoint Switch . . . . . . . . . . . . . . . . . . . . . . . . . . . DS10CP152TMA/NOPB. 154-2528●
10 NSC 40 LLP 1.5 Gbps 1:4 LVDS Repeater . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DS10BR254TSQ 155-2432●
15 TI 16 SOIC Half-Duplex Rs485 Txrx . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ISO15DW 175-5038●
25 NSC 16 NSOIC 3.125 Gbps 1:4 LVDS Repeater . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DS25BR204TSQ 154-2529●
40 NSC 48 LLP 1485Mbps Serializer and Driver with LVDS Interface . . . . . . . . . . . . . . . . . LMH0040SQE 160-9170●
43 TI 128 TQFP IEEE-1394 Controller . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . TSB43AB23PDT 175-5599●
65 TI 8 SOIC 2Gbps XlatRail-to-Railepeater. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . SN65LVDT101D. 175-5062●
65 TI 28 HTSSOP 8Ch Dgtl-In Serialzr . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . SN65HVS880PWP 175-5123●
65 TI 8 SOIC 3.3V CAN Transceivers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . SN65HVD230QD.. 164-8752●
65 TI 16 SOIC Dual LVDS Transmitter/Receiver. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . SN65LVDM051D 164-8753●
65 TI 16 TSSOP Dual High Speed Differential Driver & Receiver . . . . . . . . . . . . . . . . . . . . . . SN65LVDS049PW. 164-8754●
65 TI 8 SOIC RS-485 Transceiver . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . SN65HVD24D 118-0136●
65 TI 28 SSOP 10:1 LVDS Transmitter. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . SN65LV1023ADB 845-2296●
65 TI 28 SSOP 1:10 LVDS Receiver. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . SN65LV1224ADB 845-2326●
65 TI 64 TSSOP LVDM Transceiver . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . SN65LVDM1677DGGG4 120-7272●
65 TI 16 SOIC LVDS Receiver, Quad. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . SN65LVDS048AD 845-2369●
65 TI 16 SOIC Differential Line Transceiver, Dual. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . SN65LVDS050DG4 120-7273●
65 TI 8 SOIC Repeater, LVDS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . SN65LVDS100D 845-2393●
65 TI 16 SOIC Repeater, TTL - LVDS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . SN65LVDS105D 845-2415●
65 TI 8 SOIC LVDS Driver . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . SN65LVDS1D 845-2474●
65 TI 5 SOT-23 LVDS Driver . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . SN65LVDS1DBVT 845-2482●
65 TI 5 SOT-23 LVDS Receiver. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . SN65LVDS2DBVT 845-2490●
319
320 farnell.com element14.com
65 TI 14 SOIC High speed Low Power differential and receiver pair. . . . . . . . . . . . . . . . . . SN65LBC180AD 110-5914●
65 TI 8 SOIC CMOS Differential Bus Transceiver With transient Voltage suppression.(IND SN65LBC184D
TEMP) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 110-2927●
65 TI 8 DIP CMOS Differential Bus Transceiver With transient Voltage suppression.(IND SN65LBC184P
TEMP) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 110-2931●
65 TI 8 SOIC Low Voltage, High-speed Differential Line Transceiver . . . . . . . . . . . . . . . . SN65LVDM176D 110-5912●
65 TI 8 VSSOP Half-Duplex LVDM Transceiver . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . SN65LVDM176DGKG4 123-4933●
65 TI 16 SOIC Differential Line RCVR Quad RS-485 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . SN65LBC173AD 845-2245●
65 TI 16 SOIC Quadruple Low-Power Differential Line Receiver. . . . . . . . . . . . . . . . . . . . . SN65LBC175DG4 123-4737●
65 TI 8 SOIC Half-Duplex RS-485 Transceiver . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . SN65HVD485EDG4 134-4380●
74 ST 48 TSSOP Log Transceiver, IEEE1284 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 74LVC161284TTR 128-8463●
74 TI 48 SOIC 16Bit 2.5V To 3.3V/3.3V To 5V Level Shifting Transceiver With 3-State O/P SN74ALVC164245DL 128-7493●
74 TI 6 SOT-23 Single-Bit Dual-Supply Bus Transceiver With Configurable Voltage Transla- SN74AVC1T45DBVT
tion and 3-State Outputs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 105-3519●
74 TI 6 SC-70 Single-Bit Dual-Supply Bus Transceiver With Configurable Voltage Transla- SN74AVC1T45DCKT
tion and 3-State Outputs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 105-3514●
74 TI 8 VSSOP Dual-Bit Dual-Supply Bus Transceiver With Configurable Voltage Transla- SN74AVC2T45DCUT
tion and 3-State Outputs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 105-3505●
74 TI 16 TSSOP 4-Bit Dual-Supply Bus Transceiver With Configurable Voltage Translation SN74AVC4T245PW
and 3-State Outputs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 105-3499●
74 TI 16 TSSOP 4-Bit Dual-Supply Bus Transceiver With Configurable Voltage Translation SN74AVC4T245PWT
and 3-State Outputs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 105-3494●
74 TI 24 TSSOP 8-Bit Dual-Supply Bus Transceiver With Configurable Voltage Translation SN74AVC8T245PW
and 3-State Outputs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 105-3490●
74 TI 48 SSOP 16-Bit Buffer/Driver With 3-State Outputs . . . . . . . . . . . . . . . . . . . . . . . . . . SN74LVCH16240ADL 123-6411●
74 TI 48 TVSOP 8-Bit Universal Bus Transceiver And Two 1-Bit Bus Transceivers With 3- SN74VMEH22501ADGVR
State Outputs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 123-6484●
82 INTS 44 PLCC 24 I/O CMOS Programmable Peripheral Interface . . . . . . . . . . . . . . . . . . . . CS82C55A-5Z 156-2070●
90 NSC 100 TQFP 8 LVDS to 48Bit Channel Link Deserializer . . . . . . . . . . . . . . . . . . . . . . . . . DS90CR484AVJD 160-9182●
90 NSC 48 TQFP 5 to 43MHz DC Balanced 24Bit LVDS Serializer/Deserializer . . . . . . . . . . . . DS90UR241QVS 158-9514●
90 NSC 64 TQFP 5 to 43MHz DC Balanced 24Bit LVDS Serializer/Deserializer . . . . . . . . . . . . DS90UR124QVS 158-9516●
90 NSC 56 TSSOP 1.848 Gbps CMOS Transmitter 28-Bit Converter to 4 Channel at 66MHz DS90CR285MTD/NOPB
Clock. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 156-4785●
90 NSC 16 SOIC Quad, CMOS LVDS Differential Line Driver.. . . . . . . . . . . . . . . . . . . . . . . . . DS90C031TM 949-0108●
90 NSC 16 SOIC Quad, CMOS LVDS Differential Line Receiver.. . . . . . . . . . . . . . . . . . . . . . . DS90C032TM 949-0094●
90 NSC 48 TSSOP +3.3V Programmable LVDS Transmitter 18-Bit Flat Panel Display (FPD) DS90C363BMT
Link-65 MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 818-1250●
90 NSC 48 TSSOP +3.3V Programmable LVDS Transmitter 18-Bit Flat Panel Display Link-85 DS90C365AMT
MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 818-1268●
90 NSC 56 TSSOP +3.3V Programmable LVDS Transmitter 24-Bit Flat Panel Display (FPD) DS90C383BMT
Link-65 MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 818-1276●
90 NSC 56 TSSOP +3.3V Programmable LVDS Transmitter 24-Bit Flat Panel Display Link-85 DS90C385AMT
MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 818-1284●
90 NSC 16 SOIC 2X2 800 Mbps LVDS Switch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DS90CP22M-8 128-6818●
90 NSC 56 TSSOP CMOS, 3.3V LVDS Receiver, 28-Bit channel link, 66MHz. (TSSOP). . . . . . . DS90CR286AMTD 977-8233●
90 NSC 56 TSSOP CMOS, 3.3V LVDS Transmitter, 28-Bit channel link, 85MHz . . . . . . . . . . . . DS90CR287MTD 121-5184●
320
farnell.com element14.com 321
Price Each
Mftr. Pins Pkg Description Mftrs. List No. Order Code 1+ 10+ 100+ 250+ 500+
90 NSC 8 SOIC LVDS to LVDS Buffer, 3.3V . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DS90LV001TM. 128-6824●
90 NSC 48 TQFP 4 Channel LVDS Repeater . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DS90LV004TVS/NOPB 128-6736●
90 NSC 5 SOT-23 3V LVDS Single High Speed Differential Driver . . . . . . . . . . . . . . . . . . . . . . DS90LV011ATMF 820-6821●
90 NSC 8 SOIC LVDS Driver, 3V. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DS90LV017ATM. 128-6825●
90 NSC 8 SOIC CMOS, 3V LVDS Differential Line Receiver . . . . . . . . . . . . . . . . . . . . . . . . . DS90LV018ATM 948-5821●
90 NSC 14 SOIC Physical Transceiver, 155 MBS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DS90LV019TM. 128-6826●
90 NSC 14 TSSOP Driver/Receiver LVDS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DS90LV019TMTC 822-1839●
90 NSC 8 SOIC CMOS, 3V LVDS Dual Differential Line Receiver . . . . . . . . . . . . . . . . . . . . . DS90LV028ATM 948-5830●
90 NSC 16 TSSOP Quad Receiver, LVDS, 400MBPS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DS90LV032ATMTC 121-1795●
90 NSC 16 SOIC CMOS, 3V LVDS Quad Differential Line Driver. . . . . . . . . . . . . . . . . . . . . . . DS90LV047ATM 948-5848●
90 NSC 16 SOIC CMOS, 3V LVDS Quad Differential Line Receiver. . . . . . . . . . . . . . . . . . . . . DS90LV048ATM 948-5856●
90 NSC 28 TSSOP 1 to 10 LVDS Data/Clock Distributor With Failsafe . . . . . . . . . . . . . . . . . . . DS90LV110ATMT 100-8241●
91 NSC 32 LLP 125MHz Quad M-LVDS Transceiver . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DS91M040TSQE 160-9179●
92 NSC 8 SOIC 3.3V, 1-Bit LVDS Bus Transceiver . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DS92LV010ATM 948-5791●
92 NSC 44 LLP 4 Channel Bus LVDS Transceiver . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DS92LV040ATLQA 149-5091●
92 NSC 64 TQFP 9 Channel Bus LVDS Tranceiver . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . DS92LV090ATVEH.. 128-6835●
92 NSC 28 SSOP 16-40 MHz 10 Bit Bus LVDS Serializer . . . . . . . . . . . . . . . . . . . . . . . . . . . . DS92LV1021AMSA 820-6830●
92 NSC 80 PQFP 16-Bit Bus LVDS Serializer/Deserializer - 25-80 MHz . . . . . . . . . . . . . . . . . DS92LV16TVHG 820-6848●
0106 TI 16 TSSOP Txb, Transceiver . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . TXB0106PWR 169-4679●
201 MCHIP 8 PDIP LIN Transceiver with Voltage Regulator. . . . . . . . . . . . . . . . . . . . . . . . . . . . MCP201-I/P 143-9385●
201 MCHIP 8 SOIC LIN Transceiver with Voltage Regulator. . . . . . . . . . . . . . . . . . . . . . . . . . . . MCP201-I/SN 143-9386●
202 ST 16 SOIC 230 KBPS ESD Protected 5V Dual RS-232 Transceiver . . . . . . . . . . . . . . . ST202ECDR 156-4302●
232 ST 16 SOIC RS-232 Dual Driver & Dual Receiver. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ST232CDR 156-4303●
232 ADI 16 PDIP High-Speed, 5 V, 0.1 μF CMOS RS-232 Driver/Receiver . . . . . . . . . . . . . . . ADM232AANZ 143-8715●
Semiconductors
233 ADI 20 PDIP Low Power, +5V CMOS RS-232 120kBPS Transceiver with 2 Drivers & 2 ADM233LJNZ
Receivers. No External Capacitors Required . . . . . . . . . . . . . . . . . . . . . . . . 143-8717●
483 ADI 8 SOIC 5 V Low Power, Slew-Rate Limited RS-485/RS-422 Transceiver . . . . . . . . ADM483ARZ 143-8722●
485 ST 8 SOIC RS-485 / RS-422 Transceiver . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ST485BDR 156-4306●
485 ST 8 SOIC RS-485 / RS-422 Transceiver . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ST485CDR 156-4307●
485 ADI 8 PDIP +5 V Low Power EIA RS-485 Transceiver . . . . . . . . . . . . . . . . . . . . . . . . . ADM485ANZ 143-8723●
1176 TI 16 SOIC solated Profibus RS-485 Transceiver with Integrated Transformer Driver. . . ISO1176TDW 186-3111●
1485 ADI 8 SOIC CMOS, Low Power Differential Bus Transceiver (RS485) . . . . . . . . . . . . . . ADM1485ARZ 942-6434●
1535 LTC 28 SOIC Isolated RS485 Transceiver . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC1535CSW#PBF 160-9802●
1535 LTC 28 SOIC Isolated RS485 Transceiver . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC1535ISW#PBF 160-9803●
1685 LTC 8 SOIC 52Mbps Precision Delay RS485 Fail-Safe Transceiver . . . . . . . . . . . . . . . . LTC1685CS8#PBF 160-9800●
1685 LTC 8 SOIC 52Mbps Precision Delay RS485 Fail-Safe Transceiver . . . . . . . . . . . . . . . . LTC1685IS8#PBF 160-9801●
2021 MCHIP 8 SOIC 3.3V LIN Transceiver with Voltage Regulator . . . . . . . . . . . . . . . . . . . . . . . MCP2021-330E/SN 164-2482●
2021 MCHIP 8 SOIC 5.0V LIN Transceiver with Voltage Regulator . . . . . . . . . . . . . . . . . . . . . . . MCP2021-500E/SN 164-2483●
1
2022 MCHIP 14 SOIC 5.0V LIN Transceiver with Voltage Regulator . . . . . . . . . . . . . . . . . . . . . . . MCP2022-500E/SL 164-2486●
2122 NSC 48 LLP 10 - 50 MHz DC-Balanced Channel Link III Bi-Directional Control Deserial- DS92LX2122SQE
321
322 farnell.com element14.com
Price Each
Mftr. Pins Pkg Description Mftrs. List No. Order Code 1+ 10+ 100+ 250+ 500+
NSC 8 LLP 75 MHz Dual Clock Buffer. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LMH2180SDE 155-2440●
NSC 8 LLP 75 MHz Dual Clock Buffer. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LMH2180YD 155-2441●
Semiconductors
Silicon Oscillators
Linear’s solid-state "instant clocks" can be set to output a broad range of frequencies using a single resistor, or via an SPI or I2C interface.
Ì Long Term Stability 300ppm/kH Ì Operating temperature range -40°C to 85°C
488549
Clock Conditioners
620151
322
farnell.com element14.com 323
Semiconductors
NSC 2180 8/LLP Clock Buffer 75MHz 2.3mA 2.4V to 5V -40°C to +85°C LMH2180YD 155-2441●
ROHM 2394 20/VQFN — 28.636MHz — 3V to 3.6V -5°C to +70°C BU2394KN-E2 171-6137●
ROHM 2396 20/VQFN — 12MHz — 3V to 3.6V -5°C to +70°C BU2396KN-E2 171-6138●
TI 2509 24/TSSOP PLL Clock Driver 175MHz 40μA 3V to 3.6V 0°C to +85°C CDCVF2509PW. 175-4785●
NSC 3033 48/LLP Clock Conditioner 1.08GHz 161.8mA 3.15V to 3.45V -40°C to +85°C LMK03033CISQE/NOPB 168-5413●
NSC 3033 48/LLP Clock Conditioner 1.08GHz 161.8mA 3.15V to 3.45V -40°C to +85°C LMK03033ISQE/NOPB 168-5414●
NSC 3033 48/LLP Clock Conditioner 2.16GHz 161.8mA 3.15V to 3.45V -40°C to +85°C LMK03033CISQ 166-7424●
ROHM 3071 6/HVSOF — 28.636MHz — 3V to 3.6V -5°C to +70°C BU3071HFV-TR 171-6139●
ROHM 3072 6/HVSOF — 48MHz — 3V to 3.6V -5°C to +70°C BU3072HFV-TR 171-6140●
ROHM 3073 6/HVSOF — 48MHz — 3V to 3.6V -5°C to +70°C BU3073HFV-TR 171-6142●
ROHM 3076 6/HVSOF — 27MHz — 2.85V to 3.6V -5°C to +75°C BU3076HFV-TR 171-6143●
NSC 4011 48/LLP Clock Conditioner 1.57GHz 378mA 3.15V to 3.45V -40°C to +85°C LMK04011BISQE 167-9687●
NSC 4031 48/LLP Clock Conditioner 1.57GHz 335mA 3.15V to 3.45V -40°C to +85°C LMK04031BISQE 167-9688● 1
NSC 4033 48/LLP Clock Conditioner 2.16GHz 335mA 3.15V to 3.45V -40°C to +85°C LMK04033BISQE 167-9689●
TI 4510 16/PDIP — 8MHz — 3V to 18V -55°C to +125°C CD4510BE... 156-4958●
LSI 7166 20/DIP — 25MHz — 3V to 5.5V 0°C to +70°C LS7166 121-9014●
Price Each
Package Description Mftrs.List No. Order Code 1+ 10+ 100+ 250+ 500+
LLP E-pHEMT Amplifier VMMK-1218-BLKG 165-0249●
LLP E-pHEMT Amplifier VMMK-1225-BLKG 165-0250●
LLP E-pHEMT Amplifier VMMK-2103-BLKG 165-0251●
LLP E-pHEMT Amplifier VMMK-2203-BLKG 165-0252●
LLP E-pHEMT Amplifier VMMK-2303-BLKG 165-0253●
LLP E-pHEMT Amplifier VMMK-2503-BLKG 165-0255●
323
324 farnell.com element14.com
Typical Performance (2.4 GHz; 3V, 7mA ) Mftrs. List No. Description Order Code Price Each
Ì 15 dB Gain MGA-71543-BLKG GaAs MMIC LNA with Bypass Switch 134-7205●
Ì 1.1 dB Noise Figure with 9dB Input Return Loss
Ì +7 dBm Input IP3
Ì -5 dBm Input Power at 1dB gain compression
Semiconductors
1
Mftrs Output Power @ Input
Integrated Circuits & Development Kits
List No. Specifications Gain Noise Figure Output IP3 1dB Gain Comp. Return Loss
MGA-14516-BLKG 1.95GHz ; Q1 : 5V, 45mA (typ) Q2 : 5V, 110mA 31.7dB 38 dBm 23.5 dBm 13 dB
ALM-1412-BLKG. 1.575 GHz, Vdd = 2.85 V, Idd = 8.0 mA 13.5dB 0.82dB – – –
ALM-2812-BLKG 2.45 GHz, 3.3V 15mA / 5.5 GHz, 3.3V 23.4mA 16.7dB / 23.2dB 0.8 dB / 1.4 dB – – –
523708
Price Each
Pins/Pkg Description Mftrs.List No. Order Code 1+ 10+ 100+ 250+ 500+
16/QFN High Gain, High Linearity Active Bias Low Noise Amplifier MGA-14516-BLKG 164-5978●
12/MCOB 1.575 GHz GPS Low Noise Amplifier with Integrated Filter ALM-1412-BLKG. 164-5979●
12/MCOB Dual-Band (2.4-2.5GHz) & (4.9-6GHz) WLAN Low-Noise Amplifier ALM-2812-BLKG 164-5980●
464825
Mftrs. Typ Bias Gain (dB) P1dB/dBm 0IP3 (dBm) NF (dB) Price Each
List No. V/mA @ 2GHz @ 2GHz @ 2GHz @ 2GHz Pin/Pkg Order Code 1+ 10+ 100+
ALM-1222-BLKG 5/280 31 27.5 43.7 0.62 22/MCOB 134-7191●
ALM-1322-BLKG 5/100 29.9 17 35.6 0.57 22/MCOB 134-7192●
324
farnell.com element14.com 325
Flat Gain High Linearity Gain Block GPS Filter-LNA-Filter Front-End Module
Features
Features Ì Combines a low-noise amplifier
Ì Flat gain, high linearity, low noise, (LNA) with GPS FBAR filters
22dBm Gain Block with good OIP3 Ì The LNA uses Avago Technologies’
achieved through the use of Avago Technologies’ pro- proprietary GaAs Enhancement-mode pHEMT process
prietary 0.25um GaAs Enhancement-mode pHEMT to achieve high gain with very low noise fi gure and high
process linearity.
Ì The device required simple dc biasing components to Ì Noise figure distribution is very tightly controlled
achieve wide bandwidth performance Ì A CMOS-compatible shutdown pin is included either for turning the LNA on/off , or for
Ì The temperature compensated internal bias circuit provides stable current over temper- current adjustment
ature and process threshold voltage variation Ì The integrated filter utilizes an Avago Technologies’ leading-edge FBAR filter for excep-
Applications: tional rejection at Cell/PCS-Band frequencies
Ì IF amplifier, RF driver amplifier Ì General purpose gain block Ì The low noise figure and high gain, coupled with low current consumption make it suit-
661045
able for use in critical low-power GPS applications or during low-battery
Mftrs. Price Each Ì situations
List No. Order Code 1+ 10+ 100+ Applications:
MGA-30689-BLKG 186-5176● Ì GPS front-end module
660901
Semiconductors
achieve wide bandwidth performance
Ì The temperature compensated internal bias circuit pro- and Shutdown Function
vides stable current over temperature and process
threshold voltage variation Features
Applications: Ì Low-noise amplifier designed for
Ì RF driver amplifier Ì General purpose gain block GPS/ISM/Wimax
661046 Ì Uses Avago Technologies’ proprietary GaAs Enhance-
Mftrs. Price Each ment-mode pHEMT process to achieve high gain with
List No. Order Code 1+ 10+ 100+ very low noise figure and high linearity
Ì Noise figure distribution is very tightly controlled
MGA-30789-BLKG 186-5177●
Ì A CMOS-compatible shutdown pin is included either for turning the LNA on/off or for
MGA-30889-BLKG 186-5178● current adjustment
Ì The low noise figure and high gain, coupled with low current consumption make it suit-
able for use in critical low power GPS applications or during low-battery situations
660903 1
Mftrs. Price Each
List No. Order Code 1+ 10+ 100+
523703
Price Each
Pins/Pkg Description Mftrs.List No. Order Code 1+ 10+ 100+ 250+ 500+
22/MCOB 0.7 - 1GHz 1-Watt High Linearity Amplifier ALM-31122-BLKG 164-5969●
22/MCOB 1.7 - 2.7GHz 1-Watt High Linearity Amplifier ALM-31222-BLKG 164-5970●
523705
Price Each
Pins/Pkg Description Mftrs.List No. Order Code 1+ 10+ 100+ 250+ 500+
20/MCOB 0.7 - 1GHz 2-Watt High Linearity Amplifier ALM-32120-BLKG 164-5972●
20/MCOB 1.7 - 2.7GHz 2-Watt High Linearity Amplifier ALM-32220-BLKG 164-5974●
20/MCOB 3.3 - 3.9GHz 2-Watt High Linearity Amplifier ALM-32320-BLKG 164-5975●
325
326 farnell.com element14.com
Communications - Radio Frequency ICs - Ultra Low Noise, High Linearity Active Bias Low
continued Noise Amplifier
High Linearity Wireless Data Power Amplifier Features
Ì Economical, easy to use GaAs
Features MMIC Low Noise Amplifier (LNA)
Ì Power amplifier Ì The LNA has low noise and high linearity achieved
Ì High linear output power at 5V is through the use of Avago Technologies’ proprietary
achieved through the use of Avago 0.25um GaAs Enhancement mode pHEMT process
Technologies’ proprietary 0.25um GaAs Enhancement- Ì It is housed in a miniature 2.0 x 2.0 x 0.75mm3 8-pin
mode pHEMT process Quad-Flat-Non-Lead (QFN) package
Ì It is housed in a miniature 5.0mm x 5.0mm x 0.85mm Ì It is designed for optimum use from 450MHz up to
28-lead QFN package 2GHz
Ì It also includes shutdown and switchable gain functions Ì The compact footprint and low profi le coupled with low noise, high gain and high line-
Ì A detector is also included on-chip arity make the MGA-633P8 an ideal choice as a low noise amplifier for
Ì The compact footprint coupled with high gain and high efficiency make it an ideal Ì cellular infrastructure for GSM and CDMA
choice as a power amplifier for IEEE 802.16 (WiMAX) and WLL applications Ì For optimum performance at higher frequency from 1.5GHz to 2.3GHz, the
MGA-634P8 is recommended, and from 2.3GHz to 4GHz, the MGA-635P8 is recom-
Applications: mended
Ì High linearity amplifi er for IEEE 802.16 fi xed terminal amplifier Ì Both MGA-634P8 and MGA-635P8 share the same package and pinout as
Ì WLL amplifier MGA-633P8
661058
MGA-634P8-BLKG 186-5190●
Features
Ì Linear power amplifier is designed High Linearity Match Pair Low Noise Amplifier
for mobile and fixed wireless data
applications
Ì The PA is optimized modulation but can be used for any Features
high linearity applications Ì Economical, easy-to-use GaAs
Ì The PA exhibits flat gain and good match while providing linear power efficiency to MMIC match pair Low Noise Ampli-
meet stringent mask conditions fier (LNA)
Ì It utilizes Avago Technologies proprietary GaAs Enhancement-mode pHEMT technology Ì The LNA has low noise and high linearity achieved
for superior performance across voltage and temperature levels through the use of Avago Technologies’ proprietary
661057 0.25um GaAs Enhancement-mode pHEMT process
Mftrs. Price Each Ì It is housed in a miniature 4.0 x 4.0 x 0.85mm3 16-pin
Quad- Flat-Non-Lead (QFN) package
List No. Order Code 1+ 10+ 100+
1 MGA-22003-BLKG 186-5169●
Ì The compact footprint and low profile coupled with low noise, high gain and high linea-
rity make the MGA-17516 an ideal choice as a low noise amplifier for cellular infras-
MGA-23003-BLKG 186-5170● tructure for GSM, CDMA and TDS-CDMA applications
MGA-25203-BLKG 186-5174● Ì This device is applicable to both Single and Balance mode
Integrated Circuits & Development Kits
326
farnell.com element14.com 327
Semiconductors
The built-in active bias circuit takes care of the Vgs spread, requiring only one external resistor to set the bias current and gain over a wide dynamic range. The current
adjustable gain feature enables customers to tune the LNA to the desired gain.
This series is housed in a 8 pin Thin, Small leadless package (TSLP) with the package bottom ground pad providing heat dissipation for enhanced reliability.
The MGA-631P8 is designed for optimum use from 400MHz to 1.5GHz
The MGA-632P8 is designed for optimum use from 1.4GHz to 3GHz
464837
327
328 farnell.com element14.com
Mftrs. Freq. Bias Condition NF Gain P1dB 0IP3 PSAT Pin/ Price Each
List No. (GHz) (V @ mA) (dB) (dB) (dBm) (dBm) (dBm) Pkg Order Code 1+ 10+ 100+
AMMP-6220-BLK 6 - 20 3V @ 55 2.5 22 10 21 25.3 8/SM 134-7197▲
AMMP-5618-BLK 6 - 20 5V @ 107 4.4 13 19.5 26 20.3 8/SM 134-7193▲
Ì Fabricated using advanced InGaP HBT (hetero-junction Bipolar Transistor) technology SOT-89
that offers state-of-the-art reliability, temperature stability and performance consistency AVT-54689-TR1G 186-5164●
AVT-55689-TR1G 186-5165●
General purpose amplifiers are ideal for applications where signal gain is necessary. These GPAs combine the right level of gain, noise and power consumption specifications to meet the in-
dustry’s most demanding applications. From general small-signal applications found in consumer and commercial to industrial and military applications.
509409
MBC13916NT1 SOT-343/4 100 to 2500 19dB @ 900Mhz – 2.5dBm @ 900MHz 13dBm 0.9dB @ 900MHz 157-8031●
RF Amplifiers
662959
Price Each
Pins/Pkg Frequency Gain Noise Figure Supply Voltage Mftrs.List No. Order Code 1+ 10+ 100+
32/QFN 50MHz to 500MHz 19.3dB 7.5dB 4.75V to 5.25V RFDA0035 206-6319●
32/MCM 10MHz to 850MHz 41.5dB 2.4dB 4.75V to 5.25V RFDA0045 206-6318●
32/MCM 400MHz to 2.7GHz 13dB 7dB 4.75V to 5.25V RFDA0047 206-6320●
32/MCM 400MHz to 2.7GHz 18.5dB 7dB 4.75V to 5.25V RFDA0057 206-6321●
16/QFN 380MHz to 960MHz 32dB – 3V to 5V RFPA0133 206-6376●
4/Micro-X 0Hz to 12GHz 13dB 5.1dB 3.6V to 4.2V NBB-300 206-6363●
4/Micro-X 0Hz to 10GHz 13dB 4.9dB 3.6V to 4.2V NLB-300 206-6366●
4/Micro-X 0Hz to 12GHz 13dB 4.9dB 4.4V to 4.9V NBB-310 206-6364●
4/Micro-X 0Hz to 10GHz 12.7dB 5dB 4.4V to 4.8V NLB-310 206-6367●
4/Micro-X 0Hz to 8GHz 16.7dB 4.3dB 3.6V to 4.2V NBB-400 206-6365●
4/Micro-X 0Hz to 6GHz 17dB 4.1dB 3.6V to 4.2V NLB-400 206-6368●
8/DFN 400MHz to 2.7GHz 16.3dB 3dB 5V RFPA1012 206-6331●
4/SOT-89 50MHz to 850MHz 15.5dB 3.5dB 5V SBB1089Z 206-6352●
8/DFN 50MHz to 3GHz 25dB 3.8dB 3.3V to 5V RFGA2012 206-6333●
16/QFN 400MHz to 2.7GHz 21.8dB 3.4dB 5V RFPA2013 206-6330●
28/MCM 2GHz to 2.8GHz 41dB 5.2dB 4.75V to 5.25V RFDA2046 206-6323●
32/MCM 1.7GHz to 2.4GHz 12.2dB 7dB 4.75V to 5.25V RFDA2077 206-6322●
4/SOT-89 50MHz to 850MHz 20dB 2.7dB 5V SBB2089Z 206-6353●
4/SOT-89 400MHz to 2.7GHz 19.5dB 2.8dB 5V RFPA2189 206-6329●
6/SOT 1.5GHz to 3.8GHz 14dB 1.3dB 1.8V to 5V RF2370 206-6372●
8/QFN 50MHz to 4GHz 14.5dB 1.3dB 1.8V to 4V RF2374 206-6371●
4/SOT-89 50MHz to 6GHz 16.3dB 3.9dB 5V SBB3089Z 206-6354●
4/SOT-89 0Hz to 6GHz 18.9dB 3.5dB 6V RF3374 206-6359●
4/SOT-89 0Hz to 6GHz 19.8dB 2dB 4.2V RF3376 206-6360●
4/SOT-89 0Hz to 6GHz 15.5dB 3dB 4.5V RF3377 206-6358●
4/SOT-89 0Hz to 6GHz 12dB 3.7dB 4.5V RF3378 206-6357●
24/QFN 700MHz to 2.7GHz 21dB 4.3dB 6.5V RFPA3806 206-6332●
8/SOIC 400MHz to 2.7GHz 15.8dB 3.5dB 5V RFPA3807 206-6382●
8/SOIC 400MHz to 2.7GHz 17dB 3.1dB 5V RFPA3809 206-6383●
8/SOIC 30MHz to 2.5GHz 12dB 12dB 28V RF3826 206-6381●
3/FC 0Hz to 3GHz 14dB – 48V RF3931 206-6377●
3/FC 0Hz to 3.5GHz 14dB – 48V RF3932 206-6378●
3/FC 0Hz to 3GHz 14dB – 48V RF3933 206-6379●
3/FC 0Hz to 3.5GHz 13dB – 48V RF3934 206-6380●
328
farnell.com element14.com 329
Price Each
Pins/Pkg Frequency Gain Noise Figure Supply Voltage Mftrs.List No. Order Code 1+ 10+ 100+
4/SOT-89 50MHz to 6GHz 15.5dB 4.5dB 5V SBB4089Z 206-6355●
4/SOT-343 50MHz to 4GHz 18.2dB 0.8dB 5V SPF5043Z 206-6370●
4/SOT-89 50MHz to 6GHz 20dB 4.2dB 5V SBB5089Z 206-6356●
16/QFN 800MHz to 950MHz 32dB – 3V RF5110G 206-6375●
12/QFN 2.4GHz to 2.5GHz 25dB – 3V to 5V RF5189 206-6334●
4/SOT-89 50MHz to 4GHz 18.7dB 0.6dB 5V SPF5189Z 206-6369●
24/QFN 2.4GHz to 2.5GHz 25dB 2.5dB 2V to 3.6V RF6555 206-6335●
24/QFN 100MHz to 1GHz 31dB – 3V to 3.6V RF6886 206-6374●
Semiconductors
The SMP1330 is designed for use as a passive receiver protector in wireless and other RF systems covering 2 GHz and higher. It employs Skyworks limiter diode tech-
nology to produce a gold doped thin base limiter chip for low loss, low distortion performance and good limiter action. This device has been characterized in limiter circuits and tightly speci-
fied to insure consistent performance.
549853
RS
VB I Region IF = 10 mA Carrier Lifetime
IR = 10 μA Thickness CT (pF) 0V CT (pF) 0 V F = 100 MHz TL (ns) Mftrs. Price Each
Pins/Pkg (V) (μm) Nominal F = 1MHz F = 1GHz (Ω) IF=10 mA List No. Order Code 1+ 10+ 100+ 250+ 500+
3/SOT-23 20-50 3 0.7 typ., 1.0 Max 0.7 Typ. 1.25 Typ., 1.5 Max. 4.0 Typ. SMP1330-005LF 175-3776●
Extended Frequency InGaP Amp Designer’s Tool Kit Power Amplifier Module
This tool kit was created to assist in the Features
design-in of the RFMD NBB- and NLB-se- Ì Wide-band Code Division Multiple
ries InGap HBT gain block amplifiers. Each Access (WCDMA) Power Amplifier
tool kit contains the following: (PA), is a fully matched 10-pin sur-
Ì 5 each NBB-300, NBB-310 and NBB-400 Ceramic Micro-X face mount module developed for WCDMA handset ap-
Amplifiers plications
Ì 5 each NLB-300, NLB-310 and NLB-400 Plastic Micro-X Ì Meets the stringent WCDMA linearity requirements for
Amplifiers output power
Ì 2 Broadband Evaluation Boards and High Frequency SMA Connectors Ì Developed to meet HSDPA specs.
Ì Broadband Bias Instructions and Specification Summary Index for ease of operation Ì Designed to enhance the efficiency at low and medium output power range by using 3-
662963 mode control scheme with 2 mode control pins.
Price Each
Ì Provides extended talk time
Ì Self contained, incorporating 50ohm input and output matching networks
Mftrs. List No. Order Code 1+
Applications:
NBB-X-K1 206-6362● Ì WCDMA Handset (HSDPA)
661060
329
330 farnell.com element14.com
RS RS RS Typical Carrier
VB CT VF IF = 1mA IF = 10mA IF = 100mA Lifetime
IR = 10μA VR = 30V IF = 10mA F = 100MHz F = 100MHz F = 100MHz IF = 10mA Mftrs. Price Each
Pins/Pkg (V) (pF) (mV) (Ω) (Ω) (Ω) (ns) List No. Order Code 1+ 10+ 100+ 250+ 500+
Semiconductors
3/SOT-23 200 Min. 0.30 Max. 0.85 Typ. 100 Max. 15 Max. 3.0 Max. 1500 SMP1307-004LF 175-3774●
2/SOD-323 200 Min. 0.30 Max. 0.85 Typ. 100 Max. 15 Max. 3.0 Max. 1500 SMP1307-011LF 175-3775●
The AV102-12 is a current controlled variable attenuator from Skyworks series of HIP3™ components. It is designed to meet the wide dynamic range required in spread
spectrum wireless base station applications. A monolithic quadrature hybrid is teamed with a silicon PIN diode pair in a plastic surface mount package reducing size and assuring consistency
from part to part.
549827
Insertion Loss Attenuation Range Input IP3 Control Mftrs. Price Each
Pins/Pkg Frequency Description @ Min Control @ Max Control (Min.) Input Range List No. Order Code 1+ 10+ 100+ 250+ 500+
(Max) (Typ.)
8/SOIC 1.7-2.0GHz HIP3 Variable Attenuator 1.5dB 20dB 47dBm 0 - 3.0mA AV102-12LF 175-3768●
RF Switches
662960
Price Each
Pins/Pkg Frequency RF Type Mftrs.List No. Order Code 1+ 10+ 100+
8/DFN 0Hz to 6GHz Cellular RFSW6131 206-6339●
12/QFN RF1602 206-6351●
330
farnell.com element14.com 331
The SKY13286-359LF is a pHEMT GaAs FET IC high isolation non-reflective switch packaged in a 16-lead exposed pad plastic package for low-cost commercial applications. It is an ideal
building block for base station applications where synthesizer isolation is critical. Typical applications include GSM, PCS, WCDMA, 2.4 and 5.8 GHz ISM and wireless local loop.
The SKY13290-313LF is a PHEMT IC high-power SPDT switch. This high-power switch has been designed for use from 500 MHz-2.5 GHz, where low loss, high isolation, low control voltage
and ultraminiature package size are required. It can be controlled with positive, negative or a combination of both voltages. The RF signal paths within the SKY13290-313LF are fully bilateral.
The AS204-80 is a high isolation SP4T FET IC nonreflective switch with driver. The insertion loss is 0.5 dB and isolation is 45 dB at 0.9 GHz. The switch is ideal for cellular base station switch
matrices.
549831
Description Frequency Typ. IL Typ. Isolation Typ. IIP3 Typ. IP1dB Mftrs. Price Each
Pins/Pkg (Absorptive/ (GHz) (dB) (dB) (dBm) (dBm) List No. Order Code 1+ 10+ 100+ 250+ 500+
Reflective)
6/SOT-23 SPDT (R) LF-2.5 0.3-0.4 25-24 48 30 AS169-73LF 175-3761●
6/SC-70 SPDT (R) LF-3.0 0.3-0.4 25-23 48 30 AS179-92LF 175-3762●
8/MSOP SPDT (A) LF-4.0 0.8-1.00 55-40 27 17 AS186-302LF 175-3763●
6/SOT-23 SPDT (R) 0.1-2.5 0.3-0.55 30-17 55 37 AS193-73LF 175-3764●
6/SC-70 SPDT (R) 0.1-3.0 0.3-0.5 27-19 40 27 AS213-92LF 175-3766●
6/SC-70 SPDT (R) 0.1-3.0 0.3-0.4 30-25 40 20 AS214-92LF 175-3767●
16/QFN SPDT (A) 0.1-6.0 0.8-1.50 62-42 46 30 SKY13286-359LF 175-3771●
6/QFN SPDT (R) 0.5-2.5 0.4-0.55 26-18 63 40.5 @ 0.1dB SKY13290-313LF 175-3772●
16/SSOP SP4T (A) 0.5-3.0 0.4-0.9 45-25 40 26 AS204-80LF 175-3765●
RX Mixer Applications:
Ì Handsets or data terminals operating in the US PCS frequency band TxPORT
661065
Semiconductors
Ì RF input frequency range: 1000 MHz to 3100 MHz
Ì Internal LO frequency range: 1550 MHz to 2150 MHz PCS/Cellular/S-GPS Quintplexer
Ì Input P1dB: 14.8 dBm
Ì Input IP3: 30 dBm
Features
Ì IIP3 optimization via external pin
Ì Designed with Avago Technolo-
Ì SSB noise figure: IP3SET pin open=13.8 dB, IP3SET pin at 3.3 V=15 dB
gies’ Film Bulk Acoustic Resonator
Ì Voltage conversion gain: 6.5 dB
(FBAR) technology
Ì Matched 200 Ω IF output impedance
Ì Utilizes Avago Technologies’ innovative Microcap bond-
Ì IF 3 dB bandwidth: 500 MHz
ed-wafer, chip scale packaging technology which al-
Ì Programmable via 3-wire SPI interface
lows the filters to be assembled in a
Ì 40-lead, LFCSP package
Ì module with a small footprint
662859
Ì Low Tx Insertion Loss reduces power amplifier current, extending battery life and talk
Mftrs. Price Each time
List No. Order Code 1+ 10+ 100+ Ì Enhances receiver sensi-tivity and dynamic range with low Rx Insertion Loss and high 1
ADRF6602ACPZ 207-0648● rejection of Tx signals at the Rx ports
Ì The excellent power handling capability of Avago Technologies’ FBAR bulk-mode reso-
nators supports the high Tx output power levels needed in handsets while
331
332 farnell.com element14.com
332
farnell.com element14.com 333
Semiconductors
210423/410979
Price Each
Mftr. Pins Pkg Description Mftrs. List No. Order Code 1+ 10+ 100+ 250+
06 TI 48 QLP Z-Accel 2.4 GHz ZigBee Processor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CCZACC06A1RTC 156-0947●
15 NSC 16 LLP 0.15 to 1.5 Gbps Adaptive Cable Equalizer with LOS Detection . . . . . . . . . . . . . . . . DS15EA101SQE/NOPB 168-5565●
15 NSC 16 LLP 1.5 Gbps Adaptive Cable Equalizer with LOS Detection . . . . . . . . . . . . . . . . . . . . . . DS15EA101SQ/NOPB 168-5579●
16 TI 44 PLCC Asynchronous Communication. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . TL16C550CIFN 162-4399●
16 TI 44 PLCC 1MBPS Single UART with 16 Byte FIFOs & Auto Flow Control . . . . . . . . . . . . . . . . . TL16C550CFN 156-4955●
24 MCHIP 40 QFN Zigbee, MiWi, IEEE 802.15.4™ 2.4 GHz RF Transceiver . . . . . . . . . . . . . . . . . . . . . MRF24J40-I/ML 143-6019●
34 NSC 16 SOIC SMPTE 292M/259M Adaptive Cable Equalizer . . . . . . . . . . . . . . . . . . . . . . . . . . . . LMH0034MA/NOPB 168-5558●
65 TI 8 SOIC CAN Transceiver with Ultra Low Power Sleep Mode . . . . . . . . . . . . . . . . . . . . . . . . SN65HVD1040D 156-4962●
111 NSC 48 TSSOP Enhanced SCAN Bridge Multidrop Addressable IEEE JTAG Port. . . . . . . . . . . . . . . . SCANSTA111MT/NOPB 168-5749●
112 NSC 100 FBGA 7 Port Multidrop IEEE 1149.1 JTAG Multiplexer. . . . . . . . . . . . . . . . . . . . . . . . . . . . SCANSTA112SM/NOPB 168-5750●
112 NSC 100 TQFP 7 Port Multidrop IEEE 1149.1 JTAG Multiplexer. . . . . . . . . . . . . . . . . . . . . . . . . . . . SCANSTA112VS/NOPB 168-5751●
1
112 MICR 10 MSOP QwikRadio姞 UHF ASK/FSK Transmitter. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . MICRF112YMM 149-4165●
211 MICR 16 QSOP QwikRadio姞 3V, 433.92 MHz Receiver . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . MICRF211AYQS 149-4166●
333
334 farnell.com element14.com
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . RFMD2080 206-6337●
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . RFMD2081 206-6338●
2112 MICR 44 QFN 480/80MHz Frequency Synthesizer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . SM802112UMG 209-5850●
2117 MICR 24 QFN 125MHz Frequency Synthesizer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . SM802117UMG 209-5851●
2120 MICR 44 QFN 125MHz Frequency Synthesizer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . SM802120UMG 209-5852●
2121 MICR 24 QFN 156.25MHz Frequency Synthesizer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . SM802121UMG 209-5854●
2124 MICR 24 QFN Dual 125MHz Frequency Synthesizer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . SM802124UMG 209-5855●
2128 MICR 44 QFN 156.25/312.5MHz Frequency Synthesizer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . SM802128UMG 209-5856●
2201 TI 64 HTQFP 1.25-Gigabit Ethernet Transceiver. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . TNETE2201BPJD 157-6974●
2201 TI 80 BGA 1.0 TO 1.6 Gigabit Ethernet Transceiver . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . TLK2201AJRZQE 157-6962●
2208 TI 289 BGA Octal Gigabit Ethernet Transceiver . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . TLK2208AZPV 157-6968●
2208 TI 289 BGA Octal Gigabit Ethernet Transceiver . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . TLK2208BZPV 157-6969●
1 2218 TI 289 BGA 8-Port Gigabit Ethernet Transceiver . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . TLK2218ZPV 157-6970●
2400 TI 48 QFN Low-Cost And Low-Power Single-Chip 2.4 Ghz Ism Band Transceiver With Exten- CC2400-RTB1
sive Hardware Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 124-8491●
2420 TI 48 QFN Single-Chip 2.4 Ghz Ieee 802.15.4 Compliant And Zigbee(Tm) Ready Rf Transceiv- CC2420-RTB1
Integrated Circuits & Development Kits
er. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 124-8485●
2420 TI 48 QFN Single-Chip 2.4 Ghz Ieee 802.15.4 Compliant And Zigbee(Tm) Ready Rf Transceiv- CC2420Z-RTB1
er. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 124-8486●
2420 TI 48 QFN 2.4 GHz IEEE 802.15.4 Compliant and ZigBee RF Transceiver. . . . . . . . . . . . . . . . . CC2420RTC 190-3460
2480 TI 48 QLP Z-Accel 2.4 GHz ZigBee Processor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CC2480A1RTC 157-3885●
2482 MAX 16 NSOIC 400kHz I2C to 8 I/O Channels 1 Wire Bi-directional Interface. . . . . . . . . . . . . . . . . . DS2482S-800+ 160-6613●
2487 NSC 24 LLP 7.5GHZ DELTA-SIGMA DUAL PLL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LMX2487ESQE 149-5072●
2500 TI 20 QFN 2.4GHz RF Transceiver for Low-Power Wireless Apps . . . . . . . . . . . . . . . . . . . . . . CC2500RTK 156-4922●
2500 TI 20 QFN Low COST, Low-Power 2.4 GHZ RF TRANSCEIVER DESIGNED for Low-Power CC2500-RTY1
WIRELESS APPS IN 2.4 GHZ ISM BAND. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 124-8487●
2520 TI 28 QFN Second generation 2.4 GHz ZigBee/IEEE 802.15.4 RF transceiver . . . . . . . . . . . . . . CC2520RHDT 157-3887●
2531 NSC 36 LLP Frequency Synthesizer System with Integrated VCO . . . . . . . . . . . . . . . . . . . . . . . . LMX2531LQ1515E 160-9181●
2531 NSC 36 LLP Frequency Synthesizer System with Integrated VCO . . . . . . . . . . . . . . . . . . . . . . . . LMX2531LQ1312E 160-9185●
2531 NSC 36 LLP Frequency Synthesizer System with Integrated VCO . . . . . . . . . . . . . . . . . . . . . . . . LMX2531LQ1415E 160-9186●
2550 TI 16 QFN Low COST 2.4 GHZ TRANSMITTER DESIGNED for Low-Power WIRELESS APPLI- CC2550-RTY1
CATIONS IN 2.4 GHZ ISM BAND. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 124-8488●
2550 TI 16 QFN 2.4 GHz Transmitter for Low-Power Wireless Applications in 2.4 GHz ISM Band . . . CC2550RST 190-3461●
2590 TI 16 QFN Rf Front End 2.4GHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CC2590RGVT 175-4778●
2591 TI 16 QFN TRANSCEIVER, RF . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CC2591RGVT 163-1624●
2604 NSC 20 LLP Triple-band VCO for GSM900/DCS1800/PCS1900 . . . . . . . . . . . . . . . . . . . . . . . . . LMX2604LQ 818-7835●
2620 MAX 8 uMAX Low Noise oscillator With output buffers for 900MHz cellular/wireless systems . . . MAX2620EUA+ 972-5830●
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . RFSA2714 206-6325●
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . RFSA2724 206-6326●
2932 TI 14 TSSOP Phase-Locked Loop System. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . TLC2932AIPWG4 128-7659●
2932 TI 14 TSSOP Phase Locked Loop . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . TLC2932IPWG4 120-7280●
2933 TI 14 TSSOP Phase Locked Loop . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . TLC2933AIPW 128-7660●
3101 INTS 8 SOIC Gilbert Cell UHF NPN Transistor Array GBWP 10GHz. . . . . . . . . . . . . . . . . . . . . . . . HFA3101BZ.. 156-1946●
3114 TI 289 BGA 10-Gbps XAUI Transceiver . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . TLK3114SCZPV 157-6966●
3128 INTS 16 SOIC 5.5GHz 5 PNP Transistor Arrays. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . HFA3128BZ.. 156-2030●
3740 MAX 24 TQFN 3.2Gbps Small Form Factor VCSEL Driver with Diagnostic . . . . . . . . . . . . . . . . . . . MAX3740AETG+ 159-3366●
4002 ADI 20 LFCSP Phase Detector / PLL Frequency Synthesizer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADF4002BCPZ 127-4187●
4002 ADI 16 TSSOP Phase Detector / PLL Frequency Synthesizer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADF4002BRUZ 127-4186●
4015 TI 289 BGA Quad 0.6 to 1.5 Gbps Transceiver . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . TLK4015IZPV 157-6967●
4108 ADI 20 LFCSP PLL Frequency Synthesizer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADF4108BCPZ 127-4185●
4113 ADI 16 TSSOP High Voltage Charge Pump, PLL Synthesizer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADF4113HVBRUZ 149-8707●
4150 ADI 32 LFCSP High Voltage, Fractional-N/ ADF4150HVBCPZ
Integer-N PLL Synthesizer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 208-0579●
4156 ADI 16 TSSOP 6 GHz Fractional-N Frequency Synthesizer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADF4156BRUZ 149-8709●
4350 ADI 32 LFCSP Wideband Synthesizer with Integrated VCO. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADF4350BCPZ 208-4457●
4440 LT 8 MSOP High & Low-Side Driver . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC4440EMS8E#PBF 127-3552●
4545 MAX 20 SSOP Quad, Low Voltage, Bidirectional RF/Video Switches . . . . . . . . . . . . . . . . . . . . . . . MAX4545CAP+ 118-7994●
4585 INTS 16 SOIC 36MHz PLL Horizontal Genlock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . EL4585CSZ 156-2044●
4735 SILAB 20 QFN Broadcast MultiI-Band Radio Receiver SI4735-B20GM 183-5849●
334
farnell.com element14.com 335
Price Each
Mftr. Pins Pkg Description Mftrs. List No. Order Code 1+ 10+ 100+ 250+
5387 ADI 24 LFCSP 50 MHz to 2 GHz Quadrature Demodulator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADL5387ACPZ-WP 154-2713●
5502 LT 24 SSOP 400MHz Quadrature IF Demodulator with RSSI . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT5502EGN#PBF 154-9263●
5502 ADI 8 WLCSP Crest Factor Detector . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADL5502ACBZ-P7 208-4461●
5503 LT 20 TSSOP 1.2GHz to 2.7GHz Direct IQ Modulator and Mixer . . . . . . . . . . . . . . . . . . . . . . . . . . LT5503EFE#PBF 154-9264●
5505 LT 5 SOT-23 RF Power Detectors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC5505-1ES5#PBF 134-5595●
5533 LT 12 DFN Dual 11GHz RF Power Detector . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC5505-2ES5#PBF 134-5602●
5507 LT 6 SOT-23 RF Power Detectors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC5507ES6#PBF 134-5579●
5508 LT 6 SC-70 7GHZ RF Detectors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC5508ESC6#PBF 134-5604●
5511 LT 16 TSSOP High Signal Level Upconverting Mixer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT5511EFE#PBF 127-3461●
5512 LT 16 QFN High Signal Level Downconverting Mixer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT5512EUF#PBF 127-3463●
16 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADL5513ACPZ-WP 209-7001●
5514 LT 20 TSSOP Digitally Programmable Gain Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT5514EFE#PBF 127-3464●
5516 LT 16 QFN 800MHz - 1500MHz Quadrature Demodulator . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT5516EUF#PBF 134-5599●
5517 LT 16 QFN 40MHz - 900MHz Quadrature Demodulator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT5517EUF#PBF 134-5593●
5519 LT 16 QFN 700MHz to 1.4GHz Upconverting Mixer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT5519EUF#PBF 127-3465●
5520 LT 16 QFN 1.3GHz to 2.3GHz Upconverting Mixer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT5520EUF#PBF 127-3466●
5521 LT 16 QFN Very High Linearity Upconvert Mixer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT5521EUF#PBF 127-3467●
5522 LT 16 QFN 600MHz to 2700MHz Downconverting Mixer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT5522EUF#PBF 127-3468●
3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADL5324ARKZ-R7 209-9794●
5525 LT 16 QFN 2.5GHz Low Power Downconverting Mixer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT5525EUF#PBF 134-5598●
5526 LT 16 QFN 2GHz Low Power Downconverting Mixer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT5526EUF#PBF 127-3470●
5527 LT 16 QFN 400MHz to 3700MHz Downconverting Mixer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT5527EUF#PBF 134-5580●
5530 LT 6 SOT-23 RF Power Detector . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC5530ES6#PBF 134-5609●
5531 ADI 8 LFCSP IF Gain Block 20 - 500 MHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADL5531ACPZ-R7 154-6524●
Semiconductors
5532 LT 6 SOT-23 RF Power Detector . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC5532ES6#PBF 134-5605●
5533 LT 12 DFN Dual 11GHz RF Power Detector . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC5533EDE#PBF 134-5597●
5534 LT 6 SC-70 3GHz Log Amp RF Detector . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT5534ESC6#PBF 134-5577●
5535 LT 6 SOT-23 RF Power Detector w/ 12MHz BW . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC5535ES6#PBF 134-5608●
5536 LT 6 SOT-23 RF Power Detector w/ Comparator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LTC5536ES6#PBF 134-5607●
5557 LT 16 QFN 400MHz to 3.8GHz 3.3V High Signal Level Downconverting Mixer . . . . . . . . . . . . . LT5557EUF#PBF 132-9362●
5558 LT 16 QFN 600MHz - 1100MHz High Linearity Direct Quadrature Modulator . . . . . . . . . . . . . . . LT5558EUF#PBF 134-5591●
5560 LT 8 QFN 0.01MHz to 4GHz Low Power Active Mixer. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . LT5560EDD#PBF 134-5583●
16 ............................................................... ADL5562ACPZ-WP 209-7002●
5568 LT 8 QFN 700MHz - 1050MHz High Linearity Direct Quadrature Modulator . . . . . . . . . . . . . . . LT5568EUF#PBF 134-5581●
5572 LT 16 QFN 2GHz Direct Quadrature Modulator w/ HiZ & 0.5V Bias . . . . . . . . . . . . . . . . . . . . . . LT5572EUF#PBF 134-5589●
5575 LT 16 QFN 800MHz to 2.7GHz High Linearity Direct Conversion Quadrature Demodulator. . . . . LT5575EUF#PBF 134-5578●
6530 AV 8 SM 5-30 GHz Image Reject Mixer. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AMMP-6530-BLK 134-7199▲ 1
6636 ADI 256 CSPBGA 150 MSPS Wideband (Digital) Receive Signal Processor (RSP), Digital Downcon- AD6636CBCZ
verter (DDC), 4-Channel . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 143-8418●
6653 ADI 64 LFCSP IF Diversity Receiver, 12-bit, 125 MSPS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . AD6653BCPZ-125 154-6526●
335
336 farnell.com element14.com
72543 AV 4 SOT-343 Low Noise Amplifier With Bypass Switch, 0.1 to 6 GHz . . . . . . . . . . . . . . . . . . . . . MGA-72543-BLKG 108-5615●
81563 AV 6 SOT-363 RFAmplifier 3V, 0.1 - 6 GHz, 14dB . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . MGA-81563-BLKG 108-5616●
82563 AV 6 SOT-363 RF Amplifier 3V, 0.1 - 6 GHz, 17dB . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . MGA-82563-BLKG 108-5617●
83563 AV 6 SOT-363 +22dB, D109PSAT Power Amplifier,C103 3V, 0.5-6 GHz . . . . . . . . . . . . . . . . . . . MGA-83563-BLKG 108-5618●
85563 AV 6 SOT-363 Low Noise Amplifier for 0.8-6GHz applications, 3V, +12 to +17dB . . . . . . . . . . . . MGA-85563-BLKG 108-5619●
87563 AV 6 SOT-363 0.5-4 GHz,3V, Low Current GaAs MMIC LNA, 12.5dB at 2.4 GHz . . . . . . . . . . . . . . MGA-87563-BLKG 108-5620●
91563 AV 6 SOT-363 0.8-6 GHz Downconverter, Single +3V Supply, +0 dBm Input IP3 at 1.9 GHz, IAM-91563-BLKG
8.5dB SSB Noise Figure at 1.9 GHz, 9.0dB Conversion Gain at 1.9 GHz . . . . . . . . . 108-5624●
370333 TI 24 QFN Quadrature Modulator, 0.4-4GHZ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . TRF370333IRGET 161-0197●
ADI 32 IC, VGA, MATCHED PAIR, 31MHZ,32LFCSP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADRF6516 207-7554●
ADI 32 GYROSCOPE, ADXRS646, 32CBGA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . ADXRS646BBGZ 207-8311
336
farnell.com element14.com 337
Encoder/Decoder
210448
Price Each
Mftr. Pins Pkg Description Mftrs. List No. Order Code 1+ 10+ 100+ + +
12 HT 18 DIP 8-Bit Decoder With 28address code and 4-Bits of encoder data at 4 decoder out- HT12D
put pins. Complements HT12E . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 121-1514●
12 HOLTEK 20 SOP Decoder IC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . HT12D-20SOPLF 142-0864●
12 HT 18 DIP 12-Bit, Low Power, High Noise Immunity Encoder . . . . . . . . . . . . . . . . . . . . . . . . . HT12E 121-1512●
12 HT 20 SOIC 12-Bit, Low Power High Noise immunity Encoder . . . . . . . . . . . . . . . . . . . . . . . . . HT12E-20SOP 120-7993●
12 HT 18 DIP 12-Bit Decoder With 21̂2 address code. Complements HT12E . . . . . . . . . . . . . . . . HT12F 121-1513●
12 HT 20 SOIC 12-Bit, Decoder With 21̂2 address code. Complements HT12E . . . . . . . . . . . . . . . HT12F-20SOP 120-7995●
2270 ATM 16 SOIC Read/Write Base Station . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . U2270B-MFPY 109-5806●
6034 HOLTEK 18 DIP Series of Decoders . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . HT6034-18DIPLF 142-0869●
Semiconductors
ADF7012BRUZ 50MHz - 1.0GHz 134-6367●
ADF7020-1BCPZ 80 MHz - 650 MHz 127-4184●
MRF49XA ia a fully integrated Sub-GHz RF transceiver supporting the 433/868/915MHz ISM frequency band. The MRF49XA supports FSK modulation with FHSS
capability is ideal for two-way, short-range wireless applications. 553094
Programmable Compandors
These devices are dual-channel, high-performance gain control circuit in which either channel may be used for dynamic range compression or expansion.
Each channel has a full-wave rectifier to detect the average value of the signal, a linerarized temperature-compensated variable gain cell, and an operational amplifier. Typical applications are
radio communications systems, modems, telephone, and satellite broadcast/receive audio systems.
492327
Price Each
Mftr. Pins Pkg Description Mftrs. List No. Order Code 1+ 25+ 50+ 100+ 250+
570 ON SEMI 16 SOIC Programmable compandor. . . . . . . . . . . . . . . . . . . . . . . . . . NE570DG 142-6392●
571 ON SEMI 16 SOIC Programmable compandor. . . . . . . . . . . . . . . . . . . . . . . . . . SA571DG 142-6393●
572 ON SEMI 16 PDIP Programmable compandor. . . . . . . . . . . . . . . . . . . . . . . . . . SA572NG 142-6397●
337
338 farnell.com element14.com
er supplies. A 3.3 V on-board regulator provides pow- Order Code Price Each
er for the USB and EEPROM devices.
504714 ADL5336-EVALZ 208-0596●
Mftrs. List No. Description Order Code Price Each
AD8339-EVALZ AD8339 Evaluation Board 154-2715● Broadband Quadrature Modulator Evaluation Board
ADL5375
Analoge Front End Wideband Mixed-Signal The ADL5375 is a broadband quadra-
Transceiver AFE7222 ture modulator designed for operation
from 400 MHz to 6 GHz. Its excellent
The AFE7222 is an ana- phase accuracy and amplitude bal-
loge front end designed ance enable high performance intermediate frequency or
for full- or half-duplex ra- direct radio frequency modulation for communication
dios. Over-sampling systems.
transmit 12-bit DACs provide output frequen-
cies from baseband to Nyquist. Under-sam-
Semiconductors
Supply Voltage Range Operating Temperature Range No. of Pins Case Style
2.85V to 3.6V -40°C to +85°C 64 QFN Evaluation Boards for the
661935
ADRF6600 series
1 Mftrs. List No. Order Code Price Each
Fully populated, 4-layer, Rogers 4350-based evaluation boards. A 5 V/300 mA power sup-
AFE7222IRGC25 209-3948●
ply is required and should be connected to the test points labeled VCC and GND (red and
black). The PLL reference should be connected to the SMA connector labeled REF_IN, the
Evaluation Board AFE7222
Integrated Circuits & Development Kits
RF input to the SMA labeled RF_IN and the IF output to the SMA labeled OUT. The evalua-
tion board can be programmed through the USB port of a PC running Windows XP or Vista
The AFE7222EVM is a
with Microsoft .NET Framework 3.5 installed. The required control software can be down-
circuit board that allows
loaded from www.analog.com.
designers to evaluate 661396
the performance of Tex-
Order Code Price Each
as Instruments’ two-channel AFE7222, a low
power analog front end mixed-signal tran- ADRF6601-EVALZ 208-0610●
sceiver. The AFE7222 contains dual 12-bit ADRF6602-EVALZ 208-0602●
65MSPS ADCs and dual 12-bit 130MSPS ADRF6603-EVALZ 208-0608●
DACs, along with 2x/4x interpolation, /2 deci- ADRF6604-EVALZ 208-0603●
mation, independent 32-bit TX/RX complex
mixers, quadrature modulation correction and
auxiliary monitoring/control ADC/DACs. Evaluation Boards for the
661937
ADRF6700 series
Mftrs. List No. Order Code Price Each
AFE7222EVM 209-3949● Fully-populated, 4-layer, Rogers 4350-based evaluation boards. A 5 V/300 mA power sup-
ply is required and should be connected to the test points labeled VCC and GND (red and
black). The PLL reference should be connected to the SMA connector labeled REF_IN, the
ADL5330 VGA Evaluation Board baseband inputs to the SMA connectors labeled IPBB, INBB, QPBB, and QNBB. The RF
output is available on the SMA labeled RF OUT. The evaluation board can be programmed
The ADL5330 is a high performance, through the USB port of a PC running Windows XP or Vista with Microsoft .NET Framework
voltage-controlled variable gain ampli- 3.5 installed. The required control software can be downloaded from www.analog.com.
fier/attenuator for use in applications 661400
with frequencies up to 3 GHz. The bal- Order Code Price Each
anced structure of the signal path minimizes distortion
ADRF6701-EVALZ 208-0604●
while it also reduces the risk of spurious feed-forward at
ADRF6702-EVALZ 208-0612●
low gains and high frequencies caused by parasitic cou-
pling. ADRF6703-EVALZ 208-0605●
338
farnell.com element14.com 339
Semiconductors
filter and TCXO
Ì Contains the ADF4150HV frequency synthesizer (500 MHz to 3 GHz) ed on the circuit board. Optionally a voltage divider powered by
Ì Contains the ADF5001 prescaler to allow optional connection of external microwave VPOS can be constructed using resistors, R8 and R9. The circuit
VCOs without need for an active loop filter board can also accommode a 26 pin header through which the power supply, ground,
Ì Accompanying software allows complete control of synthesizer functions from a PC temperature adjust node and output signal pins are accessable. The circuit board ships
without this header installed.
661469 662357
This board is designed to allow the user The ADRF6807-EVALZ is a full featured evalua- 1
to evaluate the perfor-mance of the ADF4151 frequency tion board designed to allow the user to easily evaluate all fea-
synthesizer for phase-locked loops (PLLs). Figure 1 tures of the ADRF6807. The evaluation board provides a USB in-
shows the board, which contains the ADF4151 synthesiz- terface for easy VCO frequency programming. RF input and
339
340 farnell.com element14.com
451711