You are on page 1of 7

HDL

레포트
-7 차-

<상태머신 설계>

과목: HDL 및실습


제출일: 2023.0 5.25
학번: 2021144039
이름: 판반타이

목차
1. 주제 배경이론

2. 소스코드 및 코드 설명

3. 시뮬레이션 결과 및 설명

4. 실습보드 적용 결과

5. 실습소감

I) 주제 배경이론
 순차회로를 정의하는 디지털 시스템
 Mealy Machine : 출력이 현재 상태 및 현재 입력에 따라 결정됨
 Moore Machine : 출력이 현재 상태만으로 결정됨
 상태를 천이 시키는 순차논리 회로와 출력을 결정하는 조합논리 회로로
구성됨
 목표로 하는 회로의 운용/동작 순서를 정의하여 효율적인 동작을 할 수
있도록 구성하는데 효과적인 설계 방식
 Case 구문을 이용한 상태 머신 설계
II) 실습
1. BCD Counter 설계
A, 코드

행 21-22: reset 을 누르면 zero 상태 유치한다.


행 24-47: 순차논리를 정해준다.
행 50-60: 출력값을 정한다.
행 45: 0-9 값외에 다른값이도 생길 수 있으니 정해 줘야 한다.

B, 시뮬레이션

2. GRAY_Counter
A, 코스

행 21-22: reset 을 누르면 s0 상태 유치한다.


행 24-42: 순차논리를 정해준다.
행 46-54: 출력값을 정한다.
행 41-42: 0-7 값외에 다른값이도 생길 수 있으니 정해 줘야 한다.

B, 시뮬레이션

3. DUAL_Counter

A. 코스
행 30-31: sw_a 누르면 a state 으로

들어간다.

행 32-33: sw_b 누르면 b state 으로 들어간다.

행 39-46: 10 카운터 실행하고나서 IDLE 로 돌아온다.

행 47-54: 16 카운터 실행하고나서 IDLE 로 돌아온다.

B, 시뮬레이션

4. 횡단보도 제어기

A, 코스.
B, 보드
5. ADC

A, 코스

You might also like