You are on page 1of 87

MỤC LỤC

Điện tử số
PHẦN I: BÀI TẬP TRONG SÁCH .......................................................... 1
Chương 1- KIẾN THỨC CỞ CỦA KĨ THUẬT SỐ .............................. 1
Chương 2 – CÁC HỌ MẠCH LOGIC TTL VÀ CMOS ..................... 11
Chương 3: TỔNG HỢP MẠCH LOGIC TỔ HỢP .............................. 13
Chương 4: THIẾT BỊ LOGIC KHẢ TRÌNH ....................................... 18
Chương 5: MẠCH LOGIC TỔ HỢP ................................................... 19
Chương 6: MẠCH LOGIC DÃY ......................................................... 26
PHẦN II: BÀI TẬP THÊM ..................................................................... 32
#Các phép toán và định lí ..................................................................... 32
#Biểu diễn số ........................................................................................ 34
#Các phương pháp tổng hợp hàm từ bảng trạng thái ........................... 35
#Mạch giải mã địa chỉ .......................................................................... 38
# Mạch cộng ......................................................................................... 41
#Mạch FLIP-FLOP............................................................................... 44
#Thanh ghi dịch .................................................................................... 44

48
CÂU HỎI VÀ BÀI TẬP CHƯƠNG 1
l . ỉ . Thế nào là bài toán logic ? Biến logic là gl ? Mức logic là gì ?
1.2. M ạch logic là gì ? Thố nào là mạch logic dương, mạch logic âm ?
1.3. Xác định đáp ứng dạng sóng cùa các bièu thức logic cho ờ hình 1.23. Theo dạng sóng
cùa các biến A . B, c .

B
I I
AB
ÃB 1 ' 1 ' '

A +B

Ẫ7B
AA JI I I I ỉ J I
B +B i
A.1 I ! I I I I J I I
„ _ ! ! ' ! ĩ I
B+1 I I iI I
Ịỉ Ị I Ị I
ABC Ị Ị j ỉ i í

A +B +C
A B .C ỉ I ! !
_ I I i
A +B +C I! I ỉ I I J J I
A®B
ÃẽB

ÃeB i ! Ị
A ®ã • ! !
• I• Ị Ị I ì I
A®B©C ỉ ị i Ị ỉ ỉ ị ị ỉ

30
1.4. Mô tả những dién đạt bảng lời sau thành biếu thúc logic:
a) Bữa trua nhà máy gổm bánh mỳ kẹp thịt với món phụ là canh hay rau ưộn. nhưng không
đượ: chọn cà hai món phụ.
b) Để đảng ký học giáo trình luật hay sừ, hoác cà hai; anh còn cán phải theo học một ngoại
ngữ Anh hoặc Pháp, nhưng không phải cả hai ngoại ngữ.
c) Người công nhân có thố chọn sơn tường màu vàng hoác xanh, nhưng không phải cà hai.
Ngay khi không chọn sơn tường, anh ta cũng phải sơn trán nhà màu trắng.
1.5. Đơn giản các biểu thúc logic sau:
a)Ã + ÃD b)Ã + AD C)XYZ + XỸ d )A + B + Ã Í

e)B + BE DABC + ABC + B g)A BC + AC + C


1.6. Rút gọn các biểu thức logic sau:

a)ABC + ABC + C b)ABC + ÃBC + ÃBC

c) ABC + ABC + ABC + ABC d)A B + BC + AC


1.7. Rút gọn các biếu thức:
a)(A + BXA + B ); b)(A + B + C X A + B e x A ♦ B ♦ C ) ; C)(A + BXB + e x A + C)
1.8. Viết biếu thúc logic và lập bàng châ I lý cùa các mạch hình 1.24a. b.

Hình 1J4. Bái tập 1.8.


1.9. Chuyên mạch hình 1.24a sang mạch tương đương, chi dùng các phẩn lử NAND.
Chuyến mạch hình 1.24b sang mạch tương đương, xây dựng toàn bảng các phán từ NOR.
1.10. Cho hai hàm logic: Q|(A.B.C) = AC+Ã.B + B.C: Q 2 (A.B,C) = (A + BXB+CXA+C).
Hãy sử dụng chi các phẩn từ NAND hai đáu vào đé xây dựng mạch thực hiện hàm Q |(A .B .C );
và dùng chì các phẩn từ NOR hai đáu vào đổ tạo mạch thục hiện hàm Q 2 (A .B .C ).
1.1 ỉ. Mạch logic có hai đẩu vào dử liệu A. B. một đáu vào diéu khiến đk và mộc đáu ra Q.
Hây dùng các cổng VÀ, HOẬC. ĐẢO xây dựng mạch sao cho: Khi dk = H « 1 logic thì dữ liệu
A truyén qua đáu ra (Q » A); Khi dk » L ■ 0 logic thì B truyén qua đáu ra (Q = B). Hãy vê lại
mạch, nếu thay vì các phán lừ AND. OR. NO. la chi dùng các cổng NAND.
1.12. Xây dựng mạch logic cành báo của xe taxi 4 chổ ngói. Mạch sẽ cành báo bàng đèn
LED nhấp nháy, nếu có dấu hiệu cháy trong xc hoác có hành khách không đco dây an loàn ờ
chồ ngổi.

31
Tại mỏi chỗ ngổi đặt hai mạch kiổm tra như hình 1.25a: Một đê’ kiểm tra sự có mật cùa
hành khách, một đẻ kiểm tra dây an toàn ở chỗ ngói có đưạ: dùng không. Khi có hành khách ờ
ghé ngổi (hoặc khi dây an toàn đưọc dùng) thì khóa K đóng. Hình 1.25b là mạch phát hiên
cháy: Khi có dâu hiệu cháy trong xe thì khóa K đóng lại. Đáu ra Q mạch logic là tích cực thấp
(đèn LED sõ sáng khi Q = L = OV). Sử dụng dây xung vuông góc tán số 5Hz. biên độ 5V đố
kích hoạt LED nhấp nháy khi có cảnh báo. Khi không có cành báo LED không sáng.

1.13. Tìm tổ hợp giá trị các biến logic b'bjb, đé' thực hiện:
- Mở cổng HOẶC hình 1.26a. cho dữ liệu A qua
- Đóng cổng VÀ hình l.26b, chận lại dữ liệu A
- Đóng cổng HOẶC hình 1.26d. chận lại dữ liệu A
- Đâo dòng dữ liệu A qua cổng NAND ở hình 1.26c.

Hình 1.26. Bái tập 1.13.


ỉ .14. Hãy chi ra dòng dữ liệu ờ đáu ra Q, hình 1.27 a.b. lương ứng khi b|b 2 = 00; b,b2» 01:
b ab » - 1B.

a) b)

/./5 . a) Đổi sang sô tháp phản các số sau: IHO.lOb; 100101011101b; 46AEh; FA2Ch

32
b) Đổi sang sô' nhị phân các số sau: 97,75d; 625.7d
c) Đổi sang số Hexa các số sau: 921d; 6120d
d) Đổi các số sau đây:
100101 ỉb -»sỐH cxa; 1001010101 H H O I b * số Hcxa
2ACh -> số nhi phân; B34Dh -> số nhị phân.
27,45d - a số BCD; (11101000110.01 ỈKP -> số thập phan.
101001 l lb - > m ă Gray: 15d->m ãGray;
(1 0 0 1 0 1 1 0 )^ -» sô' nhị phân.
1.16. Thực hiộn các phép công sau:
100101b* 10111b; to o l I l l i o o i b * 100001111101b.
B23CDh + 17912b; AFEFFEh + 2FBCADh.
1.17. Biểu diẻn số -120 thành dạng số nhị phân có dấu 8 bit, 16 bit; và thành dạng in ỉ bù 2 độ
dài 8 bit, 16 bit.
1.18. Những số nguyôn có dấu dưới dây dược lưu giữ ưong các phán tử nhớ 16 bit, dưới
dạng số nhị phân có dấu: 1010010010001010; 78E3h; CB33h; 807Ẽh; 9AC4h. Hây chuyến
chúng sang dạng số thập phân có dấu.
1.19. Tính tổng của hai số nguyên có dấu A và B. Cà hai đẻu cho dưới dạng số nhị phân có
dấu, với các giá trị sau:

A B A ♦ 8 (dạng mđ bù 2) A ♦ B (dang số nhị phân cô dấu)

0 ,0 1 0 1 0 1 1 1 ,1 0 1 0 1 0 1 ? ?
1 ,0 1 1 1 1 1 0 0 ,0 0 1 1 0 0 1 ? ?
0 ,1 1 1 0 0 0 1 0 ,0 0 1 0 1 1 1 ? ?

1.20. Tính hiỌu của hai số nguyên có dâu A và B. Cà hai đéu cho dưới dạng mă bù 2. với các
giá trị sau:

A B A - B (dạng mâ bù 2) A - B (dang số nhị phân cô đáu)

0 ,0 1 1 1 0 0 1 0 ,1 0 1 1 1 0 1 ? ?
0 ,1 0 0 0 1 1 1 1 ,1 1 0 0 0 1 1 ? ?
1 , 1 0 0 0 1 10 0 ,0 0 1 1 0 1 0 ? ?
1 ,0 0 0 1 1 1 0 1 ,1 1 0 0 0 1 0 ? ?

3 0 fN iử s ó 33
Họ và tên: Lê Anh Tiến
SHSV: 20092698
Lớp Tin học công nghiệp - kĩ sư chất lượng cao K54
Số điện thoại: 01696572668

ĐIỆN TỬ SỐ
BÀI TẬP

- Bài tập trong cuốn : Điện Tử số


- Của tác giả Lương Ngọc Hải-Lê Hải Sâm- Nguyễn Trịnh Đường-
Trần Văn Tuấn

PHẦN I: BÀI TẬP TRONG SÁCH


Chương 1- KIẾN THỨC CỞ CỦA KĨ THUẬT SỐ

1.1
- Trong điều khiển kĩ thuật, điều khiển kinh tế... ta thường gặp những
bài toán mà thông tin cho trước (dữ liệu vào) và các đáp ứng của bài toán,
đều chỉ có thể ở một trong hai trạng thái đối kháng nhau: đúng/sai,
nóng/lạnh... Các bài toán điều khiển như vậy gọi là bài toán logic.
- Đại số Boole là công cụ để giải những bài toán logic. Các biến trong
đại số Boole gọi là biến logic. Nó chỉ có 2 giá trị, kí hiệu là 1/0, đặc trưng
cho hai trạng thái đối kháng của một hiện tượng. Hai giá trị của biến logic
hoàn toàn không có ý nghĩa về lượng.
- Trong các mạch logic điện, điện áp mang thông tin về hai giá trị của
biến logic, và nó chỉ có thể nằm ở hai miền giá trị hoàn toàn phân biệt
nhau, gọi là hai mức logic, gồm mức cao H và mức thấp L.

1.2
- Mạch logic gồm những linh kiện, chủ yếu là các khóa đóng/mở, ghép
nối với nhau; nhằm thực hiện những quan hệ logic cho trước. Tuyệt đại
đa số các mạch logic hiện nay là mạch logic điện. Nếu các khóa đóng/mở
trong mạch logic điện là tiếp điểm của các rơ le điện từ, thì mạch gọi là
mạch logic tiếp điểm (hay mạch logic rơ le). Nếu dùng trangzito hay điot
làm khóa đóng mở thì gọi là mạch logic điện tử.
- Nếu một mạch logic, mức thấp L đặc trưng cho giá trị 0 logic, mức
cao H đặc trưng cho mức 1 logic thì mạch gọi là mạch logic dương.
Ngược lại, mức cao H đặc trưng cho giá trị 0 logic, mức thấp L đặc trưng
cho mức 1 logic thì mạch gọi là mạch logic âm.

1
1.3

AB

AB

A+B

A+B
................

AA

B+ B

A.1

B+1

2
A

ABC

A+B+C

ABC

A +B+C

AÅB

AÅB

AÅB

AÅBÅC

1.4
a- Bữa trưa ở nhà máy:
Đầu vào:
-Mua/Không mua bánh mì kẹp.
-Lấy /Không láy canh.
-Lấy /Không lấy rau trộn.
Đầu ra:
-Đưa/Không đưa bánh mì kẹp.
-Đưa/Không đưa canh.
-Đưa/Không đưa rau trộn.

b- Đăng kí giáo trình:


Đầu vào:

3
-Đăng kí/ Không đăng kí học luật.
-Đăng kí/ Không đăng kí học sử.
-Đăng kí/ Không đăng kí học Anh.
-Đăng kí/ Không đăng kí học Pháp.
Đầu ra:
-Xác nhận học/ không học sử.
-Xác nhận học/ không học luật.
-Xác nhận học/ không học Anh.
-Xác nhận học/ không học Pháp.

c-
Người công nhân sơn tường:
Đầu vào:
-Chọn sơn/không sơn tường màu vàng.
-Chọn sơn/không sơn tường màu xanh.
Đầu ra:
-Nhà được/không được sơn màu vàng.
-Nhà được/không được sơn màu xanh.

1.5
a- A + AD = A(D + 1) = A
b- A + AD = A + D
c- XYZ + XY = X(YZ + Y) = X(Y + Z)
d- A + B + AB = A + B + A + B = 1
e- B + BE = B + E
f- ABC + ABC + B = AB + B = A + B
g- ABC + AC + C = C(AB + A) + C = CA + C = A + C

1.6
a- ABC + ABC + C = BC + C = B + C
b- ABC + ABC + ABC = ABC + BC = C(AB + B) = C(A + B) = CAB
c- ABC + ABC + ABC + ABC = (ABC + ABC) + (ABC + ABC) + (ABC + ABC)
= AB + BC + CA
d- AB + BC + AC = ABC + ABC + BC + AB = AB + BC

1.7
a- (A + B)(A + B) = AB + B + BA = B

(A + B + C)(A + B + C)(A + B + C) = (A + B)(A + B + C)


b-
= A + BA + AB + AC + BC = A + AC + BC = A + BC

4
(A + B)(B + C)(C + A) = (AB + AC + BC)(C + A)
c-
= CBA + AC + BC + AB + AC + ABC = AC + BC + AB

1.8
a-
U3 U1
C
NOT U4
A AND_2

Q
B U2
OR

AND_2

Q(A, B, C) = CA + BC
A B C CA BC Q
0 0 0 0 0 0
0 0 1 0 0 0
0 1 0 0 0 0
0 1 1 0 1 1
1 0 0 1 0 1
1 0 1 0 0 0
1 1 0 1 0 1
1 1 1 0 1 1
b- U5 U2
A
NOT
B OR_3

U1 U4
C U6
Q
D
OR_3 AND_3
NOT
U3

OR_3

Q(A, B, C, D) = (A + B + C)(A + B + D)(B + A + D)


A B C D A +B+C A +B+ D B+ A+ D Q(A, B, C, D)
0 0 0 0 1 1 0 0
0 0 0 1 1 1 1 1
0 0 1 0 1 1 0 0
0 0 1 1 1 1 1 1
0 1 0 0 1 1 1 1
0 1 0 1 1 1 1 1

5
0 1 1 0 1 1 1 1
0 1 1 1 1 1 1 1
1 0 0 0 0 1 1 0
1 0 0 1 0 0 1 0
1 0 1 0 1 1 1 1
1 0 1 1 1 0 1 0
1 1 0 0 1 1 1 1
1 1 0 1 1 1 1 1
1 1 1 0 1 1 1 1
1 1 1 1 1 1 1 1

1.9
a- Q(A, B, C) = CA + BC = CA + BC = CACB
U1
A
U3
NAND_2
B
U2
U4 Q
NAND_2
C
NAND_2
NAND_2

Q(A, B, C, D) = (A + B + C)(A + B + D)(B + A + D)


b-
= (A + B + C) + (A + B + D) + (B + A + D)
U1
U3
A

NOR_2
NOR_3

B
U4 U6

Q
C NOR_3 NOR_3

U5
U2
D
NOR_3
NOR_2

1.10
a-
Q1 (A, B, C) = AC + AB + BC = ACABBC

6
U1 U3
A
U6
NAND_2 NAND_2
U4
NAND_2 U7
B
NAND_2 Q
U2 U5
NAND_2
C

NAND_2 NAND_2

b-
Q2 (A, B, C) = (A + B)(B + C)(C + A) = (A + B) + (B + C) + (C + A)
U5
A

NOR_2
U1 U3 U7
B
U6 Q
NOR_2 NOR_2 NOR_2
U2 U4
C NOR_2

NOR_2 NOR_2

1.11
Bảng trạng thái
C B A Q
0 0 0 0
0 0 1 0
0 1 0 1
0 1 1 1
1 0 0 0
1 0 1 1
1 1 0 0
1 1 1 1

Q(A, B, C) = CBA + CBA + CBA + CBA = BA + CA + CBA


= CA + B(A + CA) = CA + B(A + C) = CA + BA + BC

U1
A
B
AND_2
C U2 U5

Q
AND_2 OR_3
U3
U4

AND_2
NOT

7
Q(A, B, C) = CA + BA + BC = CABABC

U4
A

NAND_2

B U3 U5

Q
C
NAND_2 AND_3

U2
U1

NAND_2
NAND_2

1.12
Gọi (A1,A2) ,(B1,B2), (C1,C2), (D1,D2) là tín hiệu của hệ thống an
toàn và báo cháy của xe taxi tại 4 vị trí trong xe.
U1
A1
A2
OR_2
U2
B1
U5
B2
OR_2
U3 Q
C1 OR_4

C2
OR_2
U4
D1
D2
OR_2

1.13
- Mở cổng HOẶC, cho dữ liệu A qua:
b1b 2 b3 = 010
- Đóng cổng VÀ, chặn lại dữ liệu A:
b1b 2 b3 = 100
- Đóng cổng HOẶC, chặn dữ liệu A:
b1b 2 b3 = 010
-Đảo dòng dữ liệu A qua cổng NAND:
b1b 2 b3 = 001

8
1.14
a-
U2
U1
b1
b2 Q
AND_2 A
XOR

b1b 2 = 00 => Q = A
b1b 2 = 01 => Q = A
b1b 2 = 11 => Q = A
b-
U1
U2:A
b1 1
3
b2 2 Q
AND_2 A
4077

b1b 2 = 00 => Q = A
b1b 2 = 01 => Q = A
b1b 2 = 11 => Q = A

1.15
a-
111010b = 58d
100101011101b = 2397d
46AEh = 18094d
FA2Ch = 64044d
b-
97.75d = 1100001.11b
625.7d = 1001110001.10(1100)b
c-
921d = 399h
6120d = 17E8h
d-
1001011b = 4Bh
1001010101111101b = 957Dh
2ACh = 1010101100b
B34Dh = 1011001101001101b
27.45d = 100111.01000101BCD
11101000110.01BCD = 746.4d
10100111b = 11110100Gray
15d = 1111b = 1000Gray
10010110Gray = 11100100

9
1.16
100101b + 10111b = 111100b
10011111001b + 100001111101b = 110101110110b
B23CDh + 17912h = C9CDFh
AFEFFEh + 2FBCADh = DFACABh

1.17
Dạng 8 bit có dấu:
-120 = 1,1111000
Dạng 16 bit có dấu:
-120 = 1,000000001111000
Dạng mã bù 2:
120 = 0,0001000
120 = 0,111111110001000

1.18
Chuyển dạng sang số thập phân có dấu:
1010010010001010 = -9354
78E3h = 30947
CB33h = 52019
807Fh = 32895
9AC4h = 39620

1.19
A B A+B A+B
(Nhị phân có dấu) (Nhị phân có dấu) (Mã bù 2) (Nhị phân có dấu)
0.0101011 1.1010101 1.1010110 1.0101010
1.0111110 0.0011001 1.1011011 1.0100101
0.1110001 0.0010111 0.1111000 ( Tràn bit )

1.20
A B A-B A-B
(Mã bù 2) (Mã bù 2) (Mã bù 2) (Nhị phân có dấu)
0.0111001 0.1011101 0.1011100 0.0100100
0.1000111 1.1100011 1.1100100 1.0011100
1.1000110 0.0011010 100101100 Tràn bit
( Tràn bit )
1.0001110 1.1100010 100101100 Tràn bit
( Tràn bit )

10
CÂU HỎI VÀ BÀI TẬP CHƯƠNG 2

2.1. Mạch họ TTL là gì? Mô hình hoại động của mạch TTL cửa ra totempole và của ra cực
góp hở. ư u . nhược điểm cùa mạch TTL cục góp hở so với mạch cửa ra totempole.
2.2. Vẽ sơ đổ mạch thực hiện hàm logic Q = (ABXCD)(EF)theo hai cách:
- Dùng các cổng NAND cùa ra totcmpolc trong các IC 7400.
- Dòng các cổng NAND cục góp hờ trong IC 7401.
2.3. Có th ì dùng hai cổng NAND hei đẩu vào. cục góp hở, dể tạo thành cổng NOR hai đáu
vào được không? Nối ra sao?
2.4. Đáu ra cổng ĐẢO cục góp hờ (ví dụ IC 7406) được treo lên điện áp +12V thông qua
diện ưở treo cao lOkQ. Tài điện dung cùa cổng C, “ 15pF. Hỏi trẻ truyển đạt khi đấu ra chuyển
từ múc thấp lên mức cao khoảng là bao nhiởu?
2.5. Hãy trả lời ngấn gọn bàng một vài câu. những khái niệm vé đạc tính điộn mạch logic:
- Thê' nào là trẻ truyổn đạt: công suất tiêu thụ tình; công suất lieu thụ động; lé nhiẻu của
mạch logic?
- Giải thích các ký hiệu Uot,. ƯQH. UiL. UlH. IOL, IQH, I,L , Itff.
- Tại sao mộl số dòng vào/ra mạch logic lại ghi giá trị âm? Dòng phái (dòng nguổn) và
dòng nhận cùa cổng logic là gì?
2.6. Thố nào là mạch logic họ nMOS? So với mạch họ TTL thì mạch họ nMOS có uu điểm gì?
2.7. The nào là mạch logic họ CMOS? Nêu ưu diêm chính cùa mạch họ CMOS so với
mạch họ nMOS.
2.8. Mạch họ CMOS có mấy loại cừa ra? Đạc die’m cùa từng loại.
2.9. Mạch họ CMOS thuộc nhổm 4000 có những đặc tính diên gì khác nhiéu so vái mạch
họ CMOS ở các nhóm khác (74HC. 74HCT. 74AC. 74ACT)? Sự khác biệt giừa mạch CMOS
nhóm 74HC và 74HCT. giữa nhóm 74AC và 74ACT.
2.10. The' nào là mạch logic ba trạng thái? Mô hình mô tà hoạt động cùa mạch logic ba trạng thái.
Ký hiệu và hoại động cùa công đêm, cổng ĐẢO. còng phát - thu ba trạng thái.
2.11. Cho mạch hình 2.29. Hãy chì ra biểu thức logic cùa các đáu ra Qp Qj.

62
2.12. Cho mạch hình 2.30a. Vi mạch 74LS14 gổm 6 công trigơ Smith ĐẢO. có các thông
số sau: Ngưởng trẽn (còn gọi là ngưởng Ung - positive going threshold voltage) là 1,6V;
ngưỡng dưới (ngưỡng giâm - negative going threshold voltage) là 0,8V. Múc cao ở đáu ra
ƯQH = 3,4V. Hây võ dạng sóng ờ đẩu vào và đẩu ra cổng. Tẩn số dây xung vuông góc ờ đáu ra
cổng là bao nhiêu?

Hình 2.30. a) Bai tâp 2.12: b) Bái tàp 2.14.


2.13. T h í nào là cổng điổu khiến? Công tài là gì?

Hẹ số tải đẩu ra Fan - Out là gì? Nếu các công tải là mạch họ TTL thì yếu lố nào quyết định
hộ số Fan - Out cùa cổng điéu khiến? COng câu hòi này nhưng với các cổng tải thuộc họ CMOS?

Tính hệ số tài đẩu ra khi dùng cổng nhóm 74ALS diéu khiển các cổng tài nhổm 74xx.

Khi một cổng logic không the’ điéu khiên đủ số lượng cổng tải yêu cáu. ta giải quyết
thé nào?

2.14. Mạch ờ hlnh 2.30b. cổng NAND có diéu khiển được một cách bâo đàm hai cổng
NOR khổng? Nếu không thì phải thay dổi cách nối cổng NOR số 1 như thế nào? Trường hợp
thay 74HC00 bàng 7400: hoác thay 7402 bàng 74LS02 thì sao?

2.15. Nôi giao tiếp cổng OR 7432 để điéu khiên cổng AND 4081 hoạt dộng ở 5V. Hãy
dùng 7406 dể giao tiếp giữa cổng AND 7408 với cổng AND 4081 hoạt động ờ I2V.

63
Chương 2 – CÁC HỌ MẠCH LOGIC TTL VÀ CMOS

2.1
Mạch họ TTL là các mạch logic dùng tranzito BJT làm khóa đóng/ngắt
ở cửa vào và cửa ra. Tùy theo cấu trúc ở cửa ra, các mạch họ TTL chia
thành 3 loại: Mạch TTL cửa ra totempole, mạch TTL cửa ra cực góp hở,
và mạch TTL cửa ra ba trạng thái.
a- Mạch TTL cửa ra totempole:
Vcc

A
Q

Khi ít nhất một trong hai đầu vào A, B ở mức thấp, thì đầu ra Q được
kéo lên mức cao H. Chỉ khi cả hai đầu vào đều ở mức cao H thì Q mới tụt
xuống mức thấp L.
b-Mạch TTL, cửa ra cực góp hở:

+U
A
R

Q
T
B

Cách hoạt động của mạch logic TTL cửa ra cực góp hở giống như mạch
TTL cửa ra totempole, chỉ khác: Điện trở R trong mạch TTL cực góp hở
nằm ở ngoài, có thể thay đổi, còn trong TTL cửa ra totempole thì nằm ở
trong, không thay đổi được.
Ưu điểm của mạch cực góp hở:
-Mạch logic hở cho phép ta thay đổi mức logic cao ở đầu ra, bằng cách
thay đổi điện trở R, treo lên điện áp +U thích hợp.
-Đầu ra các mạch cực góp hở có thể nối trực tiếp với nhau mà không
làm ngắn mạch nguồn cung cấp.

11
Nhược điểm của mạch cực góp hở: Khi tranzito cửa ra từ dẫn bão hòa
chuyển sang khóa, đầu ra Q chậm treo lên mức cao => tốc độ thay đổi
trạng thái chậm hơn cửa ra totempole.

2.2
A – NAND cửa ra totempole trong các IC 7400:
Q = ABCDEF
U1:A
1
A 3
2
B
7400

U1:B U2
4
C 6
5 Q
D
7400 AND_3

U1:C
10
E 8
9
F
7400

B – NAND cực góp hở trong IC 7401:


Q = ABCDEF = ABCDEF = AB + CD + EF
U1
A
B
AND_2

U2 U4
C
Q
D NOT
AND_2

U3
E
F
AND_2

12
BAI TẠP CHƯƠNG 3

3.1. Cho hàm Q(A , a c . D) = ỉ ( 0 ,1 ,2 ,4 ,5 .8 ); Ộ7.10; quy u fc thứ lự từ A - » D thi A = LSR


D = MSB. D ùng bảng Các nô tối giàn hàm để nhân được biêu thức logic dạng tổng các tích và
dạng tích các tổng. Dùng các phán từ N A N D đẻ’ xây dựng mạch.
3.2. X ây dựng mạch logic có sơ đổ khối ờ hình 3.12a, sao c h o :
K h iE - l,D - 0 th ìP - 1 .C - 0 :
E - l . D - 1 (h ìP = 0 ,C = 1;
E « 0 , D = 0 hoác D = 1 thì p = c = 1.
3.3. Thiết kế mạch diổu khiển một hộ thống 15 đèn
chiếu sáng, đạt theo kiểu ma trân 3x5 (hình 3.12b). Với các
lênh diéu khiến khác nhau, mạch sỗ hiến thị các chữ c , E, F,
p, T. Trôn hình vỗ là ví dụ hiến thị chừ E.
3.4. Tay gạt nước mưa ở mạt kính xe ô(ô hoạt động theo sự điéu khiển của khóa M và công
tác cục hạn F. K hi M = 1 logic thì lay gạt hoạt động. Khi M = 0 logic và tay gạt trô vé vị trí xuất
phát với F = I logic, thì nó ngừng chạy. Hãy thành lạp mạch logic diéu khiển động cơ truyổn
động u y gạt. Biết ràng, khi dáu ra Q = 1 logic thì dộng cơ truyén động tay gạt sê chạy.

3-5. Cho hàm Q (A ,B ,C ) = A .B + B.C. Hãy dùng các phán từ N A N D d i xây dựng mạch.
Chứng tỏ ràng mạch có rùi ro loại 1. Hãy bổ sung để xây dụng mạch thục hiện hàm Q (A , B, C)
không có rủi ro.

3.6. Cho mạch 3.13a. Hây vẻ dạng sóng cùa tin


hiộu ở đáu ra Q. theo dạng sóng cùa các tín hiệu vào A .
B khi:
- Coi phán từ Đ Ả O không có trề truyén đạt.

- Coi phán lừ Đ À O có trẻ truyẻn đạt tfj.


3.7. Cho mạch 3.13b. Số cổng Đ Ả O tr tn hình vê
là m ột số chẩn (2, 4 ...). G ià định các cổng Đ Ả O có
trẻ truyén đạt
bàng nhau và
bầng tpj. Bâng
b) cách vẽ đổ thị
///rtAX/XBàtâp36;37. dạng sóng đè
chứng tỏ ràng:
M ổi k h i A chuyển mức logic (L H ) thì dấu ra Q lại
phát ra một xung dương.
3.8. Cho mạch hình 3.14. Hây vẽ lại mạch để đáu ra Q
phù hợp với mức tích cục thấp của tải. MMJƯ4Baitto3S.

76
Chương 3: TỔNG HỢP MẠCH LOGIC TỔ HỢP

3.1
a) Theo tuyển chuẩn:

BA 00 01 11 10
DC
00 1 1 0 1

01 1 1 X 0

11 0 0 0 0

10 1 0 0 X

Q = BD + CBA + DCA
b) Theo hội chuẩn:

BA 00 01 11 10
DC
00 1 1 0 1

01 1 1 X 0

11 0 0 0 0

10 1 0 0 X

Q = (B + A)(D + C)(A + D)(C + B + A)

Có mạch:

Q = (B + A)(D + C)(A + D)(C + B + A) = BADCADCBA

13
U5

NAND U4

U1 NAND_3

A
U6
B
NAND
Q
U2
NAND_4
C
D
NAND

U3

NAND

3.2
E D P C
0 0 1 1
0 1 1 1
1 0 1 0
1 1 0 1

P =E+D
C=E+D

U1 U3
E
C
NOT
OR_2

D U4
U2
P
OR_2
NOT

3.3
1 2 3
4 5 6
7 8 9
10 11 12
13 14 15

Ta xét mạch với 2 đầu vào A,B và 15 đầu ra tương ứng đèn 1 -> 15
Có bảng trạng thái: của các đèn ứng với các kí tự E,F,P,T

14
Kí tự B A 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15
E 0 0 1 1 1 1 0 0 1 1 1 1 0 0 1 1 1
F 0 1 1 1 1 1 0 0 1 1 0 1 0 0 1 1 1
P 1 0 1 1 1 1 0 1 1 1 1 1 0 0 1 0 0
T 1 1 1 1 1 0 1 0 0 1 0 0 1 0 0 1 0

Có mạch điều khiển như sau:


A B
1
2
U1 U2 13
NOT NOT
U3 3
10
8
U4 4
OR_2

7
U5 11
OR_2

5
U6
AND_2

6
U7
AND_2

12
AND_2

U8 9
14
OR_2

15

3.4
F M Q
0 0 1
0 1 1
1 0 0
1 1 1

Q = F+M

U1 U2
F
NOT
Q
OR_2
M

15
3.5
Q(A, B, C) = AB + BC = AB + BC = ABBC
U1
A Q1
B U4
U2 NAND_2
C
Q
U3
NAND_2
NAND_2

Q2
NAND_2

Xét ABC : 111-> 101


B

Q1

Q2

ð Rủi ro loại 1
Để tránh rủi ro:
C BA 00 01 11 10
0 0 0 0 1
1 0 1 1 1

Q = BA + AC + CB

3.6
U1
A U2
NOT
Q
B
XOR_2

1) Phần ĐẢO không có trễ truyền đạt.


2) Phần ĐẢO có trễ truyền đạt.

16
A

1) A

2) A

3.7
U1
A U2
NOT
Q
B
XOR_2

A Å A = Q = 0 (lí thuyết)

ð Mỗi khi A chuyển mức logic thì Q lại phát 1 xung dương

3.8
Chuyển đổi mạch phù hợp với tích cực âm
U1 U1
A A
B B
OR_2 OR_2

U2 U4 U2 U4
C
Q
=> C
Q
D D
U3
AND_2 OR_3 U3
AND_2 OR_3

E E
NOT NOT

17
Các đường AND
tích cực thâp

Câc đường AND


tlch cực cao

Hlnh 4.12. Sơ đó cấu trúc một mảng AND cùa EPLD sử dụng tranzito MOS CMCcổng thả nổi.

CÂU HỎI VÀ BÀI TẬP CHƯƠNG 4

4.1. Một vi mạch PAL bao gổm:


a) Một mảng AND lạp trình được và một
mảng OR lạp trình đượ:;
b) Một mảng AND lập trình được và một
mảng OR cố định (khổng lẠp trình);
c) Một màng AND cố định và một mảng
OR lập trình được;
d) Cà màng AND và OR đẻu cố định.
Trong 4 đáp án ưen, đáp án nào đúng?
4.2. Hãy xác định biêu thức logic của
đáu ra Q cùa một mảng PAL đà được lập
trình với sơ đổ nguyên lý trên hình 4.13.
4.3. Cho một màng PAL có sơ đổ
nguyên lý trtn hình 4.14. Hãy chi ra các kết
nối cán phải có đè thục hiộn hàm logic:
Q = ABC + ABC + ABC.

87
Chương 4: THIẾT BỊ LOGIC KHẢ TRÌNH

4.1
Một vi mạch PAL bao gồm: Một mảng AND lập trình được và một mảng
NOR cố định.

4.2

A C
B
2

9
1 U1:A 4 U1:B 10 U1:C
74125 74125 74125
3

U2

Q
OR_3

Mạch:
Q = ABC + ABC + ABC
4.3

Q = ABC + ABC + ABC


A C
B
2

1 U1:A 4 U1:B 10 U1:C


74125 74125 74125
3

U2

Q
OR_3

18
BÀI TẬP CHƯƠNG 5

5.2. Trtn c4c lối vào cũa vi mạch cộng 74LS83A có các d iy bít như sam
^ ' : ' 0 0 .1 0 " 0 A ’ : .n ' ° i 0 0 0 A , ; 00001010 A fi: W B lo w
B ,: 11111000 B ,: 11001100 B ,: 10101010 Bfi.00100100
H ỉy cho biết các dãy bil ra, vời luu ý là bit tận cùng bên phái là bít vào đáu lien.
5 J . T rtn các lòi vào cũa vi mạch so sánh 7485 có các dSy bít sau:

Afi: 11001 A , : 01100 A } : o i l 11 A ] : 11110


B : 01010 B , : 01110 B j: 11001 B ,: 01001
H iy VC dạng xung tại các 16i ra A > B; A = B; A < B.

5.4. Trên các lối 'ào cùa vĩ mạch giãi mã BCD/DEC 7442A có các dãy bít sau (bít tân
cùng phải là bit dầu tìín):

AfitOOOOlOOOl A ,:001100110 A , : 010101010 A , : 100010000


HSy vẽ dó thị thời gùn xung trtn các lối ra cũa 7442,

5.5. V i mạch Enccdcr 74147 cỏ mủc L ở các chân 2. 5 v i 12. H ỉy cho biít các mã BCD
trtn các lối ra licit các lói vào còn lại à múc H.

5.6. V ẽ mạch báeh đói 10 tát m i Gray sang nhị phân:

a) 1010000000: b) 0011001100; c) 1111000111: dO 0000000001.

5.7. Thực hiộn cíc hàm logic ló họp sau báng vi mạch M U X 8

F, - 1 , ^ 2 . 3 . 4 . 5 . 8 . 9 . 1 4 . 1 5 ) ; F , > l f i M (O .4 .5 .7 .1 0 .1 3 .1 4 .1 5 )

5.8. H ỉy dùng 2 vi mạch M I X 4 và 1 vi mạch M U X 2 d i thành lẠp một mạch M U X 8


(yớu cáu VỄ mạch và lạp báng trạtg thái cùa mạch).

5.9. V ỉ dó thị thời gian xu® trtn lối vào Data in và uen các lối ra cùa vi mạch 74154 làm
việc ĩc h ế đ O D E M U X nftt:

- Các lói vào chọn số Hét (địa chi) thay đổi mã nhị phân (từ 0000 đín 1111).

- SỐ liệu vào nối tiip . là m ỉ BCD cũa s6 thạp phân 2468 với các bit vào dáu liín 11 <Hy 4
bit mă BCD cùa số 8 thập fhân. liếp đến là dãy 4 bít mã BCD của sổ 6. rói đến 4 bit mẵ BCD
cùa sô 4. cuối cùng là 4 bít mã BCD cùa số 2.

5.10. T h itì k í một mạch R O M 8 X 4 bít sứ dụng các vị mạch M U X 8.

5.11. Sũ dụng 2 vi mạch giãi mã 74154 và vi mạch tạo dao đông xung (ví dụ 555). vi mạch
lốm v ỹ ... d ỉth iế t Ũ một thiết bị tháp sáng tuán (ự và chu trinh gổm 32 LED.

28
Chương 5: MẠCH LOGIC TỔ HỢP

5.1
Mạch cộng 2 số nhị phân 5 bit: A= 00111 và B= 10101 thực hiện như
sau:

B4 A4 B3 A3 B2 A2 B1 A1 B0 A0
11
12
13

11
12
13
4
3
1

4
3
1

4
3
1
U3:A U2:B U2:A U1:B U1:A
B
A

B
A

B
A

B
A

B
A
CI

CI

CI

CI

CI
74LS183 74LS183 74LS183 74LS183 74LS183
CO

CO

CO

CO

CO
S

S
5

10

10

6
S4 S3 S2 S1 S0

5.2
Mạch cộng với 74LS83A
Dãy bit ra thể hiện trong bảng:

A1 1 0 0 1 0 1 1 0
A2 1 1 1 0 1 0 0 0
A3 0 0 0 0 1 0 1 0
A4 1 0 1 1 1 0 1 0
B1 1 1 1 1 1 0 0 0
B2 1 1 0 0 1 1 0 0
B3 1 0 1 0 1 0 1 0
B4 0 0 1 0 0 1 0 0
∑1 1 0 0 1 1 1 1 0
∑2 1 0 0 0 0 0 0 0
∑3 0 0 0 0 1 1 1 0
∑4 1 0 0 0 0 1 1 0
C4 1 1 1 1 1 0 0 0

5.3
Mạch so sánh với vi mạch 7485: U1
Dạng xung thể hiện như hình vẽ: 10
A0
12
A1
13
A2
15
A3
9
B0
11
B1
14
B2
1
B3
2 7
A<B QA<B
3 6
A=B QA=B
4 5
A>B QA>B
19
7485
A0

A1

A2

A3

B0

B1

B2

B3

A>B

A=B

A<B

5.4
Bộ giải mã BCD/DEC 7442A
Xung trên các lối ra thể hiện trên hình vẽ

U1
1
0
2
1
3
2
15 4
A 3
14 5
B 4
13 6
C 5
12 7
D 6
9
7
10
8
11
9
7442

20
A0

A1

A2

A3

5.5
U1
Vi mạch Encoder 7417 :
11
Có mức L ở chân 2, 5, 12 12
1
và H ở các mức còn lại. 2
13
3
ð mã BCD tương ứng (Q0Q1Q2Q3) 1
4 Q0
9
2 (giá trị 5) -> 0101 2
5 Q1
7
5 (giá trị 8) ->1110 3 6
6 Q2
4 14
12 (giá trị 2) ->1011 5
7 Q3
8
10
9
74147

21
5.6
G0 G1 G2 G3 G4 G5 G6 G7 G8 G9
Gray

U1 U2 U3 U4 U5 U6 U7 U8 U9
XOR XOR XOR XOR XOR XOR XOR XOR XOR

Binary
B0 B1 B2 B4 B6 B8 B9
B3 B5 B7

Gray -> Binary


1010000000 -> 0110000000
0011001100 -> 0001000100
1111000111 -> 1010111101
0000000001 -> 1111111111

5.7
a) b)
F1 = å XYZT (2, 3, 4,5,8,9,14,15) F2 = å XYZT (0, 4, 5, 7,10,13,14,15)
Q U1
Q U1 8
7
X0 Y
10
8 10 X1
X0 Y 6
7 X2
X1 5
6 X3
X2 4
5 X4
X3 3
4 X5
X4 2
3 X6
X5
2 1
X6 X7
1 23
X7 X8
23 22
X8 X9
22 21
X9 X10
21 20
X10 X11
20
X11 19
19 X12
X12 18
18 X13
X13 17
17 X14
X14 16
16 X15
X15
15 15
X 14
A X 14
A
Y 13
B Y 13
B
Z 11
C
Z C
T D
T 11
D
9
E 9
E
74150
74150

22
5.8
Dùng 2 vi mạch MUX 4 và 1 vi mạch MUX 2 để thành lập mạch
MAX 8

5.9
U1
23 1
A 0
22 2
B 1
21 3
C 2
20 4
D 3
5
4
18 6
E1 5
19 7
E2 6
8
Tín hiêu vào 7
8
9
10
9
11
10
13
11
14
12
15
13
16
14
17
15
74154

Tín hiệu vào: 2468 H = 0010 0100 0110 1000 BCD

23
0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15

TH.vao

10

11

12

13

14

15

24
5.10

GND
VCC

U44 U43 D1
15 3 23 1 D2
1
D0 Q0
2 22
A 0
2 D3
10
D1 Q1
6 21
B 1
3 D4
D2 Q2 C 2 LED-BLUE
D5
9 7 20 4 LED-BLUE
D3 Q3
13
D 3
5 D6
RCO 4 LED-BLUE
D7
14 18 6 LED-BLUE
4
CLK
19
E1 5
7 D8
E E2 6 LED-BLUE
D9
5 8 LED-BLUE
11
D/U 7
9 D10
PL 8 LED-BLUE
D11
12 10 LED-BLUE
TC 9
11 D12
10 LED-BLUE
D13
74190 13 LED-BLUE
11
14 D14
12 LED-BLUE
D15
15 LED-BLUE
13
16 D16
14 LED-BLUE
U1 15
17 LED-BLUE
U2 NOT LED-BLUE
NOT 74154 LED-BLUE

U45 U46 D17


15 3 23 1
D18
1
D0 Q0
2 22
A 0
2
D19
10
D1 Q1
6 21
B 1
3
D20
D2 Q2 C 2 LED-BLUE
D21
9 7 20 4 LED-BLUE
D3 Q3
13
D 3
5
D22
GND RCO 4 LED-BLUE
D23
14 18 6 LED-BLUE
4
CLK
19
E1 5
7
D24
E E2 6 LED-BLUE
D25
5 8 LED-BLUE
11
D/U 7
9
D26
PL 8 LED-BLUE
D27
12 10 LED-BLUE
TC 9
11
D28
10 LED-BLUE
D29
74190 13 LED-BLUE
11
14
D30
12 LED-BLUE
D31
15 LED-BLUE
13
16
D32
14 LED-BLUE
17 LED-BLUE
15
LED-BLUE
74154 LED-BLUE

25
BÀI TẬP CHƯƠNG 6
6.1. Xây dựng mạch logic điéu khiến hoạt
động cùa S-R FF theo số Hexa N H (hình 6.42).
Yêu cáu điéu khiến như sau:
NH ắ 4: Flip Flop lưu giữ thông tin sắn có
B è NH > 4: Flip Flop ghi giá ưj 1 (Q = 1)
N,| > B: Flip Flop bị xóa (Q = 0) Hinh 6.42. Bai tập 61.
6.2. Cho mạch hình 6.43a. Hăy v€ dạng sóng cùa tín hiộu ờ đáu ra Q . theo dạng sóng các
?
tín hiệu vào A, B (hlnh 6.43b). Khởi đẩu. Q, = Qj = 0 logic.

6.3. Cho mạch hlnh 6.44a. Hãy vê dạng sóng của tín hiệu ờ đầu ra s, theo dạng sóng các
tín hiệu vào G E (hình 6.44b). Khởi dlu Qo = Q l = L.

•) b)

«//?AÍ.44BâJÌảp63.

6.4. Hăy dùng các J-K FF dể tổ hợp mạch dãy đóng bộ. có sơ dổ khối ở hình 6.45a, mạch
có 4 Ưạng thái trong s , + Sv Hình 6.45b là graph trạng thái mô là hoạt dông cùa mạch.

M M « 4 £ B * tt p 6 .4 .

160
6.5. Hãy dùng các vi mạch đém 74190 (xem mục 6.15.3) và cổng NAND đê xây dựng
mạch đếm thuận modul 84. Vẽ sơ đổ mạch và thuyết minh hoạt động cùa mạch.
6.6. Hãy dùng các vi mạch đốm 74190 và các vi mạch so sánh 7485 (xem mục 5.6.1) để
thành lập mạch đốm thuận modul N có thé lập trình (N có thổ thay đổi và = 99).
6.7. Hãy dùng các vi mạch dếm 74192 (xem 6.15.3) để thành lập mạch đếm thuận, đốm từ
0 đến 48 thì dừng.
6.8. Hình 6.46a là sơ đó nguyên lý dùng IC đếm 74191 (xem mục 6.15.3) làm việc như
một mạch chia tán số với hẹ số chia N có thổ lập trình (N có thố thay đổi). Dãy xung vuông có
tấn số í cẩn chia đưa vào dáu c bộ đếm. Đạt số N dịnh trước vào các đáu vào số liệu. Khỏi
động đóng K sang vị trí 1, sau dó đóng K sang 2. Bộ đếm sẽ hoạt động như mạch chia tẩn: Ở
đáu ra M/m cho dãy xung vuổng lán số f/N. Thuyết minh hoạt dộng của mạch, v ẻ dạng sóng
cùa tín hiệu ở đáu ra M/m. theo dạng sóng cùa dãy xung dếm ờ đáu vào c

M/ỉ/1 6.46 a) Bái lập 6.8; b) Ba. tập 6.9.


6.9. Hây dòng vi mạch đếm vòng 4017 (mục 6.16) v i các cổng OR đế xây dựng mạch đếm
có sơ dổ khối ở hình 6.46b. Yêu cáu bộ đốm có chu trình đếm là 7 xung và trạng thái các bit
DCĐA ở của ra úng với các xung lới như sau:

1’ CXNrCsổ 161
Chương 6: MẠCH LOGIC DÃY

6.1
Từ yêu cầu của đề bài, thu được:
N H Î [0;4] ® S=0; R=0;
N H Î [5;B] ® S=1; R=0;
N H Î [B;15] ® S=0; R=1;
Ví dụ: Chọn B=10
S = NKP + MKP + NKP + NMKP
R = KP + NMK

U12

OR_2

U10 U11
AND_2 AND_3

U1
M R
NOT

U2
N
NOT
S
U3
P
NOT

U4
K
NOT

U5 U6 U7 U8
AND_3 AND_3 AND_3 AND_4

U9

OR_4

6.2
B
U1 U2
Q1 Q2
5V J Q D Q

A CLK CLK

K Q Q
JKFF DTFF

26
A

Q1

Q2

6.3
U3

U1 U2 S
Q0
E D Q D Q
XOR
CLK CLK
Q1
Q Q
DTFF U4 DTFF

C
NOT

Q0

Q1

27
6.5

U1
15 3
D0 Q0
1 2
D1 Q1
10 6
D2 Q2
9 7
D3 Q3
U1(CLK) 13
RCO
14
CLK
4
E
5
D/U
11
PL U5
TC
12 U4 NOT
NOT
74190

U2
15 3
D0 Q0
1 2
D1 Q1
10 6
D2 Q2
9 7
D3 Q3
13
RCO
14
CLK
4
E
5
D/U
11
PL U8 U7 U6
12 NOT NOT NOT
TC
74190

U3
NAND_8

Nguyên lí hoạt động:


- đặt giá trị ban đầu Di của các bộ 74190 là 0.
- Tín hiệu vào CLK được đưa vào 2 bộ đếm 74190. Để 2 bộ đếm này
liên hệ với nhau sao cho đếm được 2 chữ số ta nối RCO của 741901
với E của 741902.

28
- Để đếm bộ đếm đếm theo chiều tăng, ta tích cực âm cho D/U của cả
2 74190.
- Mỗi tín hiệu ra của 74190 được nối với 1 led 7 thanh để hiển thị
xung đếm.
- Để giới hạn đếm từ 0 đến 84, dùng một NAND nhận các tín hiệu ra
khi giá trị ra là 85 làm đầu vào. Khi được tích cực đúng giá trị 85, tín
hiệu lra của NAND sẽ nạp lại giá trị 0 của hai IC 74190.
- Quá trình cứ thế tiếp tục.

6.6

U2
15 3
D0 Q0
1 2
D1 Q1
10 6
D2 Q2
9 7
D3 Q3
U2(CLK) 13
RCO
14
11

15
13
12
10

14
4
3
2
1

CLK
4
E
5 U1
A>B
A=B
A<B
B3
B2
B1
B0
A3
A2
A1
A0

D/U
11 7485
PL
12
TC
74190
QA>B
QA=B
QA<B

U3
15 3
D0 Q0
1 2
D1 Q1
5
6
7

10 6
D2 Q2
9 7
D3 Q3
13
RCO
14
CLK
4
E
5
D/U
14
11

15
13
12
10

11
4
3
2
1

PL
12
TC
U4
A>B
A=B
A<B
B3
B2
B1
B0
A3
A2
A1
A0

74190 7485

U6 U5
QA>B
QA=B
QA<B

NOT
5
6
7

AND_2

Trên hình bên đặt giá trị N = 33

29
6.7

GND

U1 U3
15 3 15 3
D0 Q0 D0 Q0
1 2 1 2
D1 Q1 D1 Q1
10 6 10 6
U2 D2 Q2 D2 Q2
9 7 9 7
D3 Q3 D3 Q3
U2(D1) 5 12 5 12
UP TCU UP TCU
4
DN TCD
13 4
DN TCD
13 U10
11
PL U9 11
PL NOT
AND 14 NOT 14
MR MR
U5 U4
74192 NOT 74192 NOT

U8
U6 NOT U11
NOT

U7

NOT

AND_8

6.8

VCC

U1
15 3
D0 Q0
1 2
D1 Q1
10 6
D2 Q2
9 7
D3 Q3
U1(CLK) 13
RCO
14
CLK
4
E
5
D/U
11
PL
12
TC
74LS191 SW1
GND

SW -SPDT

GND

Nguyên lí hoạt động: Với mạch trên, N chọn bằng 10.


- 74191 là mạch đếm hexa. Giá trị đếm từ 0-16
- Ban đầu ta load giá trị đầu vaò là giá trị N đặt trước. Trong mỗi chu kì
đếm, 74191 sẽ đếm từ N đến 15 (hiển thị chữ F). Khi tới xung 15, có 1
xung phát ra ở TC. Tín hiệu này đưa đến PL để load lại giá trị N

30
- Quá trình cứ như vậy tiếp tục xảy ra. Đầu ra TC sẽ cho một xung có
f = f / (16-N)
Cụ thể xung:

CLK

RCO

PL

TC

6.9
U7

D
OR_2
U6

C
OR_3

U5

B
OR_5

U3

A
OR_3

U1(CLK) U1
14 3 U2
GND CLK Q0
13 2
E Q1
4
Q2
7
Q3
10
Q4
1
Q5
5
Q6
6 OR_7
Q7
9
Q8
11
Q9
15 12
MR CO
U4
4017

NOT

31
PHẦN II: BÀI TẬP THÊM

#Các phép toán và định lí

1- Cho hàm:
Q0 (A, B, C) = (A + B + C)(A + B + C)(A + B + C)
Q1 (A, B, C, D) = (AB + CD)(A + BCD)
Q2 (A, B, C, D) = [ABC+(D+CB)]BC
a. Vẽ mạch logic chỉ sử dụng phần tử logic cỏ bản cho Q0, Q1, Q2.
b. Vẽ mạch logic cho Q0, Q2.
c. Vẽ mạch logic chỉ dùng phần tử NOR cho Q0.
Bài làm
a.
A B C

U1

U6
OR_3

U2 U4
NOT

Q0
OR_3 AND_3

U3

U5 OR_3

NOT

A B C D

U1

U4
AND_2

U2
U3 OR_2
U5

AND_2
NOT Q1
AND_2

U6

U8 U7 OR_2

NOT
AND_3

32
A B C D

U1

U8
AND_3

U9
U3 OR_2

U2
AND_2 Q2
U5 NOT
U4
OR_2

NOT
AND_2

U6 U7

NOT
AND_2

b.
Q0 = (A + B + C)(A + B + C)(A + B + C)
= ABCABCABC = ABC + ABC + ABC = AC + AB

A B C

U1

U5
NOT

U2
AND_2
U7 U8
NOT

U3 Q0
NOT
OR_2
U6
NOT

U4
AND_2

NOT

Q2 = [ABC+(D+CB)]BC = (ABC + DBC)BC = DBC

B C D

U1 U2

Q2
NOT
AND_3

33
c.
Q0 (A, B, C) = (A + B + C)(A + B + C)(A + B + C) = AC + AB = A + C + A + B

A B C

U1

U3
NOR_2

Q0
U2
NOR_2

NOR_2

#Biểu diễn số

1.
Cho N10 = 12768*2 ; N’10 = 32D
è
N2 , N’2 , N16, N’16, NBCDn, N’BCDn, (N16 – N’16) theo NASCII

Bài làm:

N10 = 25536 D
N’10 = 32 D
N2 = 110 0011 1100 0000
N’2 = 10 0000
N16 = 63C0 H
N’16 = 20 H
NBCDn = 0110 0011 1100 0000 B
N’BCDn = 0010 0000 B
P16 = N16 – N’16 = 63A0 H
PASCII = 00110110 00110011 00111010 00110000

34
#Các phương pháp tổng hợp hàm từ bảng trạng thái

1.
Cho các hàm Q sau:
Q0 (A, B, C, D) = å (0,1, 4,9,10,14)
Q1 (A, B, C, D) = Õ (1, 2,5,8,12,13)
Q2 (A, B, C, D, E) = å (0, 2, 7,12,13,18, 21, 26, 29,30,31)
F(3,19, 28) = x(Cho _ Q 2 )
a. Vẽ mạch logic cho hàm Q1, Q2, Q0.
b. Chỉ dùng phần tử NOR, vẽ mạch cho Q0.
c. Chỉ dùng phần tử NAND, vẽ mạch cho Q1.

Bài làm:
a.
Hàm Q0:

BA 00 01 11 10
DC
00 1 1 0 0
01 1 0 0 0
11 0 0 0 1
10 0 1 0 1

Q0 = BAD + BAC + BAD

D C B A

U5

U8 U6 U1
NOT NOT

U7
NOT
AND_3

U2 U4
NOT

Q0
AND_3 OR_3

U3

AND_3

35
Hàm Q1:
BA 00 01 11 10
DC
00 1 0 1 0
01 1 0 1 1
11 0 0 1 1
10 0 1 1 1

Q1 = (B + A + D)(B + A + D)(B + A + C)(B + A + D + C)

D C B A

U1

U6

OR_3
NOT

U7 U2

NOT
OR_3

U5

Q1
AND_4
U3
U8

U9NOT OR_3

U4
NOT

OR_4

Hàm Q3:
CBA 000 001 011 010 110 111 101 100
DE
00 1 0 x 1 0 1 0 0
01 0 0 0 0 0 0 1 1
11 0 0 0 1 1 1 1 x
10 0 0 x 1 0 0 1 0

Q2 = ABCDE + CBD + ED + ABCDE + CBAE + CBD

36
b.
Q0 = BAD + BAC + BAD = B + A + D + B + A + C + B + A + D =

= B+A +D+ B+A +C+B+ A+ D

D C B A

U1

NOR_3

U2

U3 U7 U8
NOR_2

Q0
NOR_3 NOR_3 NOR_2

U4

U6
NOR_2

U5
NOR_3

NOR_2

c.
Q1 = (B+ A + D)(B+ A + D)(B+ A + C)(B+ A + D+ C) = BADBADBACABCD

= BADBADBACABCD

37
D C B A

U4

NOR_2
U5 U1

NOR_2 NOR_3

U2

NOR_3 U9

U3 Q1
NOR_4

NOR_3

U6

U7
NOR_4

NOR_2
U8

NOR_2

#Mạch giải mã địa chỉ

1.
Cho hàm Q:
Q0 (A, B, C, D, E) = å (0,1,8,9,15,19, 26,30)
Q0 (A, B, C, D) = Õ (1, 2,5, 6,12,14,15)
a. Xây dựng mạch logic thực hiện Q0 dùng IC 74138
b. Xây dựng mạch logic thực hiện Q1 dùng IC 74139

Bài làm:

38
a.

U1
1 15
A 2
A Y0
14
B 3
B Y1
13
C C Y2
Y3
12
11
Y4
6 10
D 4
E1
E2
Y5
Y6
9
5 7
E E3 Y7
U2
74ALS138
1 15
A Y0
U5 2
B Y1
14
NOT 3 13
C Y2
12
Y3
11
Y4 U7
6 10
E1 Y5
4 9
E2 Y6
5 7
E3 Y7
U3
74ALS138
1
A Y0
15 Q
2 14
B Y1
3 13
C Y2
12
Y3
11 NAND_8
Y4
6 10
E1 Y5
4 9
E2 Y6
5 7
E3 Y7

U6 U4
74ALS138
NOT 1 15
A Y0
2 14
B Y1
3 13
C Y2
12
Y3
11
Y4
6 10
E1 Y5
4 9
E2 Y6
5 7
E3 Y7
74ALS138

b.
U1:A
2 4
A 3
A Y0
5
B B Y1
Y2
6
1 7
C E Y3
74ALS139
D U1:B
U3 14
A Y0
12
NOT 13 B Y1
11
10
Y2 U5
15 9
E Y3
74ALS139
U2:A
2
A Y0
4 Q
3 5
B Y1
6
Y2
1 7 AND_7
E Y3
74ALS139
U2:B
U4 14
A Y0
12
NOT 13 B Y1
11
10
Y2
15 9
E Y3
74ALS139

39
U1

7447
1 15
A A Y0
2 14
B B Y1
3 13
C Y2

Bài làm:
C Bài làm:
12
D Y3
11
E Y4
6 10
E1 Y5
4 9
E2 Y6
5 7
E3 Y7
74ALS138
U2
1 15
A Y0
U5 2 14
B Y1
NOT 3 13
C Y2
12
Y3
11
Y4
6 10
E1 Y5
4 9
E2 Y6
5 7
E3 Y7
74ALS138
U3
1 15
A Y0
2 14
B Y1

40
3 13
C Y2
12
Y3
11
Y4
6 10
E1 Y5
4 9
E2 Y6
5 7
E3 Y7
74ALS138
U4
1 15
A Y0
U6 2 14
B Y1
NOT 3 13
C Y2
12
Y3
11
Y4
6 10
E1 Y5
4 9
E2 Y6
5 7
E3 Y7
74ALS138
2. Thành lập mạch giải mã một phần tử 32 bit dùng IC 74138

3. Xây dựng mạch giải mã LED 7 thanh Anot chung, 2 chữ số, dùng
9 10
S1 A1
6 8
S2 A2
2 3
S3 A3
Bài làm
15 1
S4 A4
11
# Mạch cộng

B1
7
B2
4
B3
16
B4
14 13
C4 C0

9 10

U1
7483
S1 A1
6 8
S2 A2
2 3
S3 A3
15 1
S4 A4
11
B1
7

41
B2
4
B3
16
B4
1. Thiết kế mạch cộng 8 bit dùng 7483

14 13
C4 C0
U2
7483
2. Thiết kế mạch trừ 8 bit dùng 7483
Bài làm
Đánh giá:
N ¾¾¾
dao bit
® B(1)
N ¾¾¾
cong1
® B (2)
N
U21
B7
U20
NOT

B6
U19
NOT

B5 NOT
U18
B4
NOT
U17
B3
NOT
U14
B2 0 1
U22
NOT

B1
U23
NOT

B0
NOT
13

16

11

10

13

16

11

10
4
7

1
3
8

4
7

1
3
8

U12 U13
C0

B4
B3
B2
B1

A4
A3
A2
A1

C0

B4
B3
B2
B1

A4
A3
A2
A1

7483 7483
C4

C4
S4
S3
S2
S1

S4
S3
S2
S1
14

15
2
6
9

14

15
2
6
9

A7
A6
A5
A4
A3
A2
A1
A0
13

16

11

10

13

16

11

10
4
7

1
3
8

4
7

1
3
8

U24 U25
C0

B4
B3
B2
B1

A4
A3
A2
A1

C0

B4
B3
B2
B1

A4
A3
A2
A1

7483 7483
C4

C4
S4
S3
S2
S1

S4
S3
S2
S1
14

15
2
6
9

14

15
2
6
9

Ra

3. Thiết kế mạch cộng trừ đa năng


Bài làm
A\S: 1: cộng 0: trừ

K=A\S A B S C
0 0 0 0 0
0 0 1 1 1
0 1 0 1 0
0 1 1 0 0
1 0 0 0 0
1 0 1 1 0
1 1 0 1 0
1 1 1 0 1

42
S = KAB + KAB + KAB + KAB
C = KAB + KAB

U1
A\S
NOT

U2
A
NOT

U3
B
NOT

U4 U5 U6 U7 U9 U10
AND_3 AND_3 AND_3 AND_3 AND_3 AND_3

U8 U11
NOR_4 OR_2

S C

4. Thiết kế mạch cộng 2 số BCD 4bit


Bài làm
U1:A
1 6
A S
3
B
4 5
CI CO
74LS183

U1:B
13 8
A S
12
B U3
11 10
CI CO
10 1
A Y1
74LS183 11 2
B Y2
12 3
C Y3
13 4
U2:A D Y4
14 5
E Y5
1 6 6
A S Y6
3 15 7
B G Y7
4 5 9
CI CO Y8
74LS183 74185

Binary to BCD
U2:B
13 8
A S
12
B
11 10
A B CI CO
74LS183

43
#Mạch FLIP-FLOP

1. Thiết kế mạch TFF đếm từ 0 => 5


Bài làm

U5
AND_3

U4
NOT
U1 U2 U3
CLK CLK Q CLK Q CLK Q
SET SET SET
RESET RESET RESET
D !Q D !Q D !Q
DTFF DTFF DTFF

Q2 Q3
Q1

2. Thiết kế mạch TFF chia tần f/13


Bài làm

Chia tần f\13 => Mạch đếm từ 0 đến 12.

Q (f\13)

U7
AND_4

U5 U6
NOT NOT
U1 U2 U3 U4
CLK (f) CLK Q CLK Q CLK Q CLK Q
SET SET SET SET
RESET RESET RESET RESET
D !Q D !Q D !Q D !Q
DTFF DTFF DTFF DTFF

#Thanh ghi dịch

1. Thiết kế thanh ghi dịch đa năng 4 bit với yêu cầu :


- Có tín hiệu lựa chọn vào song song (1) hoặc vào nối tiếp (0)
- Có tín hiệu chọn dịch trái (1) hay dịch phải (0)
Bài làm

44
Ra// Ra nt
U10 U10 U10 U10 U10
OR_2 OR_2 OR_2 OR_2 OR_2

U15 U16 U15 U16 U15 U16 U15 U16 U15 U16
AND_2 AND_2 AND_2 AND_2 AND_2 AND_2 AND_2 AND_2 AND_2 AND_2

U11

NOT

DK R-L

U6 U8 U6 U8 U6 U8 U6 U8
Q Q Q Q
U7 U7 U7 U7

45
CLK CLK CLK CLK
AND_2 AND_2 AND_2 AND_2
Q D U9 Q D U9 Q D U9 Q D U9
DTFF DTFF DTFF DTFF
OR_2 OR_2 OR_2 OR_2

AND_2 AND_2 AND_2 AND_2

CLK Vao nt
U5

DK //-nt NOT

U3 U3 U3 U3

U2 U2 U2 U2
U1 U1 U1 U1
AND_2 AND_2 AND_2 AND_2
D Q D Q D Q D Q

CLK CLK CLK CLK


OR_2 OR_2 OR_2 OR_2

U4 Q U4 Q U4 Q U4 Q
DTFF DTFF DTFF DTFF

AND_2 AND_2 AND_2 AND_2

Vao //
2. Trên cơ sở 7492, thành lập bộ đếm từ 0 đến NMAX. Với NMAX = 13
Bài làm

13 = 1101

Q3Q2Q1Q0

U26
CLK 14
CKA QA
12 U27
1 11
CKB QB
9
QC
8
QD
6
R0(1) NOT
7
R0(2)
7492

U28
AND_4

46
3. Thiết kế mạch đếm từ 37 – 82 dùng 74190
Bài làm

Các đầu vào: 37 = 0011 0111


83 = 1000 0011

U101 U5
CLK

DTFF AND_8
Q

U15
U7 U6
CLK

NOT
DTFF NOT

U10
Q

NOT

U9
NOT U12
NOT

VCC
U14 GND VCC U11
AND_2 NOT
U13(D1)
U8
NOT

U1 U2
15 3 7 13
D0 Q0 A QA
1 2 1 12
D1 Q1 B QB
10 6 2 11
GND D2 Q2 C QC
9 7 6 10
D3 Q3 D QD
13 4 9
GND RCO BI/RBO QE
U16 14
CLK
5
RBI QF
15
NOT 4 3 14
E LT QG
5
D/U
11 74247
PL
12
TC
74190
U3 U4
U13 15
D0 Q0
3 7
A QA
13
AND_2 1 2 1 12
D1 Q1 B QB
10 6 2 11
D2 Q2 C QC
9 7 6 10
D3 Q3 D QD
13 4 9
RCO BI/RBO QE
14 5 15
CLK RBI QF
4 3 14
E LT QG
5
D/U
11 74247
PL
12
TC
74190

47
TRƯỜNG ĐẠI HỌC BÁCH KHOA HÀ NỘI ĐỀ THI CUỐI KỲ 2021.1
TRƯỜNG ĐIỆN – ĐIỆN TỬ Học phần: EE2130 – Thiết kế hệ thống số
Ngày thi: 09/02/2022
Đề số: 02 Tổng số trang: 1 Thời gian làm bài: 90 phút
(Được sử dụng tài liệu)
Ký Trưởng nhóm/Giảng viên phụ trách HP: Khoa phụ trách HP: Phạm Việt Phương
duyệt

Nguyễn Quốc Cường

Câu 1: (2đ)
a) Cho hai số: N10 = 5798; A10 = 3293. Hãy chuyển đổi ra các hệ cơ số sau N16, A16, NBCD, ABCD
b) Thực hiện trực tiếp các phép tính sau: NBCD + ABCD; N16 - A16

2 4
Câu 2: (3đ) Cho hàm logic Q (C, B, A). (C = MSB; A = LSB) 3
A Y0
5
B Y1
6
𝑄(𝐶, 𝐵, 𝐴) = 𝛴 (1, 2, 5, 7) 1
Y2
7
E Y3

a) Viết biểu thức đại số Bool thể hiện hàm Q nêu trên 74S139

b) Vẽ mạch thực hiện hàm Q chỉ sử dụng các phần tử NAND (2 hoặc 3 đầu vào) 14
A Y0
12
13 11
B Y1
c) Thực hiệc hàm Q nêu trên sử dụng mạch IC 74S139 và các phần tử logic cơ Y2
10
15 9
bản/mở rộng khác nếu cần thiết. Biết IC 74S139 gồm 2 bộ Decoder 2/4 có sơ E Y3
74S139
đồ khối như Hình 1.
Hình 1

Câu 3: (3đ) Thiết kế mạch logic dãy đồng bộ sử x


dụng các D-FF và các cổng logic cơ bản/mở rộng, có y
s0, s1, s2, s3
sơ đồ khối và hoạt động theo graph trạng thái như clk
Hình 2 (mạch có biến vào x, biến ra y và có 4 trạng
thái trong s0 ÷ s3) = y=
=1 y= = y=
a) Lập bảng chân lý
b) Tổng hợp các biểu thức kích thích cho các đầu
vào của các D flip flop và của đầu ra Y = y= =1 y=1 = y=1
s s1 s3 s
c) Vẽ mạch logic dãy đồng bộ nêu trên
=1 y=

=1 y=1
Hình 2
Câu 4: (2đ) Cho sơ đồ lắp mạch DAC như Hình 3.
Biết QA, D0: LSB ; QD, D3 : MSB ; D3
Xung QA
UDAC = ∆U * Nx (∆U =0.75 V; Nx là giá trị đặt tại đầu đếm QB D1 UDAC
vào của DAC) QC D2
IC 74191 DAC 4 bít
Hãy tính toán và vẽ đặc tính UDAC khi IC 74191 đếm QD D0
tiến, biết IC 74191 là bộ đếm đồng bộ module 16 có
chu trình. Hình 3

-------------------- Hết ------------------------

You might also like