You are on page 1of 8

PROCEEDINGS OF SPIE

SPIEDigitalLibrary.org/conference-proceedings-of-spie

Progress towards the integration of


optical proximity correction and
directed self-assembly of block
copolymers with graphoepitaxy

Chi-Chun Liu, Jed Pitera, Neal Lafferty, Kafai Lai, Charles


Rettner, et al.

Chi-Chun Liu, Jed Pitera, Neal Lafferty, Kafai Lai, Charles Rettner, Melia Tjio,
Noel Arellano, Joy Cheng, "Progress towards the integration of optical
proximity correction and directed self-assembly of block copolymers with
graphoepitaxy," Proc. SPIE 8323, Alternative Lithographic Technologies IV,
83230X (21 March 2012); doi: 10.1117/12.916525

Event: SPIE Advanced Lithography, 2012, San Jose, California, United States

Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 08 Jul 2023 Terms of Use: https://www.spiedigitallibrary.org/terms-of-use


Progress towards the integration of optical proximity correction and
directed self-assembly of block copolymers with graphoepitaxy
Chi-Chun Liu*a, Jed Piteraa, Neal Laffertyb, Kafai Laib, Charles Rettnera, Melia Tjioa, Noel
Arellanoa, Joy Chenga
a
IBM Almaden Research Center, 650 Harry Rd, San Jose, CA, USA 95120;
b
IBM Microelectronics, 2070 Route 52, Hopewell Junction, NY, USA 12533

*Corresponding author: cliu@us.ibm.com

ABSTRACT

A photomask design flow for generating guiding patterns used in graphoepitaxial DSA processes is
proposed and tested. In this flow, a new fast DSA model is employed for DSA structure verification.
The execution speed and accuracy of the fast model were benchmarked with our previously reported
Monte Carlo method. We demonstrated the process window verification using the OPC/DSA flow
with the fast DSA model and compared this with experimental results in the guiding patterns
simulated by e-beam lithography.
Keywords: Directed self-assembly, DSA, block copolymer, BCP, OPC, fast model

1. INTRODUCTION
The economics of semiconductor fabrication dictates that devices need to be scaled by
approximately 70% in linear dimensions every 18-24 months in order to maintain cost effectiveness.
Optical lithography has been the key driving force to support this scaling in the past few decades.
However, state-of-the-art 193 nm immersion lithography (193i) has reached its physical resolution
limit at the 22nm node while the potential technological successors of 193i haven’t matured enough
to be implemented in high-volume manufacturing (HVM). Double patterning, which decomposes
one layer of dense patterns into several less dense layers and employs multiple processes to achieve
the target patterns, is the interim solution for printing smaller features and tighter pitches beyond the
resolution limit, at the expense of significantly increased process complexity and cost of ownership.
Directed self-assembly (DSA) of block copolymers (BCPs) is an alternative approach to extend
optical lithography, which utilizes a topographical or chemical guiding pattern to direct the BCPs
into a desired morphology at a pre-determined location while the material properties of the BCPs
control the feature size and uniformity of the resulting structures. Such a technique has drawn great
attention due to its capability for pattern density multiplication and defect rectification.[1, 2] Recent
studies on 193i/HVM compatibility and defectivity of DSA further reinforce its role as a potential
candidate for lithography extension rather than merely a lab-scale nanofabrication method.[3-5]
Current applications of interest of DSA in the semiconductor industry range from periodic lines (for
gate or metal layers), periodic holes/posts, to aperiodic holes with various densities (for via or
contact layers).

Alternative Lithographic Technologies IV, edited by William M. Tong, Douglas J. Resnick, Proc. of SPIE
Vol. 8323, 83230X · © 2012 SPIE · CCC code: 0277-786X/12/$18 · doi: 10.1117/12.916525

Proc. of SPIE Vol. 8323 83230X-1


Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 08 Jul 2023
Terms of Use: https://www.spiedigitallibrary.org/terms-of-use
However, despite the great progress in the DSA field during the past few years, particularly in
the aspects of materials and processes, several challenges remain unaddressed. The especially
research demanding DSA process is the use of DSA in topographical guiding patterns to generate
aperiodic holes (which will be referred to as DSA vias in the following). As illustrated in Fig. 1, this
DSA via process is advantageous for shrinking the via CD, resolving merged vias, rectifying the CD
non-uniformity, and potentially reducing the number of processing steps. One of the major
difficulties of the DSA via process is the design of guiding patterns that can form DSA structures at
the desired locations, which is not straightforward and requires rigorous simulation and modeling. In
addition, even if an ideal guiding pattern design is achieved, the printability of the ideal guiding
pattern as well as the process latitude constraints imposed by lithographic tools need to be taken into
account. Thus, a thorough integration scheme for optical proximity correction (OPC) and DSA via
process modeling needs to be carefully planned so that the DSA via process can be incorporated into
the existing semiconductor manufacturing and design ecosystem. The focus of this work is to
demonstrate an integration scheme for OPC and DSA via modeling and outline the ongoing research
needs in order to further improve the effectiveness of this flow.
Poor CD uniformity Merged patterns

DSA
Better CD uniformity Rectified Via patterns

Fig. 1: An example of the DSA via process for CD uniformity improvement and pattern rectification. (Presentation
by IBM in 2010 SPIE Advanced Lithography)

2. AN INTEGRATION SCHEME OF OPC AND DSA VIA PROCESS


A design flow for photomasks which can generate a guiding pattern for DSA via structures at the
desired locations is illustrated in Fig. 2. The flow starts with a given layout of the target via positions
and then utilizes OPC software to generate a photomask design including sub-resolution assist
features (SRAF). Combined with proper resist models, the OPC software can subsequently generate
the simulated resist contours at different process conditions. Furthermore, a DSA model/simulation
is employed to predict the resulting DSA structures in the guiding patterns derived from

Proc. of SPIE Vol. 8323 83230X-2


Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 08 Jul 2023
Terms of Use: https://www.spiedigitallibrary.org/terms-of-use
representative process conditions. Finally, the mask and/or the targets are iteratively adjusted until
the resulting DSA structures are within an acceptable tolerance of the original targets. One should
note when conducting experiments, the guiding pattern for DSA can be either the resist itself or a
suitable substrate etched from the resist. For simplification reason, we assume the guiding pattern is
identical to the resist contour for either case, i.e. no additional changes in shape caused by etching
processes.

Process simulation DSA simulation

Target Mask
Pass
Accept
check the
dose
design!
Fail
Target Pattern Mask/OPC/SRAF

Fig. 2: A design flow for a photomask which can generate a DSA guiding pattern that results in DSA via
structures at the desired locations.

The major difference between the design-for-DSA flow demonstrated here and a typical
photomask design flow is that an additional DSA model/simulation is needed for verifying the
resulting structures. Two types of DSA model are employed in this work: (1) the Monte Carlo (MC)
method[6, 7] and (2) a newly developed fast DSA model.

3. RESULTS AND DISCUSSION

When benchmarking the two DSA models, we would like to focus on the execution time and the
computational accuracy/discrepancy. Eleven different guiding pattern designs, which are basically
composed of 2 merged circles with various center-to-center distances and different level of corner
rounding, were chosen for the benchmark test. The MC method was performed on the guiding
patterns and the equilibrium morphologies were obtained, as shown in Fig. 3. Centroids of the DSA
via domains were extracted afterward using MATLAB Image Processing Toolbox. In addition, 8
MC numerical experiments were repeated on each of the 11 guiding pattern designs to obtain
statistics about the DSA structures. Fig. 4a illustrates the execution time of the MC method and the
fast DSA model on one single run. Each of the MC simulations takes ~100 CPU hours while the fast
DSA model takes ~1.5sec in average. Fig. 4b shows the histogram of the distances between the

Proc. of SPIE Vol. 8323 83230X-3


Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 08 Jul 2023
Terms of Use: https://www.spiedigitallibrary.org/terms-of-use
centroid predicted by the fast DSA model and the average of the centroids from the 8 repetitive MC
experiments in the same guiding pattern. An average distance of 0.64nm between the centroid of the
corresponding PMMA domain predicted by the two models was obtained. The fast DSA model is
more than five orders of magnitude faster than the MC method while retaining good agreement with
the results of the MC method.

3D Monte Carlo DSA Model Image resolution = 1 nm/pixel. fast DSA Model
different MC simulation
different GP design

+ predicted by fast model


O predicted by MC
#.## distance between the
predictions

>100 CPU hours for each run (~75x150x60nm.)

Fig. 3: Examples of the two DSA models used in this work: Monte Carlo and the fast DSA model.

(a) CPU time comparison of DSA models (b) Positional difference between MC and
(log scale) the new model (histogram)
1000000 12
Average error ~0.644nm
100000 10
Computation time (sec)

10000
> 105 improvement 8
count

6
1000
4
100
2
10
0
0.5 1 1.5 2 2.5 3
1
|rMC - rfast| (nm)
Monte carlo new model

Fig. 4: The performance comparison between the two models used in this work in terms of (a) computational
speed and (b) positional accuracy.

Proc. of SPIE Vol. 8323 83230X-4


Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 08 Jul 2023
Terms of Use: https://www.spiedigitallibrary.org/terms-of-use
To demonstrate the importance of a DSA model in the guiding pattern design flow, as shown in
Fig. 2, one can first consider a simple approach, the “placing-circles” method, for designing DSA
guiding patterns. The most straightforward way to design a guiding pattern for DSA via is to place
circles on the target positions and use the union of the circles as the guiding pattern, as illustrated in
Fig. 5. One would expect the resulting DSA vias to occur at the center of the circles if the guiding
pattern can be printed perfectly, i.e. the sharp corners will not be rounded by the lithography process.
Fig. 5 shows that even with perfect printability, which is usually not a good assumption, the
resulting DSA vias predicted by the fast DSA model are off the target positions by ~4 nm. Fig. 5
(right) shows that with the combination of center-to-center distance (c2c) and radius (R) chosen
here, the observed c2c distance, which is the distance between the two predicted DSA vias, is 5 – 10
nm shorter than the designed c2c distance. Clearly, if a guiding pattern is designed using the
“placing circles” method, the accuracy of the resulting DSA via positions cannot be guaranteed.

Circles to form guiding pattern Assume almost perfect printability

target Predicted DSA


After DSA Via Position

Designed center- observed center-


to-center distance to-center distance
Target via
Position

Fig. 5: The “placing-circle” method for guiding pattern design (left) and the predicted DSA results by the fast
DSA model (right).

The variations in the photomask fabrication process and the fluctuations in lithography process
conditions such as exposure dose and focus will result in resist contours, and therefore variations, in
the guiding patterns that cause deviations from the target design. Extreme conditions, such as those
listed in Table 1, are often used to study the reliability of a lithography process.[8] For an OPC/DSA
process, it would be important to check if the DSA structures can form correctly under the extreme
conditions, so that one can further fine-tune the mask design if needed. Typically, the OPC software
can also predict the smallest (inner condition) and the largest (outer condition) possible resist
contours if a proper resist model is provided. Then one can apply the MC or the fast DSA model to
the resist contours and evaluate via placement for the nominal and the extreme cases. Fig. 6 top row
shows the prediction by the fast DSA model in the extreme resist contours. One can see that the
same number of the DSA vias formed correctly under all 3 conditions, even though some vias were
predicted to have larger positional fluctuations than others. We used e-beam lithography to simulate

Proc. of SPIE Vol. 8323 83230X-5


Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 08 Jul 2023
Terms of Use: https://www.spiedigitallibrary.org/terms-of-use
the resist contours un nder extreme conditionss, as shownn in the botttom row off Fig.6. Thee DSA
experimenttal results ag
greed well with
w the prediiction of the fast DSA model.
m

Table 1: reepresentativee process connditions usedd in resist sim


mulation.
Exposure condition
c Defocus (n
nm) Dose (a.u.) M
Mask variatioon (nm)
inneer 0 0.97 +0.3755
nomiinal 0 1 0
outeer -43 1.04 -0.375

Fig. 6: (Top row) DSAD process window


w evaluatioon using the fasst DSA model. (Bottom row) DSA D results in
guidding patterns sim
milar to the nominnal and the extreeme resist contouurs simulated byy e-beam lithograaphy.

4. CO
ONCLUSIO
ON
A scheeme for integ
grating grapphoepitaxial DSA via prrocess guidinng pattern design
d with OPC
O is
demonstratted. The keyy componennt in this floow is the use of a DSA A model to verify
v the reesulting
DSA structures, as wee demonstratted that a strraightforwarrd “placing-ccircles” guidding pattern design
method caannot guaran ntee the positional acccuracy. Besiides the connventional Monte
M Carloo DSA
model, a newly
n develooped fast DS SA model iss reported annd compared to the MC C model. Thhis new
fast DSA model achiieves orderss-of-magnituude higher computation
c nal efficiency while prooviding
nearly the same resultss in terms off positional accuracy. An
A example where
w e-beaam lithographhy was

Proc. of SPIE Vol. 8323 83230X-6


Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 08 Jul 2023
Terms of Use: https://www.spiedigitallibrary.org/terms-of-use
employed to simulate the nominal and extreme resist contours shows that the DSA experimental
results agreed well with the prediction of the fast DSA model.

REFERENCES

[1] J. Y. Cheng, D. P. Sanders, H. D. Truong, S. Harrer, A. Friz, S. Holmes, M. Colburn, and W. D. Hinsberg,
"Simple and Versatile Methods To Integrate Directed Self-Assembly with Optical Lithography Using a
Polarity-Switched Photoresist," ACS Nano, vol. 4, pp. 4815-4823, Aug 2010.
[2] R. Ruiz, H. M. Kang, F. A. Detcheverry, E. Dobisz, D. S. Kercher, T. R. Albrecht, J. J. de Pablo, and P. F.
Nealey, "Density multiplication and improved lithography by directed block copolymer assembly," Science,
vol. 321, pp. 936-939, Aug 2008.
[3] C. C. Liu, P. F. Nealey, A. K. Raub, P. J. Hakeem, S. R. J. Brueck, E. Han, and P. Gopalan, "Integration of
block copolymer directed assembly with 193 immersion lithography," Journal of Vacuum Science &
Technology B, vol. 28, pp. C6B30-C6B34, Nov 2010.
[4] C. Bencher, J. Smith, L. Miao, C. Cai, Y. Chen, J. Y. Cheng, D. P. Sanders, M. Tjio, H. D. Truong, S. Holmes,
and W. D. Hinsberg, "Self-assembly patterning for sub-15nm half-pitch: A transition from lab to fab,"
Proceedings of SPIE - The International Society for Optical Engineering, vol. 7970, pp. The Society of Photo-
Optical Instrumentation Engineers (SPIE), 2011.
[5] C.-C. Liu, C. J. Thode, P. A. R. Delgadillo, G. S. W. Craig, P. F. Nealey, and R. Gronheid, "Towards an all-
track 300 mm process for directed self-assembly," Journal of Vacuum Science & Technology B:
Microelectronics and Nanometer Structures, vol. 29, pp. 06F203-6, 2011.
[6] S.-M. Park, C. T. Rettner, J. W. Pitera, and H.-C. Kim, "Directed Self-Assembly of Lamellar Microdomains of
Block Copolymers Using Topographic Guiding Patterns," Macromolecules, vol. 42, pp. 5895-5899, 2009.
[7] F. A. Detcheverry, D. Q. Pike, P. F. Nealey, M. Muller, and J. J. de Pablo, "Monte Carlo Simulation of Coarse
Grain Polymeric Systems," Physical Review Letters, vol. 102, May 2009.
[8] L. Liebmann, S. Mansfield, G. Han, J. Culp, J. Hibbeler, and R. Tsai, "Reducing DfM to practice: the
lithography manufacturability assessor," San Jose, CA, USA, 2006, pp. 61560K-12.

Proc. of SPIE Vol. 8323 83230X-7


Downloaded From: https://www.spiedigitallibrary.org/conference-proceedings-of-spie on 08 Jul 2023
Terms of Use: https://www.spiedigitallibrary.org/terms-of-use

You might also like