You are on page 1of 64

ETCHING

OUTLINES

• Introduction

• Basic Etching Mechanisms

• Wet etching

• Dry etching (plasma etching)

• Figures of Merit of etching


Introduction UV Light

Mask

• Etching: selectively dissolving the Photoresist


SiO2

surface of(semiconductor, metal, or Si

oxide, etc.) with a solvent, laser, or Lithography

steam of electrons Etching

• It is the process step followed by


SiO2

Lithography. Si

Etched structure
Introduction

• In etching process

a) Removing the photoresist exposed to UV light

b) Remove the exposed surface

c) Remove the remaining photoresist

Photoresist Photoresist

SiO2 SiO2 SiO2

Si Si Si

a b c
Basic Etching Techniques

• Wet chemical etching:

– By Immersing the wafers in to chemical solution exposed


films(through pattern transfer) etched away

– It is isotropic in nature.

• Dry etching or Plasma Etching:

– Etching can be done by creating plasma.

– It is anisotropic in nature.
Sio2 SiO2

Si Si

Wet chemical etching Dry etching


Wet Etching
wet chemical etching involves three essential steps:
• Transportation of reactants to the reacting surface (e.g. by
diffusion)
• Chemical reactions at the surface
• Transportation of the products from the surface (e.g. by
diffusion)

Reactants Reaction
By products

film

semiconductor
solution
Wet Etching

• For silicon, the most commonly used etchants are mixtures


of nitric acid (HNO3) and hydrofluoric acid (HF) in water or acetic
acid (CH3COOH)

• At very high HF and low HNO3 concentrations, the etching


rate is controlled by HNO3, because there is an excess amount of
HF to dissolve any SiO2 formed.

• At low HF and high HNO3 concentrations, the etch rate is


controlled by the ability of HF to remove SiO2 as it is being
formed.
The reaction is initiated by promoting silicon from its initial oxidation
state to a higher oxidation state:
Si + 2h+ → Si2+
The holes (h+) are produced by the following autocatalytic process:
HNO3 + HNO2 → 2NO2- + 2h+ + H2O
2NO2- + 2H+ → 2HNO2
Si2+ combines with OH- (formed by the dissociation of H2O) to form
Si(OH)2 which subsequently liberates H2 to form SiO2:
Si(OH)2 → SiO2 + H2
SiO2 then dissolves in HF:
SiO2 + 6HF → H2SiF6 + H2O
The overall reaction can be written as:

Si + HNO3 + 6HF → H 2SiF6 + HNO 2 + H 2 O + H 2


Etchants for Insulators and Conductors.
Material Etchant Composition Etch Rate
28 ml HF

SiO2 170 ml H2O Buffered HF 100 nm/min


113 g NH4F
15 ml HF

10 ml HNO3 P − Etch 12 nm/min


300 ml H2O
Si3N4 Buffered HF 0.5 nm/min
H3PO4 10 nm/min
Al 1 ml HNO3 35 nm/min
4 ml CH3COOH
4 ml H3PO4
1 ml H2O
Au 4 g KI 1 µm/min
1 g I2
40 ml H2O
Mo 5 mg H3PO4 0.5 µm/min
2 ml HNO3
4 ml CH3COOH
150 ml H2O
Pt 1 ml HNO3 50 nm/min
7 ml HCl
8 ml H2O
Wet Etch Processes
(1) Silicon Dioxide Etch rate (A/min)

To etch SiO2 film on Si, use 6:1 BOE


1200
HF + H2O
650
SiO2 + 6HF ® H2 + SiF6 + 2H2O

18 26 T (oC)

Note: HF is usually buffered with NH4F to maintain [H+] at


a constant level (for constant etch rate). This HF buffer
is called Buffered Oxide Etch (BOE)
NH4F ® NH3 + HF
Wet Etch Processes (cont.)

(2) Silicon Nitride


To etch Si3N4 film on SiO2, use
H3PO4
(phosphoric acid)
(180oC: ~100 A/min etch rate)

Typical selectivities:
–10:1 for nitride over oxide
–30:1 for nitride over Si
Wet Etch Processes (cont.)
(3) Aluminum
To etch Al film on Si or SiO2, use
H3PO4 + CH3COOH + HNO3 + H2O
(phosphoric acid) (acetic acid) (nitric acid)
(~30oC)

6H+ + 2Al ® 3H2 + 2Al3+


(Al3+ is water-soluble)
Wet Etch Processes (cont.)

(4) Silicon
(i) Isotropic etching
Use HF + HNO3 + H2O
3Si + 4HNO3 ® 3SiO2 + 4NO + 2H2O
3SiO2 + 18HF ® 3H2SiF6 + 6H2O
(ii) Anisotropic etching (e.g. KOH, EDP) for single
crystalline Si
Dry etching (Plasma Etching)

• Plasma: It is a collection of electrons, singly and multiply


charged positive and negative ions with neutral atoms and
molecules and molecular fragments

Plasma etching can be done in following ways

1. Physical interaction of plasma at surface

2. Chemical interaction of plasma at surface

3. Ion Enhanced and ion induced etching

4. Reactive ion etching

5. Reactive ion beam etching


RF Powered plasma etch system

RF Power
input

electrodes
Matching
network
plasma Plasma sheaths

RF
Generator wafer

Gas inlet Gas outlet pump


GND
Ar , CF4, O2
Plasma Etching (cntd.)
• The plasma contains

– free electrons

– ionized molecules

– neutral molecules

– ionized fragments

– Free radicals

The high-energy electrons cause a variety of reactions


Plasma Etching (cntd.)

• In CF4 plasmas, there are


– Free electrons
– CF4
– CF3
– CF3+
–F
• CF and F are free radicals and are very reactive
• Typically, there will be 1015 /cc neutral species and 108-
1012 /cc ions and electrons
Plasma Etching (cntd.)

Ionization
Dissociation
CF3+e(-) CF3+ +2e(-)
CF4+ e(-) CF3+F+e(-)
Excitation
Dissociative Ionization CF4+ e(-) CF3+F+e(-)
CF4+ e(-) CF3++F+2e(-)
Recombination
CF3+F+e(-) CF4
F+F F2

Typical reactions present in plasma


Plasma Etching (cntd.)

• Features of this system

– Low gas pressure (1mtorr – 1 torr)

– High electric field ionizes some of the gas (produces


positive ions and free electrons)

– Energy is supplied by 13.56 MHz RF generator

– A bias develops between the plasma and the


electrodes because the electrons are much more
mobile than the ions (the plasma is biased positive with
respect to the electrodes)
Plasma Etching (cntd.)

• Plasma etching has (for the most part) replaced wet


etching

• There are two reasons:

– Very reactive ion species are created in the plasma that


give rise to very active etching

– Plasma etching can be very anisotropic (because the


electric field directs the ions)

• An early application of plasma etching (1970s) was to


etch Si3N4 (it etches very slowly in HF and HF is not very
selective between the nitride and oxide)
Physical interaction of plasma at surface

Sputtering:

Ejection of material from a surface caused by


bombardment by energetic inert ions such as Ar or Xe.

• Important sputtering parameter is yield, no. of ejected


surface atoms per incoming ion at given ion energy.

• Yield changes with the angle of the ion flux

• These ions striking the surface result in the physical


process. The process is much more directional because
the ions follow the field lines
Cntd.

• The heavy ions respond to the average voltage


• The light electrons respond to the instantaneous voltage
• The electrons cross the sheath only during a short period
in the cycle when the sheath thickness is minimum
• During most of the cycle, most of the electrons are turned
back at the sheath edge
• The sheaths are thus deficient in electrons
• They are thus dark because of a lack of light-emitting
electron-ion collisions
Chemical interaction of plasma at surface

• Chemical etching is done by free radicals

• For example The reactive neutral species (free radicals in

many cases) are primarily responsible for the chemical

component
− − −
e + CF4 → CF3 + F + e

• Both are highly reactive

• F wants 8 electrons rather than 7 and reacts quickly to

find a shared electron


Cntd.

• The idea is to get the free radical to react with the


material to be etched (Si, SiO2)

• The byproduct should be gaseous so that it can be


transported away (next slide)

• The reaction below is such a reaction


4F + Si → SiF4
Thus, we can etch Si with CF4
Cntd.
Etchant (free radical ) By product
creation removal
Etchant
transfer

Etchant Etchant/film
adsorption reaction

Chemical interaction at the surface


Cntd.
• Gas additives can be used to increase the production of
the reactive species (O2 in CF4)

• The chemical component of plasma etching occurs


isotropically

• This is because

– The arrival angles of the species is isotropic

– There is a low sticking coefficient (which is more


important)
Cntd.
• The sticking coefficient is

Freacted
Sc =
Fincident
A high sticking coefficient means that the reaction
takes place the first time the ion strikes the surface

• For lower sticking coefficients, the ion can leave the


surface (usually at random angles) and strikes the
surface somewhere else
Cntd.

• One would guess that the sticking coefficient for


reactive ions is high

• However, there are often complex reactions chained


together. This complexity often means low sticking
coefficients

• Sc for O2/CF4 on Si is about 0.01

• This additional “bouncing around” of the ions leads to


isotropic etching
Cntd.

Reactive neutral species


Ion species
+ + + + + +

Fluxes of species in plasma etching (physical and chemical)


Ion-Enhanced Etching

• In this process the ions and the reactive neutral species


together contribute to the etching of the film.

• The classic example is etching of Si with XeF2 and Ar+


ions are introduced.

• Here the motion of the ions are assumed to be vertical,


but in fact thermal velocity and velocity obtained due
to collisions limits this assumption.
Ion-Enhanced Etching
Ion-Enhanced Etching

• The shape of the etch profiles are interesting


• The profiles are not the linear sum of the profiles from
the two processes
• The profile is much more like the physical etch alone (c)

More directional etching

Isotropic Anisotropic Completely


Anisotropic
Ion-Enhanced Etching

• If the chemical component is increased, the vertical


etching is increased, but not the lateral etching
• The etch rate is also increased
• The mechanisms for these effects are poorly
understood
• Whatever the mechanism, the enhancement only
occurs where the ions hit the surface
• Since the ions strike normal to the surface, the
enhancement is in this direction
• This increases the directionality
Ion-Enhanced Etching
Ion-Enhanced Etching
• Possible models include

– Enhancement of the etch reaction

– Inhibitor removal

• The reaction takes place only where the ions strike the
surface

• Since the ions strike normal to the surface, removal is


thus only at the bottom of the well

• It is assumed that etching by radicals (chemical etching) is


negligible
Ion-Enhanced Etching

• Note that even under these assumptions, the side


walls may not be perfectly vertical

• Note that an inhibitor can be removed on the bottom,


but not on the sidewalls

• If inhibitors are deliberately deposited, we can make


very anisotropic etches

• If the inhibitor formation rate is large compared to the


etch rate, one can get non-vertical walls (next slide)
Reactive Ion Etching (RIE)

RF Parallel-Plate
13.56 ~ plasma Reactor
MHz
wafers

Plasma generates (1) Ions


(2) Activated neutrals

Enhance chemical reaction


RIE Etching Sequence
gas flow

5
1

diffusion of diffusion of by product


reactant desorption
2 3 4
X
chemical
reaction gaseous by products
absorption

Substrate
Volatility of Etching Product

* Higher vapor pressure  higher volatility

e.g. Si + 4 F → SiF4 
*
(high vapor pressure)

e.g. Cu + Cl → CuCl(low vapor pressure)

Example

Difficult to RIE Al-Cu


alloy with high Cu content
Types of Plasma Systems

• Several different types of plasma systems and modes of


operation have been developed

– Barrel etchers

– Parallel plate systems (plasma mode)

– Parallel plate systems (reactive ion mode)

– High density plasma systems

– Sputter etching and ion milling


Barrel Etchers
• Barrel etchers were one of the earliest types of systems

• VT has a small one

• Here, the electrodes are curved and wrap around the


quartz tube

• The system is evacuated and then back-filled with the


etch gas

• The plasma is kept away from the wafers by a perforated


metal shield
Barrel Etchers

• Because the ions and plasma are kept away from the
wafers, and the wafers do not sit on either electrode, there is
NO ion bombardment and the etching is purely chemical
Barrel Etchers

• Because the etches are purely chemical, they can be


very selective (but is almost isotropic)

• The etching uniformity is not very good

• The systems are very simple and throughput can be high

• They are used only for non-critical steps due to the non-
uniformity

• They are great for photoresist stripping


Parallel Plate Systems
• Parallel plate systems are commonly used for etching
thin films
RF Power
input

Matching electrodes
network plasma

RF
Generator
wafer

GND Gas outlet


pump
Parallel Plate Systems

• This system is very similar to a PECVD system except


that we use etch gases instead of deposition gases

• These systems are much more uniform across the wafer


than the barrel etcher

• The wafers are bombarded with ions due to the voltage


drop.

• If the plates are symmetric (same size) the physical


component of the etch is found to be rather small and one
gets primarily chemical etching
Parallel Plate Systems
• By increasing the energy of the ions (increasing the
voltage) the physical component can be increased

• This can be done by decreasing the size of the electrode


on which the wafers sit and changing which electrode is
grounded

• In this configuration, we get the reactive ion etching (RIE)


mode of operation

• Here, we get both chemical and physical etching

• By lowering the gas pressure, the system can become


even more directional
High-Density Plasma Etching

• This system is becoming more popular

• These systems separate the plasma density and the ion


energy by using a second excitation source to control the
bias voltage of the wafer electrode

• A different type of source for the plasma is used instead of


the usual capacitively coupled RF source

• It is non-capacitively coupled and generates a very high


plasma density without generating a large sheath bias
High-Density Plasma Etching

Inductive
supply Dielectric
window

RF
Bias supply

Gas inlet
High-Density Plasma Etching

• These systems still generate high ion fluxes and etch


rates even though they operate at much lower pressures
(1—10 mtorr) because of the higher plasma density

• Etching in these systems is like RIE etching with a very


large physical component combined with a chemical
component involving reactive neutrals

• They thus give reasonable selectivity


Sputter etch system

Anode

Cathode

RF

Sputtering Gas Vacuum


inlet (Ar )
Problems associated with sputter etching
Remote Plasma Reactors

Plasma Sources e.g. quartz


(1) Transformer plasma coils
Coupled
Plasma
(TCP) wafers

(2) Electron
Cyclotron -bias
Pressure
Resonance pump1mTorr 10mTorr
(ECR) bias~  1kV
Etch Process - Figures of Merit

• Etch rate

• Etch rate uniformity

• Selectivity

• Anisotropy
dm
Bias and anisotropy
etching mask

hf film

substrate Bias B  d f − dm
df
Complete Isotropic Etching
dm
Vertical Etching = Lateral Etching Rate
B = 2 × hf
Complete Anisotropic Etching
substrate Lateral Etching rate = 0
df B=0
Degree of Anisotropy

rlat: lateral etch rate


rver: vertical etch rate

Af: degree of isotropy


rlat
Af  1 −
rver
0  Af  1

isotropic anisotropic
Etching Selectivity S
rA (vertical etching velocity of materal A)
S AB =
rB (vertical etching velocity of materal B)

Wet Etching
S is controlled by:
chemicals, concentration, temperature

RIE
S is controlled by:
plasma parameters, plasma chemistry,
gas pressure, flow rate & temperature.
Selectivity Example
SiO2
Si

SiO2/Si etched by HF solution

SSiO2, Si Selectivity is very large ( ~ infinity)

SiO2/Si etched by RIE (e.g. CF4 plasma)

SSiO2, Si Selectivity is finite ( ~ 10 )


Uniformity

(a) Film thickness variation across wafer


h f (max ) = h f  ( 1 + d )
Thickness variation factor
Nominal thickness
•The variation factor d is dictated by the deposition method,
deposition equipment, and manufacturing practice.

(b) Film etching rate variation


variation factor
rf (min ) = rf (1 −  f )
Worst − case etching time required to etch the film

=
h f (max )
=
hf

(1+ d )
rf (min ) rf (1 −  )
f
Drawbacks of Wet Etching

• Lack of anisotropy

• Poor process control

• Excessive particulate contamination

=> Wet etching used for noncritical feature


sizes
How to Control Anisotropy ?
1) ionic bombardment to damage expose surface.
2) sidewall coating by inhibitor prevents sidewall etching.
How to Control Selectivity ?
Rate SiO2
S=
Rate Si
E.g. SiO2 etching in CF4+H2 plasma
S
Rates P.R.
SiO2
Si
SiO2
Si H2%
%H2 in (CF4+H2)
F + H → HF  F content
* *

Reason:
 SiF4 
Example: Si etching in CF4+O2 mixture
Reason:

Rates (1)O + CFx → COFx + F *


Si
F * increases Si etching rate
1
2
(2)Si + O2 → SiO2  rate

%O2 in CF4

Poly-Si
Oxide
Example: RIE of Aluminum Lines
It is a three-step sequence :
1) Remove native oxide with BCl3
2) Etch Al with Cl-based plasma
3) Protect fresh Al surface with thin oxidation
2 Cl2-based RIE

1 BCl3 P.R.
native Al2O3
Al

3 Form oxide again (gently)


Al Al
Summary of different etch systems
Sputter etching
and ion beam
Physical
etching process

High density
plasma etching
Anisotropy
Selectivity
pressure

Energy

Reactive ion
etching

Plasma etching

Wet chemical Chemical


etching process

You might also like