You are on page 1of 10

FEATURE ARTICLE

Interconnects for DNA, Quantum, In-Memory,


and Optical Computing: Insights From
a Panel Discussion
Amlan Ganguly , Rochester Institute of Technology, Rochester, NY, 14623, USA
Sergi Abadal cnica de Catalunya, 08034, Barcelona, Spain
, Universitat Polite
Ishan Thakkar , University of Kentucky, Lexington, KY, 50506, USA
Natalie Enright Jerger , University of Toronto, Toronto, ON, M5S 3G4, Canada
Marc Riedel, University of Minnesota, Minneapolis, MN, 55455, USA
Masoud Babaie , Delft University of Technology, 2628 CD, Delft, The Netherlands
Rajeev Balasubramonian, University of Utah, Salt Lake City, UT, 84112, USA
Abu Sebastian , IBM Research, 8803, Zurich, Switzerland
Sudeep Pasricha , Colorado State University, Fort Collins, CO, 80523, USA
Baris Taskin , Drexel University, Philadelphia, PA, 19104, USA

The computing world is witnessing a proverbial Cambrian explosion of emerging


paradigms propelled by applications, such as artificial intelligence, big data, and
cybersecurity. The recent advances in technology to store digital data inside a
deoxyribonucleic acid (DNA) strand, manipulate quantum bits (qubits), perform logical
operations with photons, and perform computations inside memory systems are ushering
in the era of emerging paradigms of DNA computing, quantum computing, optical
computing, and in-memory computing. In an orthogonal direction, research on
interconnect design using advanced electro-optic, wireless, and microfluidic technologies
has shown promising solutions to the architectural limitations of traditional von-
Neumann computers. In this article, experts present their comments on the role of
interconnects in the emerging computing paradigms, and discuss the potential use of
chiplet-based architectures for the heterogeneous integration of such technologies.

M
oore’s law has conventionally enabled efficiency, which cannot be fulfilled by using traditional,
increasing integration; however, fundamen- complementary metal–oxide–semiconductor (CMOS)-
tal physical limitations have slowed the rate implemented, von-Neumann computing systems. There-
of transition from one technology node to the next, and fore, to meet these computational demands, workload-
the costs of new fabrication facilities are exponentially specific accelerator chips that are implemented using
increasing. On the other hand, modern workloads, such emerging, beyond-Moore computing paradigms have gar-
as machine learning, have a seemingly insatiable appetite nered an increased attention. In particular, workload-spe-
cific chips based on computing paradigms, such as
for more compute and memory bandwidth. These work-
deoxyribonucleic acid (DNA) computing and storage,
loads also demand extreme-scale computational energy
quantum computing, optical computing, and in-memory
computing (IMC) have been shown to provide disruptive
benefits.
0272-1732 ß 2022 IEEE
We envision that as the fabrication techniques for
Digital Object Identifier 10.1109/MM.2022.3150684
Date of publication 14 February 2022; date of current version implementing accelerator chips based on novel com-
18 May 2022. puting paradigms mature, it will be possible to integrate

40 IEEE Micro Published by the IEEE Computer Society May/June 2022


Authorized licensed use limited to: UNIVERSIDADE FEDERAL DE MINAS GERAIS. Downloaded on October 07,2022 at 12:08:46 UTC from IEEE Xplore. Restrictions apply.
FEATURE ARTICLE

FIGURE 1. Interposer-based heterogeneous integration of multiple emerging computing paradigms through wired, optical, micro-
fluidic, and wireless interconnect technologies. (a) Top view. (b) Side view.

discrete chips (or chiplets, as they are often called) from open: What should be the target bandwidth, energy,
disparate technology domains and computing para- and end-to-end latency for the networks? Which inter-
digms to create chiplet-based heterogeneous systems. connect technologies can be utilized to achieve the
For instance, Figure 1 illustrates our visualization of performance targets of the networks? Should the net-
such a heterogeneous system using an interposer as works be heterogeneous, requiring interdomain and
the integration platform. The interposer is essentially a intertechnology conversion of data signals? What
large die that has minimal logic but abundant wiring novel, modular topologies can be tailored to different
resources,1 which can be utilized to provide very high interchiplet communication patterns? What inter-
bandwidth connections between chiplets (that can be technology compatibility requirements and critical
integrated on the interposer as a socket). This type design challenges should be addressed?
of interposer-integrated, chiplet-based heterogeneous The abovementioned questions were discussed in
computing systems provide benefits, such as design a moderated panel at the 13th Workshop on Network-
flexibility to integrate multiple computing paradigms on-Chip Architectures (NoCArc 2020). In this article,
with different emerging interconnect technologies, the speakers and moderators of the panel discuss var-
such as wireless, silicon photonics, and microfluidics, ious emerging computing paradigms and their vision
leading to improved computational capacity and energy of a possible interconnection system that can enable
efficiency, as delivered by the accelerators based on the the realization of heterogeneous systems with the dif-
aforementioned new computing paradigms. ferent paradigms (see Figure 1). We hope that these
Despite these benefits, however, such heteroge- questions and our radically aggressive vision of a
neous computing systems open up new research future heterogeneous computer will engender new
problems in interconnect design. In fact, because research interest for the efficient design of interchip-
accelerator chiplets do not have components, such let interconnection networks.
as branch predictors and complex instruction deco-
ders, data movement is an even larger bottleneck
in them.2 Therefore, interconnect innovations can EMERGING COMPUTING
have a much larger impact in such systems. Moreover, PARADIGMS: PROMISES AND
from the perspective of interposer-based integration INTERCONNECTION NEEDS
of such heterogeneous systems, several research Next, we discuss the performance promises and inter-
questions regarding the design and implementation connection requirements of various emerging com-
of inter-chiplet interconnection networks remain puting paradigms and architectures.

May/June 2022 IEEE Micro 41


Authorized licensed use limited to: UNIVERSIDADE FEDERAL DE MINAS GERAIS. Downloaded on October 07,2022 at 12:08:46 UTC from IEEE Xplore. Restrictions apply.
FEATURE ARTICLE

DNA Storage and Computing researchers have built DNA systems that perform many
Ever since Watson and Crick first described the molec- forms of computation: combinatorial search, signal proc-
ular structure of DNA, its information-bearing poten- essing, arithmetic, and more.3 The goal is not the compu-
tial has been apparent to computer scientists. For tation per se, but rather to construct the biological
decades, the idea of using DNA to store information equivalent of embedded controllers: molecular systems
for man-made computing systems was speculative engineered to perform useful computation where it is
and futuristic. Given its maturity, displacing conven- needed, for instance for drug delivery and for monitoring
tional computer storage systems still seems far- the effectiveness of drug therapy.
fetched. And yet, spurred by the healthcare industry, As DNA storage is coming online, DNA computing
the technology for both sequencing (reading) and syn- has reoriented itself in a way relevant to the scenario
thesizing (writing) DNA has followed a Moore’s law- at hand. Instead of just storing data, there is the impe-
like trajectory for the past 20 years. Sequencing three tus to perform in-memory computation in order to
billion nucleotides in a human genome can be done avoid having to sequence (read) the DNA, compute in
for less than $1,000. Synthesizing a megabyte of DNA the digital domain, and then synthesize (write) the
data can be done in less than a day. result back in the DNA memory. A particularly promis-
ing approach is to encode data by “nicking” DNA with
editing enzymes, such as PfAgo and CRISPR-Cas9.4
Data can be stored on potentially long DNA strands,
WE ENVISION THAT AS THE FABRICATION divided into “registers,” each storing a single bit. Nicks
TECHNIQUES FOR IMPLEMENTING and denaturing create open toeholds in each register
ACCELERATOR CHIPS BASED ON NOVEL and, then, toehold-mediated strand displacement3 is
COMPUTING PARADIGMS MATURE, IT used to implement computation on the stored values.
WILL BE POSSIBLE TO INTEGRATE Biocompatibility of DNA storage and compute devices
DISCRETE CHIPS (OR CHIPLETS, AS THEY is yet another benefit of this technology.
ARE OFTEN CALLED) FROM DISPARATE Interconnection needs: Perhaps the biggest chal-
TECHNOLOGY DOMAINS AND lenge facing DNA computing and storage, in the long
COMPUTING PARADIGMS TO CREATE term, is interconnecting it with other forms of compu-
CHIPLET-BASED HETEROGENEOUS tation and storage. None of these systems will be
plug-and-play. From handling liquid, to supplying
SYSTEMS.
chemical reagents, to disposing of waste, DNA sys-
tems will not only have different footprints, they will
operate on very different signals (i.e., molecular/bio-
In a highly influential science paper in 2012, the chemical signals compared to electrical signals of
renowned Harvard genomicist George Church made traditional computers), and at different time scales
the case for DNA storage purely based upon phy- (e.g., at hours scale compared to nanoseconds scale
sical limits. He delineated the storage capacity of for traditional computers). However, the biocompati-
DNA: around 200 petabytes/gram (PB/g); the read– bility of the DNA technologies and ultrahigh density,
write speed: less than 100 ms/bit; and, most impor- small footprint, and low power mean that when suc-
tantly, the power usage: as astonishingly little as 10-10 cessfully integrated with a heterogeneous system, as
watts/gigabyte (W/GB), hence orders of magnitude envisioned here, it can augment human experiences
below the fJ/bit barrier targeted by other emerging and memory in unprecedented ways. In the future
technologies described in the following. Moreover, world of augmented realities, DNA storage and com-
DNA is stable for decades, perhaps even millennia, at puting can be predicted to play a key role.
room temperature, as DNA extraction from mammoths
can attest. Therefore, DNA storage systems could out-
perform not only magnetic and electronic systems, but Quantum Computing
any realistic physical system that has been studied, Quantum computers (QCs) exploit the superposition
and its chip integration could provide spectacular ben- and entanglement phenomena of individual particles to
efits in perpetual or hard-to-access systems. tackle classically intractable computational problems.
With respect to computation, whereas electronic Such a fundamental change of rules of computing is
systems perform computation in terms of voltage, expected to lead to exponential speedups in critical
molecular systems perform computation in terms of tasks, such as deep learning or combinatorial optimiza-
molecular concentrations. Following this principle, tion, which are not achievable with any other technology.

42 IEEE Micro May/June 2022


Authorized licensed use limited to: UNIVERSIDADE FEDERAL DE MINAS GERAIS. Downloaded on October 07,2022 at 12:08:46 UTC from IEEE Xplore. Restrictions apply.
FEATURE ARTICLE

FIGURE 2. (a) Example circuit performing in-memory dot products. (b) Mapping of a neural network on an array of IMC cores.
(c) Example dataflow. (d) Interconnect topologies in a ScaleDeep system.

A QC consists of a set of quantum bits (qubits) at Interconnection needs: Even considering an optimis-
extremely low temperatures to store/process the tic 0.1% error per qubit operation, to achieve an error
information, and a classical electronic system to con- rate of 10–15, a logical qubit will need 10,000 physical
trol and read out the qubits’ state. Currently, QCs con- qubits.5 Hence, error correction implies moving 10 Gb/s
tain tens of qubits that are connected to electronic of classical data per logical qubit from the CPU to the
modules at room temperature through hundreds of QC. To host the minimum target of 100 logical qubits, an
coaxial cables.5 However, this approach is not scalable interconnect sustaining 1 Tb/s with end-to-end latency
due to the sheer interconnect complexity and poor of less than 10 ns will be needed. Moreover, although
system reliability. Given that the simplest nontrivial room temperature qubits are being investigated, cur-
algorithms require more than 100 logical qubits, scal- rently such high-speed interconnects must operate
ability is thus a very important problem, which would inside dilution fridges where the cooling power is
possibly require electronics to be placed in close prox- extremely limited, enforcing a stringent target energy
imity with the qubits at a similar temperature. Another requirement of a few fJ/bit. Furthermore, the support of
alternative to enhance scalability is to interconnect quantum-coherent transfers of the qubits’ states
multiple QC cores in an analogy to classical multicore between multiple QC cores would be desirable, but it is
computers. not achievable with standard interconnects.
The reliability of existing qubit technologies is typ-
ically not sufficient to be used as computational
qubits directly. It is thus indispensable to use quan- In-Memory Computing
tum error correction algorithms wherein a logical IMC is an emerging paradigm where certain compu-
qubit is encoded into several physical qubits. This tational tasks are performed in the memory itself by
correction loop demands a readout time much exploiting the physical attributes of the memory
shorter than the qubit decoherence time. With cur- devices, their array-level organization, the peripheral
rent technologies, this implies reading out each qubit circuitry, and the control logic. In recent years, both
with 1-Mb/s rate.6 charge- and resistance-based memory (memristive)

May/June 2022 IEEE Micro 43


Authorized licensed use limited to: UNIVERSIDADE FEDERAL DE MINAS GERAIS. Downloaded on October 07,2022 at 12:08:46 UTC from IEEE Xplore. Restrictions apply.
FEATURE ARTICLE

FIGURE 3. Example of silicon photonic computing. A photonic neuron implementation based on the noncoherent broadcast-
and-weight protocol.7

devices have been employed for IMC [e.g., the mem- capable of approaching 1-Tb/s internal bandwidth (as in
ristive crossbar-based dot-product engine shown in the Micron HMC) or 10 TOPS/mm2 (with memristive dot-
Figure 2(a)]. Although IMC has found applications in product engines). Moreover, to address the require-
a wide range of areas (including high-precision scien- ments of latency-critical AI workloads, the interconnects
tific computing, low-precision stochastic computing, for IMC systems should provide low end-to-end latency
signal processing, and optimization), one of the most of 10–100 ns.
prominent application domains for IMC is deep learn-
ing. For example, to perform deep learning inference, Optical Computing
the pretrained synaptic weights are mapped to an Optical computing refers to the paradigm where compu-
array of IMC cores [see Figure 2(b)] where each core tational operations, such as matrix–vector multiplica-
performs the dot products corresponding to each tions, can be performed entirely in the optical domain. By
layer. Over the past few years, not only memristor communicating, detecting, and processing information
crossbar arrays, but also 1T1R/1T1C types of volatile directly in the optical domain, silicon photonics-based
and nonvolatile random access memories [static ran- processors have the potential to provide very high foot-
dom access memory (SRAM), dynamic random print efficiencies in the hundreds of TMAC/s/mm2 with
access memory (DRAM), resistive random access energy efficiencies of sub-fJ/MAC.9,10
memory, and phase change random access memory] Figure 3 shows an example of a photonic computa-
have been utilized to demonstrate IMC. tion unit to illustrate the principles of optical computing.
Interconnection needs: Let us consider an example The computation unit is a noncoherent photonic neuron
application domain of deep learning. The communica- configuration, relying on multiple wavelengths of light to
tion fabric needed to feed the IMC cores with data or to perform parallel processing. The figure shows n neurons
facilitate the efficient movement of activations from one in a layer, with the colored-dotted box representing a sin-
IMC core to another plays an oversized role in both mem- gle neuron. With appropriate orchestration of the
ristor- and SRAM-based implementations of IMC. Both involved photonic components (see Figure 3), a weighted
are more amenable to highly pipelined dataflows, and summation of input optical signals can be achieved in
this opens up new research directions. For example, an each neuron. After summation, nonlinearity in the neu-
accelerator based on a communication fabric with a ron can be implemented with optoelectronic devices,
five-parallel prism topology can achieve is a remarkable such as excitable lasers or electroabsorption modula-
throughput of 40,000 images/s for ResNet32 on CIFAR- tors. Although optical computing is still in its infancy,
10 [see Figure 2(b)].8 Moreover, the dataflows of deep there have been some exciting recent developments,
learning applications [e.g., Figure 2(c)] are also amenable such as the unveiling of an optical computing accelera-
to chiplet-based accelerator platforms [see Figure 2(d)], tor for machine learning at HotChips 2020 by a startup
as discussed in detail in the following. One challenge, called LightMatter.
however, is the need to overprovision the communica- Interconnection needs: For optical computing to
tion fabric. Wireless interconnects with their potential reach its true potential, the networks interconnecting
for a plastic topology and multicasting capability could the optical computing units should support > 10-Tb/s
play a key role in this context. IMC implementations are bandwidth, 1–10-ns latency, and < 10-fJ/bit energy.

44 IEEE Micro May/June 2022


Authorized licensed use limited to: UNIVERSIDADE FEDERAL DE MINAS GERAIS. Downloaded on October 07,2022 at 12:08:46 UTC from IEEE Xplore. Restrictions apply.
FEATURE ARTICLE

TABLE 1. Summary of characteristics of emerging computing technologies and their interconnect requirements.

Emerging computing technology


Quantum Optical In-memory DNA
Applications Cryptography, Deep neural Deep neural networks, Ultra-massive
unstructured search, networks, scientific genomics, signal processing, storage of data,
combinatorial optim., computing recomm. systems, data biocompatible
generative chemistry analytics processing,
theranostics
Required 1 Tb/s 10 Tb/s 1 Tb/s 1 Gb/s
bandwidth
Required 1–10 fJ/bit 1–10 fJ/bit 0.1–1 pJ/bit < 1 aJ/bit
efficiency
Required 1–10 ns 1–10 ns 10–100 ns 1 hour
latency
Interconnect Electrical, photonic, and Photonic Electrical, photonic, and Microfluidic,
alternatives wireless wireless photonic, electrical,
and wireless
Analog–digital Depends on the qubit Yes (if computing is Yes (if computing is Yes
conversion? control/readout scheme implemented using implemented using analog
analog data signals) data signals)
Intertechnology Depends on the qubit Depends on whether None, if electrical or From/to the
data readout and quantum the architecture is wireless interconnects are biochemical domain
conversions? state transfer schemes, all-optical used via photochemistry,
which could be optical microfluidics, EM
transduction
Challenges for Cryogenic operation, Thermal and Thermal, analog noise, ADC High error rates,
interconnect thermalization, limited fabrication variations, area/energy/ bandwidth, slow operation,
design cooling power of dilution crosstalk, aging, fabrication challenges, domain conversion,
refrigerator, latency and tuning power, side- DRAM cost, programming waste management.
bandwidth channel attacks interface
Compatibility Compatible with most High-speed optical Implementations vary, but Suitable for long-
requirements memory/storage transceivers at the compatible with most term, massive
from memory/ technologies, though at storage/memory memory/storage storage and
storage cryogenic operation interface technologies biocompatible
subsystems operation

This can be achieved if the optical computing units Figure 1). Table 1 lists the identified design features,
would naturally use photonic interconnects to support which we discuss next.
intra- and interunit communication, without requiring
frequent electrical-to-optical and optical-to-electrical
conversions that limit the computational throughput, Interconnect Architectures and
latency, and energy efficiency of the optical comput- Topologies for Interposer-Integrated
ing core. Chiplet Platforms
Interconnect innovations can have a transformative
impact on our envisioned heterogeneous computing
INTERCONNECT DESIGN FOR system (see Figure 1). The best practice for designing
NEXT-GENERATION interconnects for such systems has been to tailor the
HETEROGENEOUS SYSTEMS interconnect architecture to the specific data movement
Based on the particular promises of various emerging need of the target workload. For example, consider deep
computing paradigms and their specific interconnec- learning training and inference workloads. The represen-
tion needs, we have identified several key features tative kernel in deep learning workloads is the nested for
related to the interconnection network design for our loops shown in Figure 2(c) that perform a convolution.
envisioned heterogeneous computing system (see The for loops can be tiled, their ordering can be

May/June 2022 IEEE Micro 45


Authorized licensed use limited to: UNIVERSIDADE FEDERAL DE MINAS GERAIS. Downloaded on October 07,2022 at 12:08:46 UTC from IEEE Xplore. Restrictions apply.
FEATURE ARTICLE

permuted, and they can be partitioned across compute to potentially aid the successful realization of our envi-
units in different ways; each of these many dataflows sioned heterogeneous system.
exhibits a different data movement pattern and would In the proposed vision, conventional signaling
benefit from a tailored network. It is therefore possible to through a silicon interposer is a faster alternative to
arrive at highly efficient design points by adapting the the traditional printed circuit board, and a less expen-
algorithm and network to be amenable to each other. A sive option than monolithic 3-D integration. This is
similar design approach was utilized in the ScaleDeep because, by being mainly used for interchiplet wiring,
system11 to derive the custom interconnect topologies the interposer can be manufactured in a different
[see Figure 2(d)] for a deep learning training workload in technology node (with a higher yield) than chiplets,
multichip architectures; a methodology that could be which in turn may employ technologies amenable to
generalized to other workloads and architectures. quantum, optical, in-memory, or DNA computing and
For our envisioned heterogeneous system (see DNA storage. Hence, interposers seem like the perfect
Figure 1), unconventional interchiplet interconnect integration platform for systems that incorporate a
topologies are worth exploring given the different data host, multiple accelerator chiplets, along with stacked
reuse and movement patterns across the target DRAMs or DNA storage.
workloads listed in Table 1. The design space is Despite these advantages, interposers have rema-
expected to be even more interesting, as we move to ined underutilized. This can be attributed to importing
larger scale systems in the future that incorporate interconnection architectures and topologies from the
deeper hierarchies and more exotic technologies, e.g., traditional on-chip networks domain, which do not fully
interposer-based interchiplet communication as in exploit the abundant wiring resources of the interposer.
SIMBA, or wafer-scale integration, as in Cerebras. Novel topologies and routing protocols are needed to
To realize our vision of custom chiplet solutions, deliver the overall throughputs necessary to support
the cost of communication between chiplets on an integration of computing and memory chiplets providing
interposer needs to be similar to the cost of communi- the performance of monolithic 3-D integration while
cation within a monolithic system. In reality, band- costing orders of magnitude less. Moreover, con-
width and latency through the interposer or package ventional signaling may fall short in providing the
substrate may be negatively impacted. Clock cross- speed, efficiency, or versatility demanded by het-
ings between chiplets and interposers manufactured erogeneous architectures, leaving space for other
in different processes must be managed. It is clear interposer-compatible interconnect technologies to
that this future heterogeneous interconnection plat- fill this gap, as discussed next.
form has to be modular and networking-based, similar Silicon photonic interconnects: To achieve the target
to a network-on-chip rather than a solely shared- performance values listed in Table 1, silicon photonic
medium-based approach, to support the increasing interconnects appear as an extremely efficient communi-
number of chiplets in the systems of the future.1 Inher- cation substrate, both on-chip or through an interposer.
iting trusted and true techniques (e.g., globally asyn- Moreover, by both communicating and computing in the
chronous and locally synchronous architectures) and optical domain, an entirely new class of intuitive, highly
morphing them into modern incarnations (such as locally energy-efficient (a few fJ/bit) optical computing architec-
technology-domain compatible incarnations), while mini- tures will become viable. Silicon photonic interconnects
mizing the need for interdomain conversion coupled with will also be essential to support the high bandwidths
novel dataflow-aware topologies (e.g., topologies with required for future 3-D stacked memory and IMC archi-
short network diameters and high local connectivity, tectures.12 The stability of most silicon photonic devices
such as small-world graphs), will be the key to addressing at low temperatures and the ability to transport single
these challenges. photons may also make photonic interconnects a very
effective high-bandwidth and even quantum-coherent
communication medium for quantum computing. More-
Promise of Emerging Interconnect over, as recently demonstrated DNA technologies, such
Technologies as photonic DNA memory, and photonic translation of
In this section, we examine the emerging photonic, DNA strands mature, photonic interconnects will enable
wireless, and microfluidic interconnect technologies, efficient photochemical interfaces with DNA computing
which when coupled with novel architectures, as dis- and storage units.
cussed previously and complementing or replacing However, to realize the potential of silicon photonics,
conventional wires within an interposer, as shown in many challenges remain to be overcome. To address
Figure 1, provide critical features and unique benefits them, it will be imperative to take a cross-layer

46 IEEE Micro May/June 2022


Authorized licensed use limited to: UNIVERSIDADE FEDERAL DE MINAS GERAIS. Downloaded on October 07,2022 at 12:08:46 UTC from IEEE Xplore. Restrictions apply.
FEATURE ARTICLE

optimization approach, wherein foundry-true behavioral Cross-Technology Design: Challenges


and energy models of silicon-photonic devices and cir- and Opportunities
cuits inform critical choices for the design of silicon- The interconnection subsystem of our envisioned het-
photonic interconnect architectures. Such a cross-layer erogeneous system will connect the chiplets of various
approach where microring resonator device widths and computing paradigms and memories together. It will con-
layouts are co-designed with device tuning circuits and sist of disparate technologies, potentially using an effi-
router architectures (that aggregate banks of these cient combination of photonic, wireless, and electrical
devices) can achieve much better communication interconnect technologies. We envision implementing
performance and energy efficiency than conventional data communication over the interconnection subsys-
approaches that optimize the photonic device, circuit, tem in the digital domain, for high error tolerance and
and architecture layers separately.7 ease of implementation. But some computing chiplets
Wireless interconnects: A new window of opp- can benefit from implementing the processing in the ana-
ortunity for wireless interconnects has emerged by log domain (e.g., in-memory, optical, and DNA comput-
bridging the horizontal and vertical axes. The vertical ing). Therefore, the interconnection subsystem may
through-silicon-via (TSV)-based antennas (TSV-As) require analog-to-digital and digital-to-analog conver-
can pierce through the interposer within the 2.5-/3-D sion, which can incur undesired area, energy, and
multidie packages and radiate laterally, with the inter- bandwidth overheads (see Table 1). Similarly, while
poser acting as a waveguide with only a 3-dB loss over inter-interconnect-technology data conversion can be
10 mm of distance.13 However, the wireless interface avoided for optical computing chiplets if connected
may incur significant area and power overheads to among each other with optical interconnects, chiplets of
achieve the competitive 10–100-Gb/s rates. Due to all the other computing paradigms will need inter-inter-
this limitation, it becomes challenging to adopt wire- connect-technology data conversions to be transmitted
less interconnects to achieve the target performance through the interconnect subsystems. For example,
needs of quantum computing, optical computing, and memory access from the DNA archives can only be com-
IMC paradigms (see Table 1). Nevertheless, wireless municated to a processing element or another DNA-
interconnects, which do not have physical layouts, are based unit after conversion into the electronic, electro-
perfectly suitable for supporting massive broadcast or magnetic (EM), or photonic domain through micro-
establish fast links between distant chips.13 Due to fluidics. For instance, a conversion from DNA to the
this capability, wireless interconnects can interface photonic domain can be achieved through the use of a
with a massively parallelized DNA memory, to realize photochemical interface where, utilizing the specific tun-
the full potential of the DNA storage technology, or to ability of various fluidic substances, wavelength division
implement an ultrafast control channel across the multiplexing can be achieved. Likewise, the interaction of
entire heterogeneous system, which can result in EM waves with microstructures in microfluidic channels
completely novel memory coherency and other con- can provide a novel optofluidic interface platform for
trol protocols. domain conversion between optical and microfluidics.
Microfluidic interconnects: DNA computing mod- All these insights will guide the future interconnect
ules best communicate among themselves using systems for processing platforms that consist of het-
microfluidic channels,14 as such channels can easily erogeneous technologies. However, it is worth noting
carry reagents necessary for communication among that the coexistence of interdomain and intertechnol-
these chiplets. Microfluidic channels within silicon ogy interconnects may present additional challenges
substrates have been proposed and created for a in some computing paradigms, such as cryogenic tem-
variety of purposes, from liquid cooling to communi- peratures in QCs or existence in biochemical environ-
cation in lab-on-chip devices. Advanced fabrication ments for DNA archives. While optical processors and
techniques like 3-D printing have been shown to optical interconnects can eliminate such interdomain
produce low-cost substrates in polymers with micro- coexistence challenges, it has the challenge of ther-
fluidic interconnect channels. Such techniques can mal drift in tuning. Therefore, designers of the future
be used to create an interposer layer with an array will have the option of choosing from an array of inter-
of microfluidic channels to interconnect chiplets in connect technologies depending on the requirements
its technology domain. This will eliminate the need of the processing chiplets and available resources.
for unnecessary interdomain signal conversion while We envision the future interconnect subsystems
communicating strictly within the DNA domain, for for heterogeneous chiplet-based computers to incor-
example, between a DNA storage and a DNA com- porate a multilayered interposer with electrical, wire-
puter chiplet. less, optical, and microfluidic layers to cater to the

May/June 2022 IEEE Micro 47


Authorized licensed use limited to: UNIVERSIDADE FEDERAL DE MINAS GERAIS. Downloaded on October 07,2022 at 12:08:46 UTC from IEEE Xplore. Restrictions apply.
FEATURE ARTICLE

disparate technologies of the individual chiplets, as memory of friend and colleague Vassos Soteriou.
shown in Figure 1. Intelligent interlayer routing and This work was supported in part by the U.S. NSF CAREER
floor planning needs to be adopted through simple pas- award under Grant CNS-1553264 and in part by the
sage holes to allow the interconnects of different tech- EU H2020 Research and Innovation Programme under
nologies vertically traverse through the interposer Grant 863337.
layers to reach its corresponding layer to enable inter-
chiplet connectivity. The most important challenge for
future designers of such interconnections would be to
achieve the correct balance between power-perfor- REFERENCES
mance goals and overprovisioning of real estate, while 1. A. Kannan, N. E. Jerger, and G. H. Loh, “Enabling
catering to such widely varying demands from the interposer-based disintegration of multi-core
interconnects exemplified by the range of throughputs processors,” in Proc. 48th Annu. IEEE/ACM Int. Symp.
among disparate technologies. Microarchit., 2015, pp. 546–558.
2. Y.-H. Chen, J. Emer, and V. Sze, “Eyeriss: A spatial
architecture for energy-efficient dataflow for
convolutional neural networks,” in Proc. ACM/IEEE 43rd
WE ENVISION THE FUTURE Annu. Int. Symp. Comput. Archit., 2016, pp. 367–379.
INTERCONNECT SUBSYSTEMS FOR 3. D. Soloveichik, G. Seelig, and E. Winfree, “DNA as a
HETEROGENEOUS CHIPLET-BASED universal substrate for chemical kinetics,” Proc. Nat.
COMPUTERS TO INCORPORATE A Acad. Sci., vol. 107, no. 12, pp. 5393–5398, 2010.
MULTILAYERED INTERPOSER WITH 4. S. K. Tabatabaei et al., “DNA punch cards for storing
ELECTRICAL, WIRELESS, OPTICAL, AND data on native DNA sequences via enzymatic nicking,”
MICROFLUIDIC LAYERS TO CATER TO Nature Commun., vol. 11, no. 1, 2020, Art. no. 1742.
THE DISPARATE TECHNOLOGIES OF 5. F. Arute et al., “Quantum supremacy using a
THE INDIVIDUAL CHIPLETS. programmable superconducting processor,” Nature,
vol. 574, no. 7779, pp. 505–510, 2019.
6. B. Patra et al., “Cryo-CMOS circuits and systems for
quantum computing applications,” IEEE J. Solid-State
Circuits, vol. 53, no. 1, pp. 309–321, Jan. 2018.
CONCLUSIONS 7. S. Pasricha and M. Nikdast, “A survey of silicon
In this article, we discussed glimpses of the current photonics for energy-efficient manycore computing,”
state of knowledge in the diverse emerging comput- IEEE Des. Test, vol. 37, no. 4, pp. 60–81, Aug. 2020.
ing paradigms of DNA computing, quantum comput- 8. M. Dazzi, A. Sebastian, T. Parnell, P. A. Francese,
ing, IMC, and optical computing. To live up to their L. Benini, and E. Eleftheriou, “Efficient pipelined
early promise of disrupting the performance bounds execution of CNNs based on in-memory computing
of processing data-centric applications, the massively and graph homomorphism verification,” IEEE
heterogeneous computers based on these emerging Trans. Comput., vol. 70, no. 6, pp. 922–935, Jun. 2021.
paradigms demand for highly efficient and depend- 9. F. P. Sunny, E. Taheri, M. Nikdast, and S. Pasricha, “A
able data provisioning. Initial discussions indicate survey on silicon photonics for deep learning,” ACM J.
that the emerging electro-optic, microfluidic, and Emerg. Technol. Comput. Syst., vol. 17, no. 4, pp. 1–57,
wireless interconnection technologies can meet this 2021.
demand if their full potential can be realized, for 10. J. Feldmann et al., “Parallel convolution processing
which the co-design of processor–interconnection using an integrated photonic tensor core,” Nature,
subsystems holds the key. We also envision the need vol. 589, pp. 52–58, 2021.
for multilayered interposer structures to enable the 11. S. Venkataramani et al., “SCALEDEEP: A scalable
massively heterogeneous computing platforms of compute architecture for learning and evaluating deep
the future. networks,” in Proc. 44th Annu. Int. Symp. Comput.
Archit., 2017, pp. 13–26.
12. I. G. Thakkar and S. Pasricha, “3D-ProWiz: An energy-
ACKNOWLEDGMENTS efficient and optically-interfaced 3D DRAM
The authors would like thank Maurizio Palesi for architecture with reduced data access overhead,”
providing the opportunity to organize this panel at IEEE Trans. Multi-Scale Comput. Syst., vol. 1, no. 3,
NoCArc2020. This article is dedicated to the pp. 168–184, Jul.–Sep. 2015.

48 IEEE Micro May/June 2022


Authorized licensed use limited to: UNIVERSIDADE FEDERAL DE MINAS GERAIS. Downloaded on October 07,2022 at 12:08:46 UTC from IEEE Xplore. Restrictions apply.
FEATURE ARTICLE

13. V. Pano, I. Tekin, I. Yilmaz, Y. Liu, K. R. Dandekar, and simulations. He is a Senior Member of IEEE. Contact him
B. Taskin, “TSV antennas for multi-band wireless at mriedel@umn.edu.
communication,” IEEE J. Emerg. Sel. Topics Circuits
Syst., vol. 10, no. 1, pp. 100–113, Mar. 2020.
14. A. Pfreundt, K. B. Andersen, M. Dimaki, and W. E. MASOUD BABAIE is a tenured assistant professor with the
Svendsen, “An easy-to-use microfluidic interconnection Delft University of Technology, Delft, 2628 CD, The Nether-
system to create quick and reversibly interfaced simple lands. His research interests include wireless communica-
microfluidic devices,” J. Micromech. Microeng., vol. 25, tions and cryogenic electronics for quantum computation.
no. 11, 2015, Art. no. 115010. He is a Member of IEEE. Contact him at m.Babaie@tudelft.nl.

AMLAN GANGULY is an associate professor and department


head of computer engineering with the Rochester Institute of RAJEEV BALASUBRAMONIAN is a professor with the School
Technology, Rochester, NY, 14623, USA. His research interests of Computing, University of Utah, Salt Lake City, UT, 84112,
include wireless interconnects, non-von Neumann computers, USA. His research interests include memory security, and
edge computing, and hardware security. He is a Senior Member accelerators for machine learning and genomics. He is a
of IEEE. Contact him at axgeec@rit.edu. Fellow of IEEE. Contact him at rajeev@cs.utah.edu.

SERGI ABADAL is a distinguished researcher with Universitat ABU SEBASTIAN is a distinguished research staff member
cnica de Catalunya, Barcelona, 08034, Spain. His res-
Polite and a technical manager with IBM Research, Zurich, 8803,
earch interests include graphene antennas, chip-scale wire- Switzerland. His research interests include neuromorphic
less communications, and computer architecture. Contact and in-memory computing, exploratory memory devices, and
him at abadal@ac.upc.edu. nanoscale dynamics and control. He is a Senior Member of
IEEE. Contact him at ASE@zurich.ibm.com.
ISHAN THAKKAR is an assistant professor of electrical
and computer engineering with the University of Kentucky,
SUDEEP PASRICHA is a professor with the Electrical and
Lexington, KY, 50506, USA. His research interests include in-
Computer Engineering Department, Colorado State Univer-
memory computing, optical computing, and interconnects.
sity, Fort Collins, CO, 80523, USA. His research interests
Contact him at igthakkar@uky.edu.
include chip-scale network and memory architectures,
emerging technologies, hardware/software co-design, and
NATALIE ENRIGHT JERGER is a professor and a Canada
cross-layer design optimizations. He is a Senior Member of
research chair in computer architecture with the University
IEEE. Contact him at sudeep@colostate.edu.
of Toronto, Toronto, ON, M5S 3G4, Canada. Her research
interests include networks-on-chip, approximate computing,
and Internet of Things. She is a Fellow of IEEE. Contact her at BARIS TASKIN is a professor with the Electrical and
enright@ece.utoronto.ca. Computer Engineering Department, Drexel University, Phil-
adelphia, PA, 19104, USA. His research interests include
MARC RIEDEL is an associate professor of electrical circuits and systems design and design automation, par-
and computer engineering with the University of Minnesota, ticularly for clocking, networks-on-chip, and HW/SW co-
Minneapolis, MN, 55455, USA. His research interests include design. He is a Senior Member of IEEE. Contact him at
digital design, DNA storage, DNA computing, and molecular taskin@coe.drexel.edu.

May/June 2022 IEEE Micro 49


Authorized licensed use limited to: UNIVERSIDADE FEDERAL DE MINAS GERAIS. Downloaded on October 07,2022 at 12:08:46 UTC from IEEE Xplore. Restrictions apply.

You might also like