You are on page 1of 96

.

3333

DOCUMENT:
Revised curriculum of
department of ECE
Sep 2018

MEKELLE INSTITUTE OF TECHNOLOGY


Department of Electronics and Communication Engineering

This handbook is published by the Department of Electronics and communication engineering to provide
guidance to undergraduates in managing their programs and in selecting courses toward the BSc in Electronics
and communication engineering (BSc in ECE) degree. This booklet supplements information in the MIT website
(See http://www.mitethiopia.edu.et/electronics-and-communication-engineering/). Handbook last updated in
Sep 2018.

Page of 96
Endorsement

This Document is Endorsed By:


The Academic Council of Mekelle University-Mekelle Institute of Technology

Date Endorsed:

Mekelle,
Ethiopia

i
Endorsement

This Document is Endorsed By:


The Senate of Mekelle University

Date Endorsed:

Mekelle,
Ethiopia

ii
Executive summary
With all round demand on the market and dynamics of the world, curriculum revision has been
carried out for the Department of Electronics and communication Engineering at Mekelle Institute
of Technology, Mekelle University. The Review Process was coordinated and carried out by a
review committee established by official decision of the Department Council (DC) of the
Departments Electronics and communication Engineering, Mekelle Institute of Technology,
Mekelle University. The curriculum revision aims to give more emphasis on practical aspect of
engineering education and to develop the capacity of students for innovation and creative works.
Based on the result of the professional profile, necessary inputs from the various stakeholders and
data collected from relevant international university programs were considered to design this BSc
degree curriculum for a full-time five-year program. In order to raise the problem-solving
capability and creativity of the students, in addition to the normal lecture-classes, the teaching and
learning process shall be supported intensively and extensively by laboratories, computer-
simulations and course project works. To maintain the standard and relevance of the education, a
continuous internal and external evaluation system shall be integrated in the program which finally
leads to the international accreditation of the program.

iii
Contents
Executive summary .......................................................................................................................... iii
1. Background ................................................................................................................................. 3
1.1 Mekelle University ................................................................................................................... 3
1.2 Mekelle Institute of Technology ........................................................................................ 4
2. Department of Electronics and communication engineering .............................................. 5
2.1 Contact Information ................................................................................................................ 5
2.2 Undergraduate Program in Electronics anc Communication Engineering .................... 5
2.2.1 Definition ............................................................................................................................ 5
2.2.2 Fundamental Knowledge Required ............................................................................... 6
2.2.3 General Activities and Carrier Choices......................................................................... 6
2.2.4 Potential Employers of Electronics and communication Engineers ......................... 7
2.3 Rationales of the Program ...................................................................................................... 8
2.3.1. Need for curriculum revision ......................................................................................... 9
2.3.2 Key Changes on the Existing Curriculums ................................................................... 9
2.4 Objectives of the program....................................................................................................... 9
2.4.1 General Objectives............................................................................................................ 9
2.4.2 Specific Objectives ..........................................................................................................10
2.5 Basic Abilities and Skills .......................................................................................................10
2.6 Principles of Professionalism ................................................................................................11
3. The Curriculum (Concept and Implementation) ................................................................11
3.1 Structure of the Curriculum.................................................................................................11
3.2 Categories of Courses ............................................................................................................13
3.3 Graduate profile .....................................................................................................................14
3.4 Projects.....................................................................................................................................15
3.5 Admission into the Program .................................................................................................15
3.6 Program Requirements .........................................................................................................15
3.6.1 Examination Requirements ...........................................................................................15
3.6.2 Graduation Requirements .............................................................................................15
3.7 Degree Nomenclature.............................................................................................................16
3.8 Teaching-Learning Methods and Materials .......................................................................16
3.7 Assessment Methods and Quality Assurance .....................................................................16
3.8 Program Composition and Course coding .........................................................................17

Page 1 of 96
3.9 Semester breakdown ..................................................................................................................17
4.Course Descriptions ......................................................................................................................21
a. General Courses ....................................................................................................................21
b. Supportive Courses ...............................................................................................................28
c. Electrical Engineering category ..........................................................................................34
d. Electronics & Communication Engineering category .....................................................40
e. Computer Science and Engineering Specialization .........................................................74
5.Internship and Projects ................................................................................................................85
6. Staff and Facility...........................................................................................................................91

Page 2 of 96
1. Background
1.1 Mekelle University
The two institutes that created fertile ground for the founding
of Mekelle University were Mekelle Business College
(established in 1991) and College of Dryland Agriculture and
Natural Resource (established in 1993). The later was
upgraded to Mekelle University College in 1995 opening
new faculty called Faculty of Science and Technology –
which bore to the current College of Natural and MEKELLE UNIVERSITY
Computational Sciences and the Ethiopian Institute of Is Mandated to Advancing
Technology – Mekelle. Mekelle Business College and
Knowledge via Research-Based
Mekelle University College were merged in 2000 forming
Education, Reliable and Relevant
Mekelle University (MU). 1
Research and Development, and
The University became a full-fledged public Ethiopian Innovation and Technology
university as it was established by Regulations No. 61/1999 Transfer; Preparing Professionals
of the Council of Ministers of the Federal Democratic Fully Equipped with the Required
Republic of Ethiopia (Federal Negarit Gazetta of the Federal
Knowledge, Skills, and Attitudes;
Democratic Republic of Ethiopia (6) 13:1200 – 1205). It is
and Providing Need-Based
mandated to offer high quality under-graduate and graduate
education and training, assist in technology transfer and carry Education, Research and Community
out relevant research and development, and provide need- Services to Promote the Economic
based community services and consultancy. At the Growth and Welfare of the
development of this Policy Document, MU has 15 Ethiopian People.
undergraduate and graduate-degree offering colleges and
institutes in five campuses. 1

Mekelle University is one of the fast growing universities in Ethiopia, geared towards achieving the
development needs of the Country. Currently, it has seven colleges and eight institutes, named as: College
of Business and Economics, College of Dry- land Agriculture and Natural Resources, College of Natural
and Computational Sciences, College of Social Sciences and Languages, College of Law and Governance,
College of Veterinary Sciences, College of Health Sciences, Ethiopian Institute of Technology –Mekelle,
Institute of Pedagogical Sciences, Institute of Paleoenvironment and Heritage Conservation, Institute of
Gender, Environment and Development Studies, Institute of Geo-Information and Earth Observation
Sciences, Institute of Climate and Society, Institute of Water and Environment, and Mekelle Institute of
Technology – this is an institution located in Ainalem Town, some 3 km south of the main campus, joined
to the university very recently. The University has also a University Hospital and centers established mainly
to serve as outlets for community service delivery. The names are: Ayder Referral Hospital, Human Rights
Centre, Legal Aid Centre, Psychological Research and Special Support Centre, Academic and Resource
Centre, Kilite Awlaelo Health and Demographic Surveillance Centre, Model Pharmacy, and Research farms
(Ab’ala, Hageresalam, and Alamata). The colleges and institutes are currently running in five campuses.
The sixth and seventh – namely: Meles Zenawi Campus in Quiha and Balony Sport Academic in Mekelle

1
CBEN Curriculum Catalog, February 2016

Page 3 of 96
– are under construction. The main campus hosts the Colleges of Agriculture and Natural Resources,
Natural and Computational Sciences and all the seven institutes. Mekelle Institute of Technology is hosted
at Ainalem campus. The colleges of Business and Economics, Law and Governance and Social Sciences
are hosted at the Adi Haqi campus. The College of Veterinary Sciences is at the Qalamino campus and the
University Hospital and the College of Health Sciences are hosted at Ayder Campus. The Ethiopian Institute
of Technology – Mekelle shall soon be relocated to Meles Zenawi Campus in Quiha. MU runs regular,
extension, distance and in-service programs. At the moment, it runs over 160 undergraduate and graduate
programs with nearly 30 thousand students. 1

1.2 Mekelle Institute of Technology

Mekelle Institute of Technology was established with the initiation of the Tigrai Development Association
and with the principal support of the Government of
the National Regional State of Tigrai and its stake -
holders in 2002. The Government of the State of
Tigrai and the stakeholders, namely the Endowment
Fund for the Rehabilitation of Tigrai, the Tigrai
Development Association, and the Relief Society of
Tigrai have supported the Institute by providing the V IS IONSTATEM ENT
required funds, infrastructure and resources to be run Become a Center of Excellence in
as private, non-profit higher education institute until Engineering, Science, and Technology
its transfer to Mekelle University in 2012/13 Education and R&D, Thus Enhance the
2
Academic Year. Currently, Mekelle Institute of Realization of Science and Technology-Led
Technology (MIT) runs as autonomous institute National Development
under Mekelle University. M IS S IONSTA TEM ENT
Offer High Quality Education; Undertake
Mekelle Institute of Technology was established to Advanced R&D Programs, and Deliver
contribute its part in alleviating the shortage of Dependable Community Services in
professionals in critical fields of engineering, Engineering, Science, and Technology.
science, and technology. It strives to: G OALS T ATEM ENT
a. Assist the socioeconomic development of Ethiopia Produce Highly Qualified Professionals in
by preparing highly qualified workforce in Engineering, Science, and Technology Who
engineering, science and technology, Can Invent and Innovate, Apply Research,
b. Introduce modern science and technology through and Build Their Own Careers, thus
dedicated scholarship, research and development, Contribute towards the Development of
and good public relations, Ethiopia and the Transformation of
c. Efficiently transfer science and technology within a
Ethiopians to a Self-Reliant and Vibrant
Society.
short period of time, and V S
d. Develop better tradition of running higher
education programs, adaptable to other institutions. It envisions to being a Center of Excellence in
engineering, science, and technology education and research and development to enhance the realization

1
CBEN Curriculum Catalog, February 2016
2
As of March 2012, nearly ETB 65 million was acquired and spent to cover the running cost of MIT. The proportions of the
funders were: TDA (7.3%), REST (2.0%), Government of Tigrai (5.6%), EFFORT (64.0%), private donors (2.8%), and internal
revenue (18.3%).

Page 4 of 96
of science and technology-led development. For this purpose, MIT commits itself to offer high quality
education, undertake advanced research and development, and deliver reliable community services in
engineering, science, and technology.
Mekelle Institute of Technology started operations in four fields of engineering, science and technology,
namely: Computer Science and Engineering, Electronics and Communication Engineering, Electrical
Engineering, and Information Technology. A fifth program, known as Biological and Chemical
Engineering, was launched in October 2009/10. Unlike the traditional single discipline approach in many
undergraduate programs elsewhere, the fields of engineering and technology pioneered in MIT were
developed to be characteristically distinctive in their relevance and scope. The salient feature of each of the
programs is that students graduated from each department shall have an expertise in two distinctive but
related disciplines. For instance, graduates from the department of Electronics and communication
Engineering will be good enough in both Communication engineering and Electronics engineering. This
arrangement helps graduates have better employment opportunities. Another key peculiarity of the
programs is that they have good deal of practical training – where practical lessons account to about 35%
of the total contact hours – as the programs require students to be engaged in extensive, out-of-class, hands-
on activities as well as summer independent projects during their pre-final year and senior projects.

2. Department of Electronics and communication engineering


Departments of Electronics and Communication Engineering is one of the four undergraduate programs
opened in 2002 when Mekelle Institute of
Technology (MIT) was inaugurated. Generally,
Mekelle Institute of Technology (MIT) and
particularly this department, has played its role in V IS IONSTATEM ENT
the all-round development of the country by Become a Leading Center of Education and
training a significant number of Engineers with R&D in Electronics and communication
high quality in their respective fields of study. Engineering in Ethiopia.
M ISSIONSTATEM ENT
2.1 Contact Information Offer High Quality Education, conduct and
Department Head foster Advanced R&D Programs in Electronics
 Office: MIT New Academic Building Room # and communication engineering and publicize our
14 achievements through enduring publications and
Department Secretary via conference participations.
G OALS TATEM ENTS
 Office: MIT New Academic Building Room # To Fulfilling Its Vision and Mission, the
13 Department Strives to Achieve the Following
Staff Offices Broad Goals:
1. to produce the best and most motivated students to
 MIT New Academic Building Second Floor serve the nation and the world.
Room # 1-12. 2. to attract research funds based on advanced
2.2 Undergraduate Program in Electronics anc technical goals with high impact.
Communication Engineering 3. to develop lasting partnerships with industrial and
government agencies.
4. to achieve visibility by active participation in
2.2.1 Definition
conferences and technical and community activities
Engineering is an application of art, mathematical
and scientific theories to practically solve technical problems of the community. Electronics and

Page 5 of 96
Communication engineering involves the transfer of information such as voice, music, data, videos or
graphics, between people or machines at different locations. Generally, ECE deals with a study and
application of electronics and communication. A Professional Electronics and communication engineer is
competent by virtue of his/her fundamental education and training to apply the scientific method and
outlook to the solution of problems and to assume personal responsibility for the development and
application of engineering science and techniques especially in designing, manufacturing, superintending,
research, and managing. An engineer is a person qualified by aptitude, education, and experience to perform
engineering functions.
2.2.2 Fundamental Knowledge Required
Electronics and communication engineers must have a fundamental knowledge in the following areas of
art, science and engineering:
 Excellent communication skills, ethics, effective methods of doing every task, management,
entrepreneurial skills, etc.
 Fundamental knowledge in engineering mathematics.
 Fundamental knowledge in physics, EM fields, semiconductors.
 Knowledge in electronic analogue and digital circuits, signal & system,
measurements, control, microprocessor and communication.
 Knowledge in computer hardware and software.
2.2.3 General Activities and Carrier Choices
In recent times, building a knowledge-based society has become a key priority of the Nation. The national
need for knowledge and expertise in modern information communication technologies (ICT) is becoming
over-whelming. Electronics and communications engineering is a fundamental tool to overcome the socio-
economic and environmental problems, thus improving the quality of life of Ethiopians. It strives to build
national ICT capacity, by introducing advanced and sustainable telecommunication technologies, and
placing appropriate ethical and safety standards in ICT. The fundamental aim of the UG Electronics and
Communications Engineering is, therefore, to: (a) produce electronics and communication engineers who
would be engaged in helping the nation exploit the potential of modern ICT in the various economic sectors,
and (b) serve as a foundation for future, full-fledged graduate ICT programs and R&D works.

Typical careers may find Electronics and engineers in;


a. Designing, Manufacturing and Commissioning
 Designing of required system and /or devices for indigenization (modifying)
 Designing of interface unit to adapt existing devices with new system.
 Modifying existing system to enhance its utilization and production/Manufacturing
 Supervising, modifying and optimizing manufacturing process to improve cost effective yields with
quality.
 Designing new ways using Electronics, Communication Systems & Protocols, control system, etc.
to develop or improve components, software, products, or systems for commercial, industrial,
medical, military, or scientific applications

 Performing detailed calculations to develop manufacturing, construction, and installation standards


and specifications

Page 6 of 96
b. Sales, Consultation and Purchase
 Sales person for National/ International Companies.
 Consulting Companies to optimize the price-performance of systems.
 Defining and justifying the requirements for sales and purchase.
 Working with project managers on production efforts to ensure that projects are completed
satisfactorily, on time, and within budget
 Analyzing customer needs and determine the requirements, capacity, and cost for developing an
electronics or communication system plan
 Investigating complaints from customers or the public, evaluating problems, and recommending
solutions
c. Miscellaneous
 Entrepreneur
 Software development
 Developing maintenance and testing procedures for electronic components and equipment
 Evaluating systems and recommending design modifications or equipment repair
 Inspecting electronic equipment, instruments, and systems to make sure that they meet safety
standards and applicable regulations
 Planning and developing applications and modifications for electronic properties used in parts and
systems in order to improve technical performance
 Collaborating with medical doctors, aviation workers, astronauts, etc. to model and simulate complex
systems,
 Embedding computers in advanced communications and transportation networks, and
 Interacting with other engineers and professionals in the design of new kinds of computational
devices.

2.2.4 Potential Employers of Electronics and communication Engineers


Electronics and communication Engineers can work in various industries/institutions, some of which are
listed below:

 Media and networking companies  Educational institutions


 Telecommunication industries  Insurance and finance sector
 Manufacturing industries  Medical sector
 Aviation and airlines (avionics)  Maintenance and service support industries
 Automobiles  National science and development centres
 Banking sectors  Radio, TV broadcasting services
 Consumer electronics  Research and development centres
 Defence organization  Sales and marketing organizations
 Engineering consultancy company
Depending on the job profile, various job tittles are known for Electronic and Communication Engineer:

Page 7 of 96
 Design engineer
 Project engineer
 Chief engineer
 Quality control engineer
 Reliability engineer
 Test engineer
 Systems design engineer
 Development engineer
 Research engineer

2.3 Rationales of the Program

The diffusion of technology and knowledge is a salient feature in the technological change, innovation
and growth of the modern society. In these days, development of a country mainly depends on the
development of the industries. The development of these sectors significantly depends on the standard of
science, engineering and technical education nurtured by the universities and the technical institutes of the
country. It is observed that most of the developed countries maintain a strong relationship between the
universities and the industries. It is believed that the universities are the gateway of new technologies.
Universities develop new technologies and by co-operating with industries they implement these
technologies to raise the standard and effectiveness of the industry. Universities take the responsibilities to
provide technical human resources for the industries of any country.

The basic rationale for all of the Department’s programs are the prevailing conditions in the Country with
respect to the needs for professionals in this area and the future trends that are developing in the demands
for the profession. The Department has been keenly following the developments in this regard both within
the Country and internationally and the following provide some of the major facts and observations on
which these programs premised.

a) For more than a decade, the Department of Electronics & Communication Engineering, Mekelle
Institute of Technology, has been offering undergraduate training in the their respective disciplines.
Over these years the undergraduate instructions have significantly developed - reflecting both the
national needs and the rapid growth of the discipline - and have now reached a point where
graduates of its undergraduate programs are equipped with sufficient theoretical and practical
skills that would enable them to be engaged in work in the Electronic/ Communication sector of
the national economy.
b) Ethiopia, like many of the developing countries, is essentially a user of products of Electronics
Engineering technology. The current undergraduate programs, which are matured through a series
of evolutionary changes over the years, were designed so as to meet the needs of the main
employers of the graduates in the operation and maintenance of electronic and communication
equipment.
c) It is still logical to maintain the broad nature of the undergraduate curricula that affords the
graduates versatility in terms of employment. But it has now become necessary to look ahead to
the future needs of the Country and provide educational means to meet these needs. The current
revision of the curricula is therefore sought to address these through strengthening all streams.

With the advent of microelectronics, computers and computer-based equipment have found wider
application in industry and other sectors. The declining prices for these equipment have also made them
affordable and therefore accessible to large segments in industry. Computer applications have now been
diversified and the traditional use of the computer in data processing and other computational application

Page 8 of 96
are only a small part of these diverse applications. Furthermore, the convergence of computers &
communication technologies has made it imperative to provide education that imports knowledge & skill
in these two fields concurrently. The Department of Electronics and Communication Engineering is well
placed to offer such a program through its currently revised programs.

This newly revised Electronics and Communication Engineering degree program is a 5-year program,
including industry internship semester, which prepares graduates for careers as professional engineers in
electronics and communication engineering. The training provided should be versatile and enables
graduates to work in research, design, development, manufacturing, quality control, marketing, sales and
technical support, and as entrepreneurs, consultants and teachers. The aim is to produce Electronics and
communication engineers who are flexible across various disciplines; and are able to apply their
knowledge and skills to lead multi-disciplinary teams to solve the increasingly complex problems of the
industry and technology.

2.3.1. Need for curriculum revision


Engineering in general and electronics and communication engineering in particular is a highly dynamic
field of study in that the rapid development of the technology doesn’t allow leaving academic curricula
untouched for a long time. Thus, to minimize the gap between the state of the art and the teaching process
and to maintain the relevance and educational standard, there is always a need for curricula review.
Moreover, we have conducted a nation-wide need assessment before conducting the curriculum review
and we have found out that our graduates lack in industry experience and related with.

2.3.2 Key Changes on the Existing Curriculums


In view of the objective of providing a more practical oriented training, the revised curriculum has been
devised to incorporate:
 a newly added common assessment semester to prepare students for the engineering study.
 a newly added mandatory internship (industry placement) to let the students be exposed to some
practical and industrial experience prior to the completion of their studies is incorporated.
 students will also be exposed to hands-on workshop practice and frequent excursions to various
industries at early stages of the program.
Moreover, new course on Logical Reasoning is also added.

2.4 Objectives of the program


It is being observed that there is a widespread and dynamic change in the application and development in
the field of Electronics and communication Engineering in the country and the world. Therefore, there is
a need for revising the currently running program with respect to the national and international situation.
The Department feels that much is expected from it to bring about changes in the department.
To-day’s Electronics and communication engineers must be able to find solutions to new complex and
challenging technical problems. They must have strong scientific, technical and managerial skills and be
able to integrate technical concepts with practical applications

2.4.1 General Objectives


 to train high level technical manpower with full professional competence in electronics
and communication engineering which can participate in the national development
activities;
 to carry out research & development and technology transfer in the areas of electronics
and communication engineering which are relevant to the needs of the Country;
 to render consultancy services to the community.

Page 9 of 96
2.4.2 Specific Objectives
 To prepare students for career opportunities in industry and public services
 To provide fundamental knowledge in math, sciences, and engineering
 to provide skills for design, test and maintenance of products and systems.
 To provide implicit understanding of the social and ethical responsibilities
 to enable graduates work as a team in addressing technical problems which may
be encountered in industry in real life.
 To provide opportunity for workers in the field of electronics and
communication technology to upgrade their knowledge through a continuing
education and evening program.
 To provide graduates with sufficient background to undertake postgraduate
training in any one of specialized areas of Electronics and communication
discipline.
 To engage students in research that offers optimal solutions to technical
problems in the industry, telecommunications, computer applications and other
industrial sectors.
 To offer consultancy service to government, industry and society.

2.5 Basic Abilities and Skills


Besides the theoretical knowledge in mathematics and sciences, our graduates must develop and
acquire some behavioral and managerial skills. These include:
 Critical Thinking: Using logic and reasoning to identify the strengths and weaknesses of
alternative solutions, conclusions, or approaches to problems.
 Active Learning: Understanding the implications of new information for both current and future
problem solving and decision-making.
 Operation Analysis: Analyzing needs and product requirements to create a design.
 Reading Comprehension: Understanding written sentences and paragraphs in work related
documents.
 Writing Ability: preparing technical papers, text material, and manuals.
 Equipment Selection: Determining the kind of tools and equipment needed to do a job.
 Judgment and Decision-Making: Considering the relative costs and benefits of potential actions
to choose the most appropriate one.
 Instructing: Teaching others how to do something.
 Interpersonal Skill: Co-operative, polite, self-expressive.
 Research and Development Conduction Skills: Capable of doing basic and applied R&D works
in electronics and communication.
 Managerial Skill: Team coordination and leadership quality.
 Entrepreneurial Skill: Capable of transforming engineering knowledge, human resources and
financial arrangement into a useful business to serve the society and him-/her-self.
 Consultancy: Capable of need assessment, system design and specification, technical evaluation,
installation and commissioning.
 Personnel Characteristics/Behaviour: Electronics and communication Engineers need the
following characteristics/ behaviors:
 Able to understand the state of art techniques, devices, software, protocols.
 Proceeding in methodical approach to solve problems.

Page 10 of 96
 Being a team member communicative, cooperative and transparent.
 Working independently, assuming responsibility.
 Leading, motivating and caring professionals.
 Managing the project, productions, manpower and resources cost effectively.
 Learning life-long, improving the skills and exploring new areas.
 Observing national and international standards and regulation.

2.6 Principles of Professionalism


The electronics and communication engineer who works in the industry will encounter
challenging problems in designing systems to meet the requirements of society. For instance,
electronics and communication engineer will focus on delivering reliable, fast and safe
connectivity with in the Telecommunication industry. In order to meet this demand of society as
well as technology, engineers should commit themselves to the highest ethical and professional
conduct. Electronics and communication Engineer upholds and advances the integrity, honor and
dignity of the engineering profession by:
1. Using their knowledge and skill for the enhancement of human welfare;
2. Being honest and impartial, and serving with fidelity the public, their employers and clients;
3. Striving to increase the competence and prestige of the engineering profession;
4. Supporting the professional and technical societies of their disciplines.
5. Dedicating herself/himself to the protection of public health, safety, welfare and environment in
his/her occupation.
6. Accepting and offering honest criticism of technical work, and acknowledging correct errors, and
crediting properly the contributions of others;
7. Treating fairly all persons regardless of such factors as race, religion, gender, disability, age, or
national origin;
8. Avoiding injuring others, their property, reputation, or employment by false or malicious action;
9. Imparting knowledge to the student as a teacher.
10. Assisting colleagues and co-workers in their professional development and professional work.

3. The Curriculum (Concept and Implementation)

3.1 Structure of the Curriculum


The assessment semester is intended to consolidate the students' educational background for
higher learning and further help them to adapt to the system. In the next 9 consecutive semesters,
after the common assessment semester, all the students take courses mainly in the core electronic
and communication engineering courses. This provides them with a broad background in
Electronics and communication engineering.

Common Assessment Semester

The new curriculum begins with one assessment semester for all incoming students to MIT.
The prime objective of the Assessment semester is to help incoming students comprehend the
different fields of engineering and be able to acquire useful orientations for their future career
choices. The assessment semester, will pave the way for the students to begin building their
future career. They will be exposed to the interdisciplinary nature of the Engineering Profession.
They will take different basic courses on mathematics, higher level English language, and social

Page 11 of 96
sciences. There will also be operational course delivered in this semester that will be used as a
foundation for the courses throughout the curriculum.

Internship
In industry internship students, must be attached with an industry for six months in order to get
real world experience in their field of study, which compliments their education. The internship
program takes place during the ninth semester, when the students finish the fourth year of their
study (just before the final semester). The reasons for choosing the 9th semester is;
1. The internship program is a two-way street; meaning both the student and the industry should
benefit from the program. The student can easily understand what is going on in the industry and
contribute to the industry while also learning.
2. The students will have the opportunity to focus on the practical aspects of their interest and will
have the opportunity to bring practical problem that can be a topic of study for their Final project.

The objectives of the internship program, therefore, can be generalized as follows;


 Expansion of knowledge and acquaintance with industry in the field of Electronics and
communication engineering.
 Participation in the development, manufacture and assembly of products typical for the
industry.
 Involvement in the planning, steering and management of the design & implementation and
maintenance of processes.
 Hands-on training in practical skills typical for the industry. Typically, this would-be
participation (individually or within a (task) group) in real assignments/projects, which entail
the application of knowledge and skills attained during their studies at University –and aiming
at developing special skills related to the industrial practice– in one or several of the following
fields (depending on the type of industry and company profile):
- Design and maintenance
- Laboratory (quality monitoring) work
- Task management (labor management, logistics, etc.)
- Business management
- Marketing

During the internship, the daily and monthly working times follow the systems practiced in the
respective industry for the upper middle-level management. Specifically, they follow the system
practiced in the respective company that hosts the student. An advisor will also be assigned for
every student that will follow up the progress of his/her stay in the company and advise the student
while preparing the report of the internship. As a rule, the entire internship period has to be spent
in a single company; a change of company during the internship period will only be permitted
under extraordinary circumstances. In such a case, the decision is with the MIT’s Institute Industry
Linkage Officer and the department Committee.
A seminar organized by the Institute Industry Linkage Officer and the DC, will accompany the
internship semester to present the report of the students’ observations. Participation in the seminar
program is compulsory (mandatory) for the student intending to present their internship report.
At the end of the internship, the student submits to the Institute Industry Linkage Officer and the
Department a comprehensive report, duly endorsed by the student’s host company. The report is

Page 12 of 96
assigned 15 credits. The report will be assessed by specifically assigned university instructors
from the department.

3.2 Categories of Courses


3.2.1 General courses(GC): those courses contain general Humanity & social science courses.
The courses in this category have the following main objectives:
 This module helps the students to know the responsibilities and duties of a good citizen, so that
their participation in the development of democratic society becomes effective;
 To create the power of critical thinking the module provides the techniques & methods for testing
the correctness of many different kind of reasoning including their own and thereby errors are
easily detected;
 To develop effective ways of expressing themselves as well as expressing the technical details in
terms of speaking and writing of English;

 To understand the role of the cost effective methods of doing every task the students are exposed
to the role of economics in society and the role of engineering economy;
 To understand the role of managers, applicable to different departments of various industries.

3.2.2 Supportive courses(SC)


These courses help the student to learn the engineering languages like that of Mathematics and also
provides the fundamental concepts of Physics for the electronics and communication engineering. Those
courses help the students

 To understand and apply the various concepts of calculus, differential equations, Linear algebra
and various engineering series and sequences;
 To understand and express random events for representing the behaviors of signals embedded in
noises;
 To be able to apply various Computational methods for modeling technical operations;
 To understand the fundamental concepts of Statics and Dynamics for engineering applications;
3.2.3 Electronics and communication Engineering category(ECE)
These courses are the heart of the curriculum, which provides foundation for the Electronics and
communication engineering. In this regard, the roles of thus courses are:
 To understand the fundamental concepts of Electronics and communication engineering;
 To be able to understand and analyse basic electronics circuits, communication systems, signal,
and systems and others;
 To learn the digital signal processing techniques and to be able to bring solution to the problems
of real time signal;
 To understand the fundamentals of electronic communication .

3.2.4 Electrical Engineering category(EE)


In this regard, the roles of thus courses are:
 To understand the fundamental concepts of Electrical engineering;
 To be able to understand the concept of various electrical machines, power electronics and
electrical power system;
 To be able to understand and analyze electrical networks

Page 13 of 96
3.2.5 Computer science and engineering category (CSE)
In this regard, the roles of thus courses are:
 To understand the fundamental concepts of Computer science and engineering;
 To be able to understand the concept of computer architecture and programming and able to apply
to real life applications;
 To understand the microprocessor and micro controller and their interfacing and to be able to
handle these hardware;

3.3 Graduate profile


Expected competences from graduates of Electronics and communication engineering

1. Practical skills:
 Demonstrate the understanding of Electronics and Communications engineering fundamentals.

 Develop software in high level languages to solve engineering problems, including PC- and
microprocessor-based ones for real-time monitoring and control systems and digital signal
processing applications.

 Be able to pursue graduate education in Electronics and Communications engineering and related
fields

 Operate basic and complex instruments and tools in Electronics and Communications Engineering.

 Design, test, install, supervise, diagnose and maintain different electronics and comm. equipment
and tools.

 Identify, analyze, plan and manage different communications systems and projects.

 Possess interdisciplinary expertise in Electronics and Communications engineering fields.

2. Soft skills:
 Able to understand the state of art techniques, devices, software, protocols.
 Proceeding in methodical approach to solve problems.
 Being a team member communicative, cooperative and transparent.
 Working independently, assuming responsibility.
 Leading, motivating and caring professionals.
 Managing the project, manpower and resources cost effectively.
 Learning life-long, improving the skills and exploring new areas.
 Observing national and international standards and regulation.
 Exhibit strong analytical and problem-solving skills.
 Critically observe safety rules and occupational hazard prevention techniques.
 Exhibit apposite disposition towards science and technology to positively influence others.
 Design, administer/organize workshops

Page 14 of 96
3.4 Projects
3.4.1 Mini-Project
The 1-credit course (Semester Project) is given to a group of not more than three students in which
the group has to present the results of the semester work to staff of the Department. It is believed
that students get introduced to methods of problem solving, team work and presentation skill
before the Final project.
3.4.2 Industry Internship
In industry internship students must be attached with an industry at least for six months in order
to get real world experience in their field of study, which compliments their education. The six
months Internship Program benefits students by providing an opportunity to:
 link theory to practice in the workplace doing real project.
 participate in well-scoped and devised projects.
 work with experienced engineers using new technologies and facilities.
 develop a work discipline.

The pre-requisite for registration in the industry attachment program is successful completion of
all semesters before the internship program and successfully promoted to fifth year. At the end
of their internship, the student and the internship coach at the industry have to submit a report
on the attachment program, which is evaluated at the Department committee according the
guideline attached with this document. The result of industrial supervisor will be take 40% and
the Department committee evaluation will hold 60% of the total result.

3.4.3 Final Project


The Final project is the final element of the B.Sc. study program. The main goal of this course is
to develop the individual creative and problem-solving ability of graduates. Students will receive
an individual project specification from various areas and work them out individually under the
supervision of faculty advisor or/and professional advisor from the industry. The candidate uses
all the theoretical knowledge and practical skill he/she obtained during his/her study to solve the
engineering problem in a scientific way which includes problem analysis, solution, verification,
implementation, documentation, and presentation. Final project is defended in front of the official
committee at the end of the study.

3.5 Admission into the Program


Admissions to all regular undergraduate programs in MIT will consider the results of a student in
Ethiopian Higher Education Entrance Exam, MIT entrance exam and Preparatory school
transcript.Admissions to the continuing education program (CEP) are processed through the Institutes’s
registrar office based on the criteria set by Mekelle University.

3.6 Program Requirements


3.6.1 Examination Requirements
At the end of each semester, the student sits for final examinations of the courses he/she has attended
(minimum 75% for lectures and tutorials, 100% for practical exercises). A student must pass the
examinations for all the courses.
3.6.2 Graduation Requirements
The total credit hours required for graduation is 178 and the:
(a) overall cumulative grade point average (CGPA)
(b) CGPA for the core Electronics and communication engineering courses
must each be at least 2.0 for successful completion.

Page 15 of 96
3.7 Degree Nomenclature
After successful completion of all the requirements a student graduating from the Department of
Electronics and communication Engineering will be entitled to earn a degree in:
 Bachelor of Science Degree in Electronics and communication Engineering
የሳይንስ ባችለር ዲግሪ በኤሌክትሮኒክስና ኮሚኒኬሽን ምህንድስና
3.8 Teaching-Learning Methods and Materials
The basic teaching method is lecture supported by tutorial, course design projects, practical laboratory
exercises and, in some cases, by simulation. Audio-visual aids are also used to support lectures. Students
are assigned to work on design projects in each course to enable them enhance innovative and independent
working ability. The industry internship is also an integral part of the teaching-learning process. Students
are provided with teaching materials. In summary, the Teaching Methodology comprises the following:

 Classroom lectures, duly supported by audio-visual aids, demonstrations and distribution of notes
pertaining to the subject, whenever possible or wherever necessary,
 Laboratory experiments, tutorials and project assignments,
 Instructional tours to appropriate establishments, factories etc.,
 Classroom discussions and lectures by renowned professionals,
 Enhanced use of modern computing facilities in the teaching-learning environment.

3.7 Assessment Methods and Quality Assurance


Examination Method

According to the revised curriculum, most courses will be assessed by a combination of written
examinations and presentations. In addition to regular quizzes, home works and assignments, the students
will undertake two major exams for all the courses, the first at the middle and the second at the end of each
semester. Reports on project work should also be part and parcel of the assessment metrics. The course
project activities shall be assessed entirely and this often shall include assessment of oral presentations and
demonstrations.

In the final year, students must present their final project work with a professional format to the
Department.

Quality Assurance

Quality assurance can be used as an instrument to improve the


system continuously, install accountability and enable
compatibility with other higher education systems. The quality ASSESSMENT IS
of the program is assessed in terms of the instruction FOR LEARNING NOT
performance and impact of program on the quality of graduates FOR THE SAKE OF
looking for a job or further studies. The following factors help EVALUATION.
to ensure the quality of the education:

 In order to maintain the level of courses, course guides are prepared in detail containing course
objectives, learning outcome, course outline, textbooks, references and laboratory tasks.
 With regard to instruction performance, in line with the University policy, student evaluations are
taken into account. The feedbacks from the students are used to improve the quality of instruction.
 Examinations are assessed by Exam Evaluation Committee to verify the level of the courses
instruction and assessment.
 Industry feedback will be an integral part of the process of quality assurance. The quality of
graduates is measured with the feedback obtained from the employers and stakeholders who are

Page 16 of 96
the immediate beneficiaries of the program and also the graduates who are able to rate their own
confidence in meeting the challenges they encounter after graduation.

3.8 Program Composition and Course coding


The categories are:
a) General courses (13%)
b) Supportive courses (11.9%)
c) Electronics and communication engineering category (49.2%)
d) Electrical engineering category (9.6%)
e) Computer science and engineering category (16.4%)

Course coding

The word and three-digit course (GC-XYZ, SC-XYZ, ECE-XYZ, EE-XYZ, CSE-XYZ)
numbering system allows to identify the subject in which category of courses is associate with,
the year to which the course belongs and the semester in which the course is offered. Where GC
indicates for Genera courses, SC indicates for supportive courses, ECE indicates for electronics
and communication engineering category, EE indicates for Electrical engineering category and
CSE indicates for computer science and engineering category. The first digit (X) represents for the
year in which the course is offered and it can be within 1 to 5. The last two digits (YZ) denote the semester
particular course is offered (odd for first semester and even for the second semester).
NB: For common semester courses, course coding is four digit which is according the Mekelle University
harmonized curriculum. Therefore, you may refer that curriculum for its detail.

3.9 Semester breakdown

I Year I Semester

Course Code Course Title Pre-Requisite L T P Credit


Enla1011 Communication skills - 3 1 - 3
CvEt1011 Civics and Ethical Education - 3 - - 3
Math1021 Applied Mathematics I - 4 1 - 4
CESt1023 Logic and Reasoning Skill - 3 - - 3

GEng1031 Introduction to Engineering 2 2


profession
CSE 101 Principles of Computing - 3 - 3 4
Total 18 2 3 19

Page 17 of 96
I Year II Semester

Course Code Course Title Pre-Requisite L T P Credit


GC 102 Basic Writing Skills Enla1011 1 1 - 1
SC 102 Applied Mathematics II Math1021 4 1 - 4
SC 104 Applied Modern Physics - 3 1 - 3
CSE 102 Programming in C CSE 101 3 1 3 4
EE 102 Electric Circuit Analysis - 3 1 3 4
Total 14 5 6 16

II Year I Semester

Course Code Course Title Pre-Requisite L T P Credit


SC 201 Applied Mathematics III SC 102 4 1 - 4
SC 203 Engineering Mechanics (Statics - 3 1 - 3
& Dynamics)
ECE 201 Analog Electronics I EE 102 3 1 3 4
ECE 203 Digital logic design - 3 1 3 4
EE 201 Electrical Workshop Practices - 1 - 3 2
Total 14 4 9 17

II Year II Semester

Course Code Course Title Pre-Requisite L T P Credit


ECE 202 Signals and Systems SC 201 3 - 3 4
ECE 204 Analog Electronics II ECE 201 3 1 3 4
ECE 206 Digital system design ECE 203 3 - 3 4
EE 202 Electromagnetic Field Theory SC 201 3 1 - 3
ECE 208 Electronic Measurements & EE 102 3 - 3 4
Instrumentation
Total 15 2 12 19

III Year I Semester


Course Code Course Title Pre-Requisite L T P Credit
ECE 301 Transmission Lines, Antennas EE 202 3 - 3 4
and wave Propagation
ECE 303 Network Analysis and Synthesis ECE 202 3 1 - 3
ECE 305 Probability and Random Process SC 201 3 1 - 3
CSE 301 Microprocessors ECE 206 3 - 3 4
EE 303 Electrical Machines EE 102 3 - 3 4
Total 15 2 9 18

Page 18 of 96
III Year II Semester

Course Code Course Title Pre-Requisite L T P Credit


EE 302 Linear control system ECE 202 3 - 3 4
ECE 302 Analog Communication ECE 202 3 - 3 4
Systems
ECE 304 Information Theory and Coding ECE 203 3 - 3 4
ECE 306 Digital Signal Processing ECE 202 3 - 3 4
ECE 308 VLSI Design ECE 206 2 - 3 3
Total 14 - 15 19

IV Year I Semester

Course Code Course Title Pre-Requisite L T P Credit


CSE 407 Microcontrollers and CSE 301 3 3 4
Applications
CSE 201 Object Oriented CSE 102 3 - 3 4
Programming with C++
CSE 403 Computational Methods SC 201 2 1 2 3
ECE 401 Digital Communication ECE 302/ ECE 3 - 3 4
Systems 306
ECE 405 Industrial Electronics ECE 204 3 - 3 4
Total 14 1 14 19

IV Year II Semester

Course Code Course Title Pre- L T P Credit


Requisite
GC 402 Research Methods and Presentation ECE 401 - 3 - 2
ECE 402 Microwave and Satellite ECE 301 3 - 3 4
Communications
ECE 404 Optical Communication systems ECE 401 3 - 3 4
ECE 408 Data Communication Networks CSE 101 2 3 3
ECE 406 Wireless and Mobile ECE 304 3 3
Communications
ECE 410 Mini Project - 3 1
ECE 413 / Elective I - 3/4 - 0/3 3/4
CSE 507/
EE 412
Total 14/15 3 12/15 20/21

Page 19 of 96
V Year I Semester

Course Code Course Title Pre-Requisite L T P Credit

ECE 501 Industry Internship Promoted to 45 15


year 5
Total - - 45 15

V Year II Semester

Course Code Course Title Pre-Requisite L T P Credit


GC 502 Industrial Management and - 3 - - 3
Eng’g Economics
GC 504 Engineering Entrepreneurship ECE 501 3 - - 3
ECE 502 / ECE Elective II - 3 2 - 3
502A / CSE
504
ECE 506 Advanced Communication ECE 408 2 3 3
Networks
ECE 504 Final Project GC 402, ECE - - 12 4
410
Total 11 2 15 16

Elective I

Course Code Subject


ECE 413 Microelectronic Devices and Circuits
CSE 507 Embedded Systems
EE 412 Introduction to power systems

Elective II

Course Code Subject


ECE 502 Radar Systems
ECE 502A Solid State Microwave Devices and Applications
CSE 504 Cryptograph and network security

Page 20 of 96
Summary of ECE Course Listings

Year I Semester II Semester


L T P Cr L T P Cr
I 18 2 3 19 14 5 6 16
II 14 4 9 17 15 2 12 19
III 15 2 9 18 14 0 15 19
IV 14 1 14 19 14 3 12 20
V 0 0 45 15 11 2 15 16
Total 61 9 80 88 68 12 60 90

Total Credit Hours (Min) 178


Total Lecture Hours(Min) 129
Total Tutorial Hours(Min) 21
Laboratory/Practice Hours(Min) 140

4.Course Descriptions

a. General Courses

Course Course name Pre-requisite L T P Credit


code
Enla1011 Communication skills - 3 1 - 3
Course Objectives
After completing this course students will:
 understand and use different skills and strategies to read
 understand the meaning of what is read
 read different materials for a variety of purposes
 write clearly and effectively
 understand and use the steps of the writing process
 analyze and evaluate the effectiveness of written work
 analyze and evaluate the effectiveness of formal and informal communication
 communicate ideas clearly and effectively

Course Descriptions
The course prepares the inexperienced writer for college level reading and academic writing by focusing
on critical thinking, reading, writing, and study skills necessary to succeed at the academic level

Content Outline

Page 21 of 96
Preparing to write: having the right attitude, subject knowledge; Prewriting techniques; Outlining:
organizing content; Drafting and Revising; The differences between a Paragraph and an Essay;
Learning to write Paragraphs and Essays; The Parts of a paragraph and an Essay; Important points
about the essay; Paragraph and Essay Formats; Analyzing model essays ;The four Steps in Essay
Writing: Making a point; Supporting with specific evidence; Organizing and connecting specific
evidence; Writing clear and error – free sentences; Modes of Discourse: Exposition: defining,
providing examples, explaining a process, comparing and contrasting, dividing and classifying,
providing causes and effects; Description; Narration; Argumentation and
persuasion; Paraphrasing, Summarizing, and Quoting in writing; Writing technical reports;
Giving Oral Presentation; Business Letters Writing; Business letter formats
Laboratory Exercises: NA
Teaching & Learning Methods: Lecture supported by tutorial and assignment.
Assessment/Evaluation: Continuous Assessment (Assignments, Quizzes, Mid-semester Exam
and related): (50%); Final examination (50%)
Grading:
Mark Interval [%] [90,100] [85,90) [80,85) [75,80) [70,75) [65,70) [60,65) [50,60) [45,50) [40,45) [30,40) <30
Fixed Number Grade 4.0 4.0 3.75 3.5 3.0 2.75 2.5 2.0 1.75 1.0 0 0
Letter Grade A+ A A- B+ B B- C+ C C- D Fx F

Reference Book(s)
1. Writing with Contemporary Readings by Baker, Barbara and Catherine Baker
2. Text & Thought: An Integrated Approach to College Reading and Writing by Lester, Lanny, and Judith
Resnick
3. The Writers Response: A Reading-Based Approach to College Writing by McDonald, Stephen, and
William Salomone

Course Course name Pre-requisite L T P Credit


code
CvEt1011 Civic and Ethical Education 3 0 0 3
Course Objectives
Students shall learn about:
 Essence and origins of the state and Government
 The concept of citizens and citizenship
 Origins, essence and forms of constitution
 The ideas of Morality, Ethics and Civic virtues

Course Descriptions
This course attempts to introduce the fundamental concepts of
 State, Government and Citizenship
 Learning about Constitutions
 Constitutional experience in Ethiopia
 Morality, Ethics and Civic virtues

Content Outline
• State, Government and Citizenship
• Learning about Constitutions

Page 22 of 96
• Constitutional experience in Ethiopia
• Morality, Ethics and Civic virtues

Laboratory Exercises: NA
Teaching & Learning Methods: Lecture supported by tutorial and assignment.
Assessment/Evaluation: Continuous Assessment (Assignments, Quizzes, Mid-semester Exam
and related): (50%); Final examination (50%)
Grading:
Mark Interval [%] [90,100] [85,90) [80,85) [75,80) [70,75) [65,70) [60,65) [50,60) [45,50) [40,45) [30,40) <30
Fixed Number Grade 4.0 4.0 3.75 3.5 3.0 2.75 2.5 2.0 1.75 1.0 0 0
Letter Grade A+ A A- B+ B B- C+ C C- D Fx F

Reference Book(s)
1. What Is Democracy? by Touraine, Alain
2. Globalizing Democracy: Power, Legitimacy, and the Interpretation of Democratic Ideas by Fierlbeck,
Katherine

Course Course name Pre- L T P Credit


code requisite
CESt1023 Introduction to Logic (Reasoning Skill) 2 0 0 2
Course Objectives
After completing this course, students shall be able to:
 differentiate between induction, analogy, legal and moral reasoning
 state the purpose of definition and definitional techniques
 state what propositional logic is and the corresponding rules
 define syllogistic logic and list methods of testing validity
 list down the types of fallacies
 identify the different types and natures of arguments
Course Descriptions
Content Outline
This course attempts to introduce the fundamental concepts of logic and methods of logical
reasoning. The purpose of the course is to develop in learners the skills required to construct sound
arguments of their own and the ability to critically evaluate the arguments of others; cultivate the
habits of critical thinking and develop sensitivity to the clear and accurate use of language.
Teaching & Learning Methods:
Assessment/Evaluation and Grading:
Textbook(s)
Reference Book(s)
1. Hurley, Patrik J. (2005), A Concise Introduction to Logic, 9th edition, Belmarnt, Wadsworth
Publishing Company.
2. Stephen, C. (2000), The Power of Logic, London & Toronto, Mayfield Publishing Company.

Page 23 of 96
Course Course name Pre-requisite L T P Credit
code
GEng1031 Introduction to Engineering Profession --- 2 0 0 2

Course Objectives
To enable students, understand the constructive interrelation of natural & social sciences as well
as business and art to engineering and their positive impact on the socioeconomic aspect of a
society.
Course Descriptions
The course prepares the inexperienced writer for college level reading and academic writing by
focusing on critical thinking, reading, writing, and study skills necessary to succeed at the academic
level
Content Outline
Unit I: Interrelations and distinction among engineering, science and technology - R&D in
engineering;
Unit II: Technical professional levels, qualifications and duties & responsibilities of technicians,
technologists and engineers (carrier structures and levels);
Unit III: Engineers in academics and in industrial professions - B.Sc. and B.Eng., M.Sc. and
M.Eng.
Unit IV: Engineering disciplines - computer, Information technology, chemical, material,
electrical, electronics, communications
Unit V: Specific roles of electrical engineers in the engineering profession;
Unit VI: Engineering professional ethics and moral issues – engineering for civil and military
applications;
Unit VII: Normative interaction of engineers with other professionals as well as art and business;
Unit VIII: Engineers as leaders and managers;
Unit IX: Engineering professional associations
Laboratory Exercises: NA
Teaching & Learning Methods: Lecture supported by tutorial and assignment.
Assessment/Evaluation: Continuous Assessment (Assignments, Quizzes, Mid-semester Exam
and related): (50%); Final examination (50%)
Grading:
Mark Interval [%] [90,100] [85,90) [80,85) [75,80) [70,75) [65,70) [60,65) [50,60) [45,50) [40,45) [30,40) <30
Fixed Number Grade 4.0 4.0 3.75 3.5 3.0 2.75 2.5 2.0 1.75 1.0 0 0
Letter Grade + A - + B - + C - D Fx F
A A B B C C

References
1. “Engineering Fundamentals and Problem Solving”, 4th Edition, Eide, Jenison, Mashaw, Northrop,
McGraw-Hill
2. “Engineering in History”, Richard Shelton Kirby, et al, Dover, 1990.
3. “Beyond Engineering: How Society Shapes Technology”, Robert Pool, Oxford University Press,
1997.

“Engineering: An Introduction to a Creative Profession: Fifth Edition”, Beakley, Evans, Keats,


Macmillan Publishing Company, 1986.

Page 24 of 96
Course Course name Pre-requisite L T P Credit
code
GC 102 Basic Writing skills Enla1011 1 1 - 1
Course Objectives
 To teach writing skills appropriate for technical reports.
Course Descriptions
Content Outline
 Technical writing
Necessity, types and levels of technical writings; formats, contents, grammatical, punctuation and
idiomatic techniques; technical reports and proposals, formats and contents.
 Report presentation skills
Listening, reading, composition and oration; knowledge of key vocabularies in engineering and
science; oral presentations formats; applications of audiovisual equipment; coordination of
presentation/discussion forums.
Laboratory Exercises
Teaching & Learning Methods: Lectures and drills
Assessment/Evaluation and Grading: Assignment (30%), Final examination (70%)
Textbook(s)
Reference Book(s)

Course Course Title Lecture Tutorial Practice Credit Pre-


Number Requisite
GC 402 Research Methods and - 3 ECE 401 2 -
Presentation
Course Objectives
 To enable the students to understand and apply methodologies/techniques and process of doing
research projects
 Presentation skills both in written and oral form with/without the aid of audiovisual equipment
Course Descriptions
Content Outline
Research methods: necessity, types and levels of researches; problem formulation, modeling &
experimentation.
Data collection techniques – data generation and processing the collected/generated data to
extract the required information.
Presentation skills:
Research and Project proposals: oral presentations formats; applications of audiovisual
equipment;
Management aspect of Research and Development (R&D) works and outputs: Discussion forums;
intellectual property rights.
Management of R&D works.
Teaching & Learning Methods:
Assessment/Evaluation and Grading:
Textbook(s)
Reference Book(s)

Page 25 of 96
Course Course name Pre-requisite L T P Credit
code
GC 502 Industrial Management and Eng’g Economics 3 0 0 3

Course Objectives
The Students Will Be Provided with Concepts of Industrial Management and The Industrial
Environment. It Also Provides Students with Engineering Economic Analysis of Industrial
Resources and Alternatives.
Course Descriptions
The Course Gives Introduction and Basic Concepts of industrial Management, Quality control,
Materials Management and Effective Leadership, and Economic Analysis of Engineering Projects.
The Engineering economics part of this course studies the basic concept of time value of money and
methods for alternative and investment evaluation. The study covers definition and scope of
engineering economics, cash flow, concept of time value of money, present equivalent value, annual
value, internal rate of return, payback method, sensitivity analysis, depreciation, inflation and
deflation, replacement analysis, tax analysis, public investment cost-benefit analysis. The course
provides ability in conducting analysis and decision making for alternative selection or investment
evaluation using economic criteria.
Content Outline
Unit – I Foundations of industrial management: Concepts of industrial management;
Development of industrial management; Objectives of industrial management; Applications of
industrial management; Scope of industrial management. Unit – II: Functions of Management:
Introduction; Characteristics of management; Levels of managers; Managerial skills;
Management functions. Unit-III: production & productivity: Introduction to production &
productivity; Measurement of productivity; Procedures for increasing productivity. Unit IV:
Inventory Management: Introduction to inventory; Costs of inventory; Inventory models;
Quantity discount model. Unit V: Total quality management: Introduction to TQM; The three
quality gurus; Modern trend of TQM; Continuous improvement/kaizen; Costs of quality;
Approaches of defining quality; Quality control; Statistical process control. Unit VI: Production
planning and control: Production planning horizons & units of measure; The planning process;
Aggregate planning; Master production scheduling; Production process strategies; Rough-cut
capacity planning; Bills of material; Material requirement planning. Unit VII: foundations of
Engineering Economy: Importance of engineering economic; Basics of engineering economics;
Time value of money; Economic equivalence; Simple and compound interest; Cash flows: their
estimation & diagraming; Single & uniform series-payment factors; Arithmetic & geometric
gradient factors. Unit VIII: Evaluating alternative projects: Present worth analysis; Future worth
analysis; Annual worth analysis; Rate of return analysis; Incremental analysis; Cost benefit
analysis; Uncertainty decision making; Inflation & tax effects
List of Experiments: NA
Attendance Requirements: 90% lecture attendance
Teaching & Learning Methods: Lectures, tutorials.
Assessment/Evaluation: Continuous Assessment (Assignments, Quizzes, Mid-semester Exam
and related): (50%); Final examination (50%)
Grading:
Mark Interval [%] [90,100] [85,90) [80,85) [75,80) [70,75) [65,70) [60,65) [50,60) [45,50) [40,45) [30,40) <30
Fixed Number Grade 4.0 4.0 3.75 3.5 3.0 2.75 2.5 2.0 1.75 1.0 0 0
Letter Grade + A - + B - + C - D Fx F
A A B B C C
References
1. William G. Sullivan, Elin M.Wicks, and C. Patrick Koelling; Engineering Economy 13th Edition.
2. C.M. Chang ,Engineering Management Challenges In The New Millennium 2005
3. Leiand Blank, P.E. & Antony Tarquin, P.E. Engineering Economy, 4th Ed., (1998)

Page 26 of 96
4. Blank, P.E.L, and tarquin, P.E.A. (2005) Engineering Economy, 6th Edition, McGraw-Hill, NY, USA
5. Boardman, A.E., Greenberg,D.H., Vining. (2001). Cost Benefit Analysis, Prentice, NY, USA.
6. Fabrycky, W.J. and Thusesen, G.J. (2001). Engineering Economy, 9th Edition, Prentice-Hall, Inc.NJ,
USA
7. Hilton, R.W., Maher, M.W, and Selto, F.H. (2000). Stratrgies for Business Decisions, USA
8. Jonson, B and Newmwn, D,G. (1995). Engineering Economy, 5th Edition, Engineering Press, CF, USA
9. Park,C.S., (2002). Contemporary Engineering Economics, 3rd Edition, Addison-Wesley Publishing Company,
Inc.

Course Course name Pre-requisite L T P Credit


code
GC 504 Engineering Entrepreneurship 3 0 0 3

Course Objectives
To familiarize students to the idea of entrepreneurship and initiate their attitude for
entrepreneurship.

Course Descriptions
The course focuses on conceptual, outside-in approach, exploring Technopreneurship and the
process of new venture creation by studying and discussing a number of cases covering the
entrepreneurial process from various angles. The cases and supporting readings will
illustrate issues and concepts like: what does it take (and what not) to be an entrepreneur; what is
entrepreneurship and administrative versus entrepreneurial behavior; academic versus surrogate
entrepreneurship and the role of the scientist in academic spin-offs; the framing of ventures in
terms of people, opportunity, context and deal; spotting and framing opportunities; the phases and
critical junctures in new venture formation; the importance and pitfalls of patent protection;
factors influencing the early growth of academic spin-offs; options for commercializing science
& technology, business models and value creation; value, valuation and risk/reward ratio in new
venture financing; How venture capitalists assess business plans and start-up companies.
Content Outline
Cases are based on situations and decisions that entrepreneurs have faced and that course
participants will be facing in preparing for and discussing in class.
1. Participants have to write a fully developed business plan that can be presented to stakeholders such
as scientists that invented the technology, the institutions that own the technology and investors that
are necessary to fund its commercialization.
2. The business plans are to be based on realistic, science- and technology- driven ideas or inventions.
3. Participants will usually work in teams of 2-4 persons to be able to accommodate and to enhance
the learning experience, though, if circumstances so require, individual participation may be
allowed.
4. Participants will interact with scientists or the inventors, i.e. the staff that has done the research on
which the business idea is based. The role of these staff members may differ from that of scientific
consultant to being actively involved as an academic entrepreneur.
List of Experiments: NA
Attendance Requirements: 90% lecture attendance
Teaching & Learning Methods: Lectures, tutorials.
Assessment/Evaluation: Continuous Assessment (Assignments, Quizzes, Mid-semester Exam
and related): (50%); Final examination (50%)
Grading:
Mark Interval [%] [90,100] [85,90) [80,85) [75,80) [70,75) [65,70) [60,65) [50,60) [45,50) [40,45) [30,40) <30
Fixed Number Grade 4.0 4.0 3.75 3.5 3.0 2.75 2.5 2.0 1.75 1.0 0 0
Letter Grade + A - + B - + C - D Fx F
A A B B C C

Page 27 of 96
b. Supportive Courses

Course Course name Pre-requisite L T P Credit


code
Math1021 Applied Mathematics I - 4 1 - 4

Course Objectives
Students shall understand the principles of vector and scalars, definition and operation of matrices
& determinants, basics of limit and continuity, basic rules of derivatives & their applications,
integrals, integration techniques and their application in volume, arc length, and surface area
determination.

Course Descriptions
Vectors and vector spaces, Matrices and determinants, Limit and continuity, Derivatives and
application of derivatives, Integration, Application of Integrals

Content Outline
 Vectors and vector spaces; Properties of vectors, scalar ( dot) product, vector(cross product
 Matrices and determinants; Definitions, important properties, operations of matrices and
determinants, systems of linear equations, Techniques of solving linear equations using determinants
 Limit and continuity
 Derivatives and application of derivatives; Techniques of solving derivatives and their applications
in real world applications
 Integration and application of Integrals; Techniques of solving integrals and their applications in
real world applications

List of experiments: NA
Attendance Requirements: 90% lecture attendance
Teaching & Learning Methods: Lectures, tutorials.
Assessment/Evaluation: Continuous Assessment (Assignments, Quizzes, Mid-semester Exam
and related): (50%); Final examination (50%)
Grading:
Mark Interval [%] [90,100] [85,90) [80,85) [75,80) [70,75) [65,70) [60,65) [50,60) [45,50) [40,45) [30,40) <30
Fixed Number Grade 4.0 4.0 3.75 3.5 3.0 2.75 2.5 2.0 1.75 1.0 0 0
Letter Grade A+ A A- B+ B B- C+ C C- D Fx F

References
1. Calculus with analytic Geometry: 6th Edition, 2002. R. Ellis and D. Gulick
2. Larson, R., Hostetler, R. P., and Edwards, B.H. (2005), Calculus with Analytic Geometry, 8th Edition,
Houghton Mifflin Company.
3. S.Lang (2004), Linear Algebra, 3rd Edition, Springer.
4. Stewart, J. (2002), Calculus, 5th Edition, Brooks Cole
5. Edwards and David E. Penney, Calculus with analytic Geometry: 5th Edition, 1993

Page 28 of 96
Course Course name Pre-requisite L T P Credit
code
SC 102 Applied Mathematics II 3 3 0 4

Course Objectives
Students shall understand the principles of sequence and series, definition and operation of power
series, principles of Fourier series, calculus of differential equations, and integral calculus of
functions of several variables.
Course Descriptions / Content Outline
Unit I: Sequence and Series
Unit II: Power Series
Unit III: Fourier Series
Unit IV: Differential Calculus of Functions of Several Variables
Unit V: Integral Calculus of Functions of Several Variables
List of Experiments: NA
Attendance Requirements: 90% lecture attendance
Teaching & Learning Methods: Lectures, tutorials.
Assessment/Evaluation: Continuous Assessment (Assignments, Quizzes, Mid-semester Exam
and related): (50%); Final examination (50%)
Grading:
Mark Interval [%] [90,100] [85,90) [80,85) [75,80) [70,75) [65,70) [60,65) [50,60) [45,50) [40,45) [30,40) <30
Fixed Number Grade 4.0 4.0 3.75 3.5 3.0 2.75 2.5 2.0 1.75 1.0 0 0
Letter Grade + A - + B - + C - D Fx F
A A B B C C

References
1. Ellis, R. and Gulick, D. (1998), Calculus with Analytic Geometry, 5th Edition, Harcourt.
2. Larson, R. (2002), Calculus with Analytic Geometry, 7th Edition, Houghton Mifflin College Div.
3. Erwin Kreyszig (2005), Advanced Engineering Mathematics, 9th Edition, Wiley.

Course Course name Pre-requisite L T P Credit


code
SC 104 Applied Modern Physics SC 103 3 1 - 3

Course Objectives
 Understand and apply Einstein’s theory of special relativity to relativistic mechanics.
 Understand the nature of atoms and light.
 Understand the Bohr model of the hydrogen atom and the quantization of atomic energy levels.
 Understand the elements of quantum mechanics: matter waves and wave functions, uncertainty
relations, Schrodinger equations.
 Understand fundamentals of solid state and nuclear physics.

Course Descriptions
This course provides a framework for understanding the physics of atoms and nuclei with
emphasis on quantum-mechanical concepts. Introduction to the Theories of Relativity; The
Particle Properties of Wave; Wave Properties of Particles; Atomic Structure; The Theory of
Quantum Mechanics and its Applications: Solid State and Nuclear physics.

Content Outline
Unit-I: Relativity; Classical Relativity, Michelson-Morley Experiment, Special Theory of
Relativity, Lorentz Transformation, Time Dilation, Doppler Effect, Length Contraction, Twin
Paradox, Relativistic Momentum and Energy, General Relativity. Unit-II: Particle Properties

Page 29 of 96
of Wave; Electromagnetic Waves, Blackbody Radiation, Photoelectric Effect, Quantum Theory of Light,
X Ray and the Compton Effect, Pair Production. Unit-III: Atomic Structure; Atomic Spectra,
Rutherford’s Scattering, Bohr’s Model of the Hydrogen Atom, Energy Levels and Spectra,
Correspondence Principle, Laser. Unit-IV: Wave Properties of Particles; De Broglie Waves, Phase and
Group Velocity, Wave-Particle Duality, Probability and Wave Function, Uncertainty Principle and Its
Applications. Unit-V: Quantum Mechanics; Wave Equation, Schrodinger’s Equation: Time Dependent
Form, Linearity and Superposition, Expectation Values, Operators. Schrodinger’s Equation: Steady State
Form, Particle in A Box, Energy and Momentum Quantization, Momentum Quantization, Tunneling
Effect. Unit-VI: Solid State Physics; Solid Structures, Free Electrons in a Solid, Quantum Theory of
Conduction, Band Theory of Solids, Semiconductors, Semiconductor Junctions and Devices,
Superconductivity. Unit-VII: Nuclear Physics; Properties of Nuclei, Radioactivity, Nuclear Reaction,
Fission and Fusion, Applications
List of experiments: NA
Attendance Requirements: 90% lecture attendance
Teaching & Learning Methods: Lectures, tutorials
Assessment/Evaluation: Continuous Assessment (Assignments, Quizzes, Mid-semester Exam
and related): (50%); Final examination (50%)
Grading:
Mark Interval [%] [90,100] [85,90) [80,85) [75,80) [70,75) [65,70) [60,65) [50,60) [45,50) [40,45) [30,40) <30
Fixed Number Grade 4.0 4.0 3.75 3.5 3.0 2.75 2.5 2.0 1.75 1.0 0 0
Letter Grade A+ A A- B+ B B- C+ C C- D Fx F

References
1. Arthur Beiser (2003). Concepts of Modern Physics. 6th ed. McGraw-Hill Higher Education,
McGraw-Hill.
2. Krane, Kenneth S (2012). Modern physics. 3rd Ed. John Wiley & Sons, INC.
3. P. Tipler, G. Mosca (2008). Physics for Scientists and Engineers. 6th Ed. W. H. Freeman and
Company.
4. R. Serway and J. Jewett Jr. Physics for Scientists and Engineers with Modern Physics. 9th Ed.

Course Course name Pre-requisite L T P Credit


code
SC 201 Applied Mathematics III SC 102 4 1 - 4

Course Objectives
The objective of the course is to equip students with the mathematical tools of developing
mathematical models of physical engineering problems.

Course Descriptions / Content Outline


Unit I: Vector-valued functions of one variable
Unit II: Space motion
Unit III: Scalar functions of several variables
Unit IV: Partial differentiation
Unit V: Analytic functions
Unit VI: Power and Laurent’s series
Unit VII: Fourier series and other orthogonal functions
Unit VIII: Vector calculus: vector differential operations, gradient, divergence, curl, line integral,
Green’s and Stoke’s theorem
Unit IX: Residue theorem and evaluation of simple integral
Unit X: Cauchy integral theorem and formula

Page 30 of 96
Unit XI: Additional topics such as vector fields, and linear algebra.

List of Experiments: NA
Attendance Requirements: 90% lecture attendance
Teaching & Learning Methods: Lectures, tutorials
Assessment/Evaluation: Continuous Assessment (Assignments, Quizzes, Mid-semester Exam
and related): (50%); Final examination (50%)
Grading:
Mark Interval [%] [90,100] [85,90) [80,85) [75,80) [70,75) [65,70) [60,65) [50,60) [45,50) [40,45) [30,40) <30
Fixed Number Grade 4.0 4.0 3.75 3.5 3.0 2.75 2.5 2.0 1.75 1.0 0 0
Letter Grade + A - + B - + C - D Fx F
A A B B C C

References
1. Rogers, H. Multivariable Calculus with Vectors. Upper Saddle River, NJ: Prentice Hall
2. Erwin Kreyszig (2005), Advanced Engineering Mathematics, 9th Edition, Wiley.
3. Stewart, J. (2002), Calculus, 5th Edition, Brooks Cole.
4. Ellis, R. and Gulick, D. (1998), Calculus with Analytic Geometry, 5th Edition, Harcourt.
5. Brown, J.W. & Churchill, R.V. (2003), Complex Variables and Applications, 7th Edition, McGraw-
Hill Science/Engineering /Math.

Course Course name Pre-requisite L T P Credit


code
SC 203 Engineering Mechanics (Statics & - 3 1 - 3
Dynamics)
Course Objectives
Up on the successful completion of the course, students will be able to:
 Distinguish concurrent, coplanar and space force systems.
 Compute the resultant of coplanar and space force systems.
 Draw free body diagrams, Analyze reactions and pin forces induced in coplanar and space systems
using equilibrium equations and free body diagrams.
 Select appropriate coordinate systems for physical systems and analyze motion variables such as
position, velocity, and acceleration.
 Conduct kinematic analysis for the velocity & acceleration of moving bodies.
 Draw free-body-diagram for rigid body in motion.
 Apply principle of conservation of energy.
 Apply Newton's Law of Motion to rigid body motion.

Course Descriptions
This course covers both statics and dynamics. The topics includes Force Systems; Equilibrium
and Analysis of simple Structures; Kinematics of particles; Kinematics of Rigid Bodies

Content Outline
Unit-I: Force Systems; Introduction, Two-Dimensional Force Systems, Rectangular Resolution
of Forces, Moment and Couple, Resultants of general coplanar force systems, Three-Dimensional
Force Systems, Rectangular components, Moment and Couple, Resultants. Unit-II: Equilibrium
and Analysis of simple Structures; Introduction, Equilibrium in Two Dimensions, System
Isolation, Equilibrium Conditions, Equilibrium in Three Dimensions, System Isolation,
Equilibrium Conditions, Introduction, Plane Trusses, Method of Joints, Method of Sections,
Frames and Simple Machines. Unit-III: Kinematics of particles; Introduction, Rectangular
motion, Plane curvilinear motion, Coordinate systems, Relative motion, constrained motion.

Page 31 of 96
Unit-IV: Kinetics of Particles; Introduction, Newton’s second law, Work Energy equation,
Impulse and Momentum, Conservation of Energy and Momentum. Unit-V: Kinematics of Rigid
Bodies; Introduction, Fixed axis rotation, Absolute motion, Relative motion.

List of experiments: NA
Attendance Requirements: 90% lecture attendance
Teaching & Learning Methods: Lectures, tutorials.
Assessment/Evaluation: Continuous Assessment (Assignments, Quizzes, Mid-semester Exam
and related): (50%); Final examination (50%)
Grading:
Mark Interval [%] [90,100] [85,90) [80,85) [75,80) [70,75) [65,70) [60,65) [50,60) [45,50) [40,45) [30,40) <30
Fixed Number Grade 4.0 4.0 3.75 3.5 3.0 2.75 2.5 2.0 1.75 1.0 0 0
Letter Grade A+ A A- B+ B B- C+ C C- D Fx F

References
1. Meriam, J.L. and Kraige, L.G., Engineering mechanics, 7th Ed
2. Engineering Mechanics: Statics & Dynamics by Anthony M. Bedford, Wallace Fowler, Prentice
Hall; 5 edition (July 2007)
3. Engineering Mechanics: Statics by Russell C. Hibbeler, Prentice Hall; 12 edition (January 7, 2009)
4. Hibbeler, R.C., Engineering Mechanics-Dynamics,12th Ed., 2012.
5. Beer, Johnston, Clausen, Eisenberg, Cornwell, Vector Mechanics for Engineers: Dynamics, 9th Ed.,
2004.

Course Course name Pre-requisite L T P Credit


code
ECE 305 Probability and Random Process SC 201 3 1 - 3

Course Objectives
On successful completion of this course the students will be able to:
 Comprehend probability theory
 Understand functions, calculus and transformation of Random Variables and stochastic processes
 Specify stochastic processes as models
 Use stochastic processes to communication Engineering applications.

Course Descriptions
The course provides an introduction to some fundamentals ideas of probability theory and random process
that are applicable in the field of communication engineering. It will Review Set Theory and Probability
Theory, basic concepts of Random Variables, Probability Distributions and Densities function,
Expectations, variances, moments, Expectation of a Function of Random Variable. Introduction to
Random processes, Stationary Random Processes, Ergodic Random Processes, Spectral Power Density
and Spectral Estimation of random processes.

Page 32 of 96
Content Outline
Unit - I: Introduction to probability theory: Probability theory to electrical engineering - basic
concepts of probability models and axioms, probability spaces and measures, conditional
probabilities, Bayes’ rule, independent events, repeated trials.
Unit - II: Concept of Random Variables: Definition and Concept of Random Variables ,
Continuous Random Variables, Discrete Random Variables, Cumulative Distribution (Mass)
functions(CDF) for both continuous and discrete variables, Probability density functions (pdf) for
both continuous and discrete variables, Conditional distributions and total probability theorem,
Mean and Variance, Important random variables (Uniform RV, Exponential RV, Cauchy RV,
Rayleigh RV..), Probability of Distribution and density of Special functions such as Poisson,
uniform, exponential, and Gaussian distributions. Expected value, mean, variance, and
covariance, noise characterization, Gaussian random variables, engineering examples and
applications.
Unit - III: Multiple Random Variables: Bivariate Random Variable, Joint CDF of X and Y;
The joint cdf of X and Y; The joint Probability density function (pdf) of two jointly continuous
random variables; Joint Probability Mass Distribution (PCF), Conditional CDF, PDF and PMF,
Expected Value of paired RV, Random variables that differ in type; Independence of two random
variables. Conditional probability and conditional expectation; The correlation and covariance of
two random variables; Joint characteristic and related functions; Jointly Gaussian random
variables, Multiple random variables; Joint PDF, PCF, PMF; Expected value of functions of
multiple random variables, Engineering Applications
Unit - IV: Random Process: Concepts of Random processes and their classifications -
independent, and identically distributed random processes, uncorrelated and orthogonal
processes, Notion of stationary and non-stationary processes. Stationary processes- order-N
stationary, strict-sense stationary, and wide-sense stationary, wide sense periodic and wide-sense
cyclo-stationary processes. Notion of ergodicity; Second-order statistical descriptions -
autocorrelation and covariances, joint-signal statistics - types of joint stochastic processes,
correlation matrices, Special Processes – Poisson Process, the normal Process.

Unit - IV: Spectral Power Density and Spectral Estimation of random processes
Definition Power Spectral density (PSD), Power Spectral Density and its properties, Relationship
between power spectrum and autocorrelation function , the PSD of common stationary processes
(white noise, harmonic processes, complex-exponentials), cross power spectral density (CPSD),
the relation of PSD and CPSD.The Weiner-Khintchine Einstein Theorem, Bandwidth of Random
Process, Spectral Estimation, Non parametric Spectral Estimation, Parametric Spectral
Estimation, Engineering Applications.

List of Experiments: NA
Attendance Requirements: 90% lecture attendance
Teaching & Learning Methods: Lectures, tutorials.
Assessment/Evaluation: Continuous Assessment (Assignments, Quizzes, Mid-semester Exam
and related): (50%); Final examination (50%)

Page 33 of 96
Grading:
Mark Interval [%] [90,100] [85,90) [80,85) [75,80) [70,75) [65,70) [60,65) [50,60) [45,50) [40,45) [30,40) <30
Fixed Number Grade 4.0 4.0 3.75 3.5 3.0 2.75 2.5 2.0 1.75 1.0 0 0
Letter Grade A+ A A- B+ B B- C+ C C- D Fx F

References
1. Peyton Z. Peebles, JR., “Probability, Random Variables, and Random Signal Principles”, 2nd ed,
McGraw-Hill (Text Book)
2. Scott L. Miller Probability and Random Process With application to Signal Processing and
Communications
3. R.B. Ash & W.A. Gardner; Topics in Stochastic Processes- Wiley
4. H. Stark & J.W Woods; probability and Random processes and estimation theory for engineer(2/e)-PHI
5. E. Wong: Introduction to Random Processes- Springer Verlag.
6. Kenneth H. Rosen: Discrete Mathematics and its Applications-McGraw-Hill.
Ochi.M.K. Applied probability and Stochastic processes, John Wiley & Sons (1992).

c. Electrical Engineering category

Course code Course name Pre-requisite L T P Credit

EE 102 Electric Circuit Analysis - 3 1 3 4


Course Objectives
 To introduce the basic concepts of electric circuits and the fundamental tools to analyze DC electric
circuits.
 To enable students, understand and apply the fundamental laws and theorems to the analysis of AC
circuits. understand basic concepts of DC and AC circuit behavior
 Develop and solve mathematical representations for simple RLC circuits.
 Understand the use of circuit analysis theorems and methods.
 Use basic experimental equipment to measure Electrical quantities.

Course Descriptions
Circuit fundamentals, DC circuit analysis techniques and theorems, First and second order transient
analysis of circuits, Single phase AC steady state analysis, AC circuit analysis techniques and theorems,
Single phase power analysis, Three phase circuits, and Magnetically coupled circuits.

Content Outline
Unit-I: Circuit fundamentals; Basic electrical quantities: voltage, current, power, energy. Electrical
circuit elements: resistance, inductance, capacitance, energy sources (dependent and independent
sources). Basic circuit laws: ohms law, Kirchhoff’s laws. Measurement devices in laboratory work:
ohm meter, Ammeter, voltmeter. Unit-II: Mesh and Nodal Analysis; Mesh analysis: basic and super
mesh analysis. Nodal analysis: basic and super node analysis. Unit-III: Useful theorems in circuit
analysis, Star-delta transformations, Superposition theorem, Thevenin’s Theorem-Norton’s theorem and
Source transformation, Maximum power transfer theorem. Unit-IV: Transient analysis of circuits, First-
order transient circuits: RL, RC, Second-order transient circuits RLCs, Measurement devices in laboratory
work: oscilloscope. Unit-V: Single phase AC steady state analysis; Characteristics of sinusoids, Phasors,
Phasor relationship for circuit elements, Impedance and admittance, Kirchhoff’s law in the frequency
domain, Impedance combinations, Resonance circuits: Series and Parallel resonance – Quality factor, AC
Analysis techniques: Mesh and nodal analysis, Super position, Thevenin’s, Norton’s and Maximum power
transfer theorem. Single phase power analysis. Unit-VI: Three phase circuits; Balanced three phase
voltages, Balanced Y-Y connection, Balanced Y-Δ connection, Balanced Δ-Δ connection, Balanced Δ-Y
connection, Power in three phase balanced system, Unbalanced three phase systems, Power measurement

Page 34 of 96
devices. Unit-VII: Magnetically coupled circuits; Coefficient of coupling, Dot Convention, Analysis of
Coupled circuits, Coupled coils in series in parallel.

List of Experiments
DC Sources, Metering, and Resistor Color Code
 Ohm’s Law, Series and parallel DC resistive Circuit
 Potentiometers and Rheostats
 Verification of Nodal Analysis and Superposition Theorem
 Circuit Calculations and the Wheatstone bridge
 Waveform Measurement with an Oscilloscope
 Transients in RC Circuits and Measurement of RC time constants
 Characteristics of Series RLC Circuit (underdamped, critically damped and over damped).
 AC Analysis of a Simple RC Circuit
 Resonance in a Parallel RLC Circuit
 AC Bridges
 Power Factor Correction

Attendance Requirements: 90% lecture attendance and 100% Laboratory


Teaching & Learning Methods: Lectures, tutorials, and Laboratory exercises.
Assessment/Evaluation: Continuous Assessment (Assignments, Quizzes, Lab exercises(20%), Mid-
semester Exam and related): (60%); Final examination (40%)
Grading:
Mark Interval [%] [90,100] [85,90) [80,85) [75,80) [70,75) [65,70) [60,65) [50,60) [45,50) [40,45) [30,40) <30
Fixed Number Grade 4.0 4.0 3.75 3.5 3.0 2.75 2.5 2.0 1.75 1.0 0 0
Letter Grade A+ A A- B+ B B- C+ C C- D Fx F

References
1. K. Alexander and Mathew N.O. Sadiku, “Fundamentals of Electric Circuit Analysis”, Fifth
edition, 2013
2. J. David Irwin and R. Mark Nelms, “Basic Engineering Circuit Analysis”, Eleven Edition 2015.
3. H. Hyte and J.E. Kemmerly, “Engineering Circuit Analysis”, Fifth Edition, New York, 1997
4. Boylested, Robert L, “Introductory Circuit Analysis”, 8th edition, New Jersey, Prentice Hall, 1997

Course Course name Pre-requisite L T P Credit


code
EE 201 Electrical Workshop Practices - - - 3 1
Course Objectives
 To enable students to have practical exposure to working environment in electrical engineering
and applications regarding wiring design, installation in the context of electrical regulation.
Course Descriptions
Safety, electrical hand tools, Splicing, Standard Electric and electronics symbols, Electrical
installation materials and accessories, installation types and systems, electrical wiring and
connections, industrial wiring systems, electric and electronic devices.

Content Outline
Unit-I: Electrical workshop Safety Regulations and Laws; Introduction to electrical safety. Unit-II: Installation
materials and accessories; Introduction to Standard Electric and electronics symbols, Wire and cable; Conductor
material used in internal wiring; Insulating materials; Types of cables used in internal wiring; Conduits; Conduit
accessories and fittings; Lighting accessories and fittings; Fuses; Circuit breakers; Distribution board. Unit-III:
Electrical wiring splicing and wiring circuits; simple twist joint, married joint, single strand ‘T joint, three strand
‘T’ joint, Brittanina straight joint, double branch splice, pig tail joint of two solid conductors, Systems of distribution
of electrical energy; Systems of wiring. Unit-IV: Lighting scheme and Bell circuits; Lighting; Lighting schemes;
Electric lamps; Incandescent lamps; Fluorescent lamps; Methods of lighting calculations, Bell circuits. Unit-V:

Page 35 of 96
Industrial wiring systems; Electro-mechanical Switches: Contactors, timers, call bell system, alarm bell system,
traffic light control system. Performing Industrial Installations: On-Off control with magnetic contactors, control
circuit of 3-ɸ motor with magnetic contactors to change direction, Start-delta control circuit of 3-ɸ motor with
magnetic contactors, control motor from different positions. Unit-VI: Electric and Electronic devices; Analog
Meters: Ammeter, voltmeter and its range extension, Testing of Semi-Conductor devices: Like diodes, BJTs and
other electronic devices and identifying its terminals, Soldering Practice: Circuit construction on matrix board,
Measurement using CRO: Placing the oscilloscope in operation, obtaining the trace, calibration, phase-angle
measurement.
List of Experiments
 Wire Splicing
 Signal lamp controlled from SPST switch
 Two lamps controlled from two different places by means of two two-way switches.
 Two lamps controlled from three different places by means of two two-way and one intermediate switches.
 A single lamp controlled by a timer.
 Demonstration of two-way and four way crossing traffic light system using contactors and timers
 Alarm circuit using bell
 Annunciator circuit
Attendance Requirements: 90% lecture attendance and 100% Laboratory
Teaching & Learning Methods: Lectures, tutorials, and Laboratory exercises.
Assessment/Evaluation: Continuous Assessment (Assignments, Quizzes, Lab exercises(20%),
Mid-semester Exam and related): (60%); Final examination (40%)
Grading:
Mark Interval [%] [90,100] [85,90) [80,85) [75,80) [70,75) [65,70) [60,65) [50,60) [45,50) [40,45) [30,40) <30
Fixed Number Grade 4.0 4.0 3.75 3.5 3.0 2.75 2.5 2.0 1.75 1.0 0 0
Letter Grade + A - + B - + C - D Fx F
A A B B C C

References
1. J. B. GUPTA, “Electrical Installation, Estimating & Costing”, S.K. Kataria and Sons, 2001.
2. Robert B. and Gullies, “Instrumentation and Measurement for Electronic Technicians” Columbus, Merrill
Publishing, 1988.
3. P L KOHLI, “Automotive Electrical Equipment” New Delhi, Tata Mc Graw Hill, 1983.
4. I.J. Nagrath and D.P Kothari, “Electrical Machines”, Tata McGraw Hill, 2nd Edition, 1997
5. Kirkpatrick, J.M, “Electronic Drafting and Printed Circuit Board Design”, New York, Delmar, 1995.

Course Course name Pre-requisite L T P Credit


code
EE 202 Electromagnetic Field Theory SC 201 3 1 - 3

Course Objectives
After completing this course, a student will be able to understand the fundamental laws and
concepts of static and time-harmonic electromagnetic fields, Wave propagation in free space and
in transmission lines and Characteristics of radiating structures.

Course Descriptions
This course is to understand the fundamental principles and laws of electromagnetism to develop and
implement better analog and digital electronic system that take into account electromagnetic propagation
and radiation effects.

Page 36 of 96
Content Outline
Unit - I: Vector Calculus and Coordinate systems: Scalar and Vector product, Line, surface
and volume integral, Gradient, Curl and Divergence, Rectangular, Cylindrical and Spherical co-
ordinate systems.
Unit - II: Electrostatics: Coulomb’s law, Electric field, electric field due to point charges,
diapole, infinite line charge and infinite sheet charge, Electric displacement and electric flux
density, electric potential, equipotential surfaces, potential energy, current density, continuity
equation, Capacitance, boundary conditions, Laplace and Poisson’s equation.
Unit - III: Magnetostatics: Bio-Savart law, Ampere’s law, Magnetic field, Magnetic scalar
potential, Magnetic vector potential, Magnetic flux density, Lorentz force, Electron moving in a
steady magnetic field, A straight wire carrying a current in a magnetic field, Force between two
current elements, Inductance and. mutual inductance.
Unit - IV: Time dependent fields and Electromagnetic waves: Time dependent Maxwell’s
equations (Differential and Integral form), Time and Frequency domain wave equations, wave
polarization (Circular and Elliptical), Boundary conditions, Reflection and Refraction of waves,
Poynting vector and Poynting theorem.

Unit - V: Wave Propagation and Transmission Lines: Time domain and Frequency Domain
transmission line equations, Solution of transmission line equation, Standing wave ratio, λ/8, λ/4,
λ/2 transmission line, transmission line charts, Parallel Plate waveguide, Rectangular and Circular
waveguides.

List of Experiments: NA
Attendance Requirements: 90% lecture attendance
Teaching & Learning Methods: Lectures, tutorials.
Assessment/Evaluation: Continuous Assessment (Assignments, Quizzes, Mid-semester Exam
and related): (50%); Final examination (50%)
Grading:
Mark Interval [%] [90,100] [85,90) [80,85) [75,80) [70,75) [65,70) [60,65) [50,60) [45,50) [40,45) [30,40) <30
Fixed Number Grade 4.0 4.0 3.75 3.5 3.0 2.75 2.5 2.0 1.75 1.0 0 0
Letter Grade A+ A A- B+ B B- C+ C C- D Fx F

References
1. Fundamentals of Applied Electromagnetics (5th Edition) Fawwaz T. Ulaby, Pearson Prentice-Hall
2007
2. William H.Hayat and J. A.Buck, ‘Engineering Electromagnetics’,7th Ed, Tata McGraw Hill
3. Jordan Balmin, ‘Electromagnetic waves and Radiating Systems’
4. David M. Pozar, ‘Microwave Engineering’, 4th Ed, John Wiley & Sons

Page 37 of 96
Course Course name Pre-requisite L T P Credit
code
EE 303 Electrical Machines EE 102 3 - 3 4

Course Objectives
Upon successful completion of the course, students will be able to:
 Explain basic concepts of electromagnetic circuits as they relate to voltages, currents, and
physical forces induced in conductors.
 Explain principles of operation & construction of transformer, D.C. machines, induction
machines,and synchronous machines.
 Develops analytical models for transformers and electrical rotating machines.
 Conduct no load and full load tests to measure and obtain equivalent circuit of electrical
machines
 Identify and establish power requirements, power capability, efficiency and operating
characteristics.
 Measure and draw speed versus torque characteristics
 Compare and contrast two or more electrical machines and choose the appropriate one for
any aplication

Course Descriptions
This course introduces students to basic concepts in Electrical Machines and Transformers. It
includes basic electromechanical energy conversion principles and discussions that focuses on
constructional features, operation principles, equivalent circuits, performance measurements and
tests of Transformers, DC Machines, AC Machines and Synchronous Machines.

Content Outline
Unit I: Introduction to Electromechanical energy conversion; Why Energy Conversion? Faraday’s law
of Electromagnetic Induction and it’s applications, Lenz’s Law, Direction of induced e.m.f. and currents
(Fleming’s Left- and Right-Hand Rule, thumb rule, etc), Magnetic Vs Electric Circuits. Unit II:
Transformers; Principle of operation, Constructional features of transformers, Transformer on No-Load,
Equivalent circuit of Ideal Transformer, Transformer Losses and Equivalent circuit of Real Transformer,
OC and SC tests, Transformer efficiency and Voltage regulation, Maximum Efficiency Criterion,
Introduction to Autotransformers and Poly-Phase Transformers, Instrument Transformers. Unit III:
DC Machines; DC Generators: Constructional features, Principle of operation, EMF equation,
Analytical fundamentals in Electric + Magnetic-circuit aspects, Types of DC Generators, Voltage
Regulation, Losses in DC Machines, Maximum Efficiency Criterion. DC Motors: Types,
Characteristics and applications of DC motors, Speed Regulation, Losses in a DC Motors. Unit
IV: 3-Phase Induction Machines; Constructional features, Production of Rotating magnetic field,
Principles of operation, Slip, Rotor induced emf and its frequency, Development of an Equivalent
Circuit, An Approximate Equivalent Circuit, Equivalent Circuit Parameters (No load and blocked
rotor tests), Maximum Efficiency Criterion Unit V: Synchronous Machines; Construction,
Applications of synchronous machines, Principle of operation of synchronous machines,
Equivalent circuit, Synchronous Generator Tests, Characteristics of synchronous machines as an
alternator & motor, Operation of synchronous machines, Efficiency.

List of Experiments
 Single Phase Transformer: Ideal Transformer, turns ratio, Determining Equivalent Circuit of Real Transformer
(OCT and SCT), Polarity Test,
 DC Generator: characteristics and performance of different dc generators
 DC Motors: Speed Control of DC motors
 3-Phase Induction Motors: Measurement of parameters, Speed control, Load test on Squirrel 3-Φ induction
motor

Page 38 of 96
Attendance Requirements: 90% lecture attendance and 100% Laboratory
Teaching & Learning Methods: Lectures, tutorials, and Laboratory exercises.
Assessment/Evaluation: Continuous Assessment (Assignments, Quizzes, Lab exercise(20%),
Mid-semester Exam and related): (60%); Final examination (40%)
Grading:
Mark Interval [%] [90,100] [85,90) [80,85) [75,80) [70,75) [65,70) [60,65) [50,60) [45,50) [40,45) [30,40) <30
Fixed Number Grade 4.0 4.0 3.75 3.5 3.0 2.75 2.5 2.0 1.75 1.0 0 0
Letter Grade A+ A A- B+ B B- C+ C C- D Fx F

References
1. Electric Machinery & Transformers, Guru and Hızıroğlu, Saunders College Publishing, 3rd ed. 2001.
2. Electric Machinery, Fitzgerald, Kingsley and Umans, McGraw-Hill, 6th ed. 2003.
3. “Electric Machinery Fundamentals”, fifth edition Stephen J. Chapman
4. “Computer-Aided Design of Electrical Machines”, K.M. Vishnu Murthy, 2008
5. “A Textbook Of Electrical Technology”, B.L. Theraja and A.K. Theraja, 2005

Course Course name Pre-requisite L T P Credit


code
EE 302 Linear control system ECE 202 3 - 3 4

Course Objectives
After the completion of this course:
 Students will understand and acquire solid foundation in mathematical modeling of Physical Systems
 Students will add to their knowledge-base in the fundamentals of electrical and electromechanical
engineering modeling and design.
 Students will develop basic skills of utilizing mathematical tools needed to analyze and design classical
linear dynamic control systems.
 Each student will get real-world experience in control systems problems, design, and implementation.
 Students will use and understand the application of software tools in Control system analysis and
design (eg. MATLAB and Simulink, Mathematical or other open source software …)

Course Descriptions
The Course is divided into three major parts: Modeling and Representation of Linear Systems,
Classical Control System Analysis Methods and Compensator Design in Classical Control System

Content Outline
Unit-I: Introduction to control systems; History of Control System Engineering, The basic
concept of Control systems.
Unit-II: Mathematical Modeling of Physical Systems; System concept, Examples of Control
Systems, Mathematical models of electrical, mechanical and Electro-mechanical systems,
Mathematical models of thermal and fluid systems (reading assignment), Basic Control System
components.
Unit-III: Characteristics of Feedback Control System; Sensitivity of Control System for
parameter variation, Disturbance signals in a feedback control system, The cost of feekldback.
Unit-IV: Time Domain Response Analysis of Control System; Performance characteristics of
feedback control system, Steady state error, Error constant Performance Indices.
Unit-V: Stability Analysis of Linear Systems; The concept of stability, The Ruouth-Hurwits
stability criterion, The stability of linear feedback control system, String efficiency and method
of improvement.

Page 39 of 96
Unit-VI: The Root-Locus Method; Introduction, The Root-Locus concept, Construction of
Root-Locus procedure, Design in s domain (compensator design).
Unit-VII: Frequency Response Analysis of control systems; Introduction, Frequency response
plot (Polar plot, bode plot, magnitude versus phase plot), Frequency response of feedback control
systems (Control specification in frequency domain, Relative stability, Nichols chart).
Unit-VIII: Stability Analysis in Frequency Domain; Introduction, The Nyquist stability
criterion, Bode plot and stability, Relation between plots, Gain-Phase plot and stability, Closed
loop response from open-loop frequency response, Correlation between frequency response and
time domain response.
Unit-IX: Control System Design; Introduction, Approaches to system design, PID controller
and Classical tuning procedure: the Ziegler-Nicholas procedure, Cascade compensation network,
Root locus method design: Phase-lead compensation, phase-lag compensation, Lead-lag
compensation, Frequency response method: Phase-lead compensation, phase-lag compensation,
lead-lag compensation.

Lis of Experiments
Speed control of DC motor/AC motor, Position control using incremental resolver, Speed control
of Proportional, PI, PID control of feedback control systems
Attendance Requirements: 90% lecture attendance and 100% Laboratory
Teaching & Learning Methods: Lectures, tutorials, and Laboratory exercises.
Assessment/Evaluation: Continuous Assessment (Assignments, Quizzes, Lab exercise(20%),
Mid-semester Exam and related): (60%); Final examination (40%)
Grading:
Mark Interval [%] [90,100] [85,90) [80,85) [75,80) [70,75) [65,70) [60,65) [50,60) [45,50) [40,45) [30,40) <30
Fixed Number Grade 4.0 4.0 3.75 3.5 3.0 2.75 2.5 2.0 1.75 1.0 0 0
Letter Grade A+ A A- B+ B B- C+ C C- D Fx F

References
1. Nagrath, I.J., and Gopal, M., Control systems Engg, New Age International ltd. publishers 4ed., 2005
2. Girma Mulisa: Introduction to Control Engineering
3. Feedback Control system analysis and synthesis by John J. D’ Azzo and Houpis,
4. Richard Dorf, Modern Control System, 11th Edition, Pearson ,2008
5. Norman S. Nise, Control Systems Engineering ,6th Edition, John Wiley & Sons, Inc.
6. Roland Burns, Advanced Control Engineering, 2001, Butterworth-Heinemann
7. J.J. D’azzo, Feedback Control System Analysis and Synthesis,
8. Ogata.K. Modern Control Engineering, 4th Edition, Printicel Hall Inc. New Jersey,2002
9. Gopal, M. Modern Control System Theory, 2nd Edition, New Age International Publishers, New Delhi,1993

d. Electronics & Communication Engineering category

Course Course name Pre-requisite L T P Credit


code
ECE 201 Analog Electronics I EE 102 3 1 3 4

Course Objectives
 To learn and understand the basic semiconductor devices and their characteristics
 To study BJT & FET structure and characteristics
 To study and design BJT and FET amplifier circuits

Page 40 of 96
 To study and analyze frequency response of amplifier circuits
 To study and analyze multistage amplifier circuits and coupling methods

Course Descriptions
This course develops a basic understanding of the fundamentals and principles of analog circuits
and electronic devices. It covers semiconductor materials and their properties; diodes and their
applications; transistors’ structure, operation and characteristics; transistor amplifiers and
configurations; the frequency response of amplifiers, and coupling methods and gain analysis of
multistage amplifiers. It also includes fundamental concepts of power amplifiers. It involves
laboratory practices and simulation of circuits using CAD tools, i.e. PSPICE, MULTISIM or else.

Content Outline
Unit I: Semiconductor Materials and Diodes; Semiconductor Materials and Properties, The PN junction,
DC analysis and models of diode circuits, AC equivalent Circuit of diode circuits. Unit II: Diode
Applications; Rectifier circuits, Zener diode circuits, Clipper and clamper circuits, Multiple diode circuits,
Photo diode and LED circuits. Unit III: The Bipolar Junction Transistor (BJT); BJT basics:
Structure and operation, Characteristics and parameters. Transistor bias circuits: The DC
operating point, Biasing methods. BJT amplifiers: Large signal/DC analysis, Small signal
operation, model and analysis, Basic transistor amplifier configurations, The common-emitter
amplifier, The common-collector (emitter-follower) amplifier, The common-base amplifier. Unit
IV: The Field Effect Transistor (FET); FET basics: The JFET, JFET characteristics and
parameters, The MOSFET, MOSFET characteristics and parameters, FET Biasing and DC
analysis. FET amplifiers: The MOSFET amplifier, Basic Transistor amplifier configurations,
The common-source amplifier, The common-drain (source-follower) amplifier, The common-
gate configuration. Unit V: Frequency Response; Low frequency analysis, Low frequency
response - BJT amplifiers, Low frequency response - FET amplifiers, Miller effect capacitance,
High frequency response – BJT amplifiers, High frequency response – FET amplifiers. Unit VI:
Multistage Amplifiers; Coupling methods, Cascade configuration: BJT and FET amplifiers,
Cascade configuration: BJT and FET amplifiers, Frequency response in multistage amplifiers.
Unit VII: Power amplifiers; Definitions and amplifier types, Class A power amplifier, Class B
power amplifier, Class AB push-pull complementary power amplifier circuits, Class C and D
power amplifier.

List of Experiments
 Introducing selected Circuit Simulation tool and Introduction to Electronic Test Equipment
 Diodes and Applications
 Transistor Biasing
 Transistor AC Amplifiers
 Transistor Small Signal Amplifiers
 Design Project
 Frequency Response
Attendance Requirements: 90% lecture attendance and 100% Laboratory
Teaching & Learning Methods: Lectures, tutorials, and Laboratory exercises.
Assessment/Evaluation: Continuous Assessment (Assignments, Quizzes, Lab exercise (20%),
Mid-semester Exam and related): (60%); Final examination (40%)

Page 41 of 96
Grading:
Mark Interval [%] [90,100] [85,90) [80,85) [75,80) [70,75) [65,70) [60,65) [50,60) [45,50) [40,45) [30,40) <30
Fixed Number Grade 4.0 4.0 3.75 3.5 3.0 2.75 2.5 2.0 1.75 1.0 0 0
Letter Grade A+ A A- B+ B B- C+ C C- D Fx F

References
1. R. Boylestad and L. Nashelsky, Electronic Devices and Circuit Theory, 11th Ed., New Jersey: Pearson
Education Inc., 2013.
2. T.L. Floyd, Electronic Devices, 9th Ed., New Jersey: Prentice Hall, 2012.
3. D.A. Neamen, Microelectronics: circuit analysis and design, 4th Ed., New York: McGraw-Hill, 2010.
4. A.S. Sedra and K.C. Smith, Microelectronics Circuits, 6th Ed., New York: Oxford University Press,
2010.
5. P. R. Gray. Et al, Analysis and Design of Analog integrated Circuits, 4th Ed., New York: John Wiley
and Sons Inc., 2001.

Course Course name Pre- L T P Credit


code requisite
ECE 203 Digital logic design - 3 1 3 4

Course Description
Data representation in digital computers; Boolean algebra; the analysis, design, optimization and
implementation of combinatorial and sequential circuits; modern digital circuit technologies.
Course outline:
Unit I: Boolean algebra; Unit II: Logic gates and networks, Unit III: Early introduction to basics of
HDL (hardware description language), Unit IV: Combinational logic circuit synthesis and optimization,
Unit V: Number representation and arithmetic circuits, Unit VI: CMOS technology and programmable
logic devices, Unit VII: Flip-flops, registers, and counters, Unit VIII: Finite state machines, Unit IX:
sequential digital circuits: Synchronous sequential circuits, Asynchronous sequential circuits and Digital
system design, Unit X: Testing and testability of logic circuits
Textbook:
 Fundamentals of Digital Logic with Verilog Design, Brown a Vranesic, McGrawi-Hill Publ.
References:
 Floyd, Digital Electronics
 Switching Theory and Automata, Kohavi
 M. Morris Mano, Digital Design
 Enoch O. Hwang, Digital Logic and Microprocessor Design with VHDL

Course Course name Pre- L T P Credit


code requisite
ECE 202 Signals and Systems SC 201 3 - 3 4

Course Objective
 Able to apply knowledge of mathematics
 Able to use the techniques, skills, and modern engineering tools necessary for
engineering practice

Page 42 of 96
Course Description
 To study the basic signals and their derivatives
 To study convolution, Discrete and continuous time Fourier series and Fourier
transforms and their applications in engineering field
 To learn and apply Laplace and Z-Transform method to problem solving

Course Outline
1. Introduction
1.1. Signal Representation
1.2. Classification of signals
1.3. Common signals and signal operations
1.4. System representation
1.5. Classification of systems – System modeling
1.6. Linear time invariant systems
1.7. Properties of LTI systems
1.7. Ramp and Impulse functions
1.8. Properties of impulse functions
2. Convolution
2.1. Convolution – why do we convolve?
2.2. Properties of convolution
2.3. Representation of signals using impulses
2.4. Impulse response and convolution representation of LTI systems
3. Fourier Series Representation of Periodic Signals – Discreet and Continuous
3.1. Fourier series definition – why do we represent signals in complex exponentials in Fourier
series?
3.2. Response of LTI systems to complex exponential signals
3.3. Finding Fourier series representations of signals
3.4. Convergence of Fourier series
3.5. Properties of Fourier series
3.6. Fourier series and frequency spectra
3.7. Fourier series and LTI systems
4. Fourier Transform Representation of Aperiodic Signals – Discreet and Continuous
4.1. Fourier transform definition
4.2. Convergence of Fourier transform
4.3. Fourier transform properties
4.4. Fourier transforms of periodic signal
4.5. Frequency spectra of signals
4.6. Frequency response of LTI systems
4.7. Sampling theorem
5. Laplace Transforms
5.1. Laplace Transforms definition
5.2. Integral Laplace transform
5.3. Properties of Laplace transform
5.4. Laplace transforms of Common signals
5.5. Relation between Fourier and Laplace transform
5.6. Inverse Laplace transform – Solution of Linear systems
5.7. LTI system analysis
6. Z-Transforms
6.1. Z-Transforms
6.2. Properties of Z-transform
6.3. Inverse Z-transform
6.4. Analysis and characterization of LTI system in Z-transform

Page 43 of 96
List of Experiment or Tutorial
 Generating and plotting of continuous-time signals
 Generating and plotting of sinusoids and complex exponentials
 Describing systems using differential and difference equations
 Energy and Power signal computing
 Convolution and Simple LTI system
 Fourier analysis of continuous-time signal
 Computing of spectrum of a continuous-time signal
 Computing Fourier, Laplace and Z transforms
 Sampling: Generating of signal with given frequency. Over- and under-sampling

Attendance Requirements: 90% lecture attendance and 100% Laboratory


Teaching & Learning Methods: Lectures, tutorials, and Laboratory exercises.
Assessment/Evaluation: Continuous Assessment (Assignments, Quizzes, Lab exercise (20%), Mid-semester Exam
and related): (65%); Final examination (35%)
Grading:
Mark Interval [%] [90,100] [85,90) [80,85) [75,80) [70,75) [65,70) [60,65) [50,60) [45,50) [40,45) [30,40) <30
Fixed Number Grade 4.0 4.0 3.75 3.5 3.0 2.75 2.5 2.0 1.75 1.0 0 0
Letter Grade + A - + B - + C - D Fx F
A A B B C C

References
1. Signals and Systems, 2ed - A.V.Oppenheim & A.S.Willsky (Prentice Hall) [Course Textbook]
2. Signals and Systems: Continuous and Discrete – R.E. Ziemer and W.H. Tranter, Person Education.
3. Signals and Systems – S. Haykins and B.Vvan. Veen, John Wiley and Sons.
4. Signal and systems: Analysis Through linear systems-Michel J. Roiberts TMH, 2003

Course Course name Pre-requisite L T P Credit


code
ECE 204 Analog Electronics II ECE 201 3 1 3 4

Course Objectives
 To learn and understand analysis and design of basic ICs
 To study, analyze and design basic power, Operational, differential and feedback amplifiers
 To study and analyze the design of oscillator and wave form generator circuits

Course Descriptions
This course covers basic concepts of Operational, differential and feedback amplifiers. It also
includes theoretical and practical concepts on power supply filters and voltage regulators,
oscillators and wave shaping circuits. The laboratory activities include the design, construction,
computer simulation with CAD tools, i.e. PSPICE and MULTISIM, and analysis of integrated
circuits.

Page 44 of 96
Content Outline
Unit I: Operational amplifiers; Basic concepts: OPAMP basics, Inverting amplifier,
Noninverting amplifier. OPAMP applications; Linear applications: Summing, Difference,
Current-to-voltage converters, Voltage-to-current converters, Instrumentation, Integrator and
differentiator amplifiers. Non-linear applications: Half wave rectifier, Log amplifiers,
Antilog/Exponential amplifier, and Peak detector circuits. Unit II: Differential amplifiers; BJT
differential pair, FET differential pair, Differential amplifier with active loads, Differential
amplifier frequency response. Unit III: Feedback Amplifiers; General feedback structure,
Properties of negative feedback, Ideal feedback topologies, Voltage (series-shunt) amplifiers,
Current (shunt-series) amplifiers, Transconductance (series-series) amplifiers, Trans resistance
(shunt-shunt) amplifiers, Practical feedback circuits: closed-loop gain, input and output
impedances analysis. Unit IV: Power supply filters and regulators; General filter
considerations, Capacitor filter, RC filter, Voltage regulation, Linear series regulator, Linear
shunt regulator, Switching regulator, IC voltage regulators and configurations. Unit V:
Oscillators; Basic principle for oscillation, Phase-shift oscillator, Wien-bridge oscillator, Tuned
oscillators: Colpitts and Hartley, Crystal oscillators, Unijunction oscillators. Unit VI: Signal
generators and wave shaping circuits; Waveform generator circuits (multivibrators):
Bistable, Monostable and Astable multivibrators. The Schmitt trigger, Sample and hold circuits,
IC Timers, Nonlinear wave-form shaping circuits, Precision Rectifier Circuits

List of Experiments
 Inverting and Non inverting Amplifier
 Summing and Difference Amplifier
 Linear Op amp circuits such as Instrumentation amplifier, Integrator and Differentiator
 Precision Rectifier and Comparator
 Differential amplifier
 Feedback amplifier
 Voltage regulators
 RC Phase Shift Oscillator and Wein Bridge Oscillator
 Applications of Timer IC 555
 Study of waveform generators: - Schmitt trigger circuit using Op –Amps, Astable and Monostable
multivibrator using Op -Amps.
Attendance Requirements: 90% lecture attendance and 100% Laboratory
Teaching & Learning Methods: Lectures, tutorials, and Laboratory exercises.
Assessment/Evaluation: Continuous Assessment (Assignments, Quizzes, Lab exercise (20%),
Mid-semester Exam and related): (60%); Final examination (40%)
Grading:
Mark Interval [%] [90,100] [85,90) [80,85) [75,80) [70,75) [65,70) [60,65) [50,60) [45,50) [40,45) [30,40) <30
Fixed Number Grade 4.0 4.0 3.75 3.5 3.0 2.75 2.5 2.0 1.75 1.0 0 0
Letter Grade A+ A A- B+ B B- C+ C C- D Fx F

References
1. D.A. Neamen, Microelectronics: circuit analysis and design, 4th Ed., New York: McGraw-Hill, 2010.
2. T.L. Floyd, Electronic Devices, 9th Ed., New Jersey: Prentice Hall, 2012.
3. R. Boylestad and L. Nashelsky, Electronic Devices and Circuit Theory, 11th Ed., New Jersey: Pearson
Education Inc., 2013.
4. A.S. Sedra and K.C. Smith, Microelectronics Circuits, 6th Ed., New York: Oxford University Press,
2010.

Page 45 of 96
5. P. R. Gray Et al, Analysis and Design of Analog integrated Circuits, 4th Ed., New York: John Wiley
and Sons Inc., 2001.

Course Course name Pre-requisite L T P Credit


code
ECE 206 Digital system design ECE 203 3 - 3 4
Course Objectives
 Ability to use computer-aided design tools for design of digital logic circuits.
 Ability to model, simulate, verify, and synthesize with hardware description languages.
 Ability to design and prototype with programmable logic devices.
Course Descriptions
Review of digital design concepts, Review of Combinational Circuit Design, Combinational logic
using VHDL gate models, Combinational building blocks, Synchronous sequential design, VHDL
models of sequential logic blocks, Designing with Programmable Logic devices, Complex
sequential systems, Test and Verification Methods, Computer Aided Design Tools.
Content Outline
Unit - I: Review of digital design concepts; Review of logic design fundamentals. Digital
Hardware: Standard Chips, Programmable Logic Devices, Custom-Designed Chips. The Design
Process: Basic Design Loop concepts, Extended Design flow for logic circuits. Introduction to
CAD Tools: Design Entry, Synthesis, Functional Simulation, Physical Design, Timing
Simulation. Introduction to VHDL: Representation of Digital Signals in VHDL, Writing Simple
VHDL Code. Unit - II: Combinational Circuit Design; Review of Combinational logic
design: Boolean algebra, Logic gates, Combinational logic design, Timing, Number codes.
Combinational logic using VHDL gate models: Entities and architectures, Identifiers, spaces
and comments, Netlists, Signal assignments, Generics, Constant and open ports, Test benches and
Configurations. Combinational building blocks: Three-state buffers, Decoders, Multiplexers,
Priority encoder, Adders, Parity checker, Test benches for combinational blocks of VHDL
simulation. Unit - III: Sequential Circuits Design; Synchronous sequential design:
Synchronous sequential systems, Models of synchronous sequential systems, Algorithmic state
machines, Synthesis from ASM charts, State machines in VHDL, VHDL test benches for state
machines. VHDL models of sequential logic blocks: Latches, Flip-flops, JK and T flip-flops,
Registers and shift registers, Counters, Memory, Sequential multiplier, Test benches for
sequential building blocks of VHDL simulation. Unit - IV: Designing With Programmable
Logic devices; Read-only Memories and programing it, Programmable Logic Arrays (PLAs),
Programmable Array Logic (PAL), Programming of PLAs and PALs, Complex Programmable
Logic Devices (CPLDs), Field-Programmable Gate Arrays, Using CAD Tools to Implement
Circuits in CPLDs and FPGAs, Applications of CPLDs and FPGAs, Custom Chips, Standard
Cells, and Gate Arrays, Practical Aspects, Implementation Details for SPLDs, CPLDs, and
FPGAs. Unit-V: Complex sequential systems; Linked state machines, Data path/controller
partitioning, Instructions, A simple microprocessor, VHDL model of a simple microprocessor,
and Design cases (single cycle, multi cycle and pipelined processors).Unit - VI: Test and
Verification Methods; The need for testing, Fault Models, Complexity of a Test Set, Fault-

Page 46 of 96
oriented test pattern generation, Fault simulation, Path Sensitizing, Circuits with Tree Structure,
Random Tests, Testing of Sequential Circuits,, Built-in Self-Test. Unit - VII: Computer Aided
Design Tools; Synthesis: Netlist Generation, Gate Optimization. Physical Design: Placement,
Routing, Static Timing Analysis.
Laboratory Exercises

VHDL Design of Combinational Logic Circuits


 Generic Address Decoder
 BCD-to-SSD Conversion Function
 Generic Multiplexer
 Generic Priority Encoder
 Design of ROM Memory
 Design of Synchronous RAM Memories
VHDL Design of Combinational Arithmetic Circuits
 Carry-Ripple Adder
 Carry-Lookahead Adder
 Signed and Unsigned Adders/Subtracters
 Signed and Unsigned Multipliers/Dividers
 ALU
VHDL Design of Sequential Circuits
 Shift Register with Load
 Switch Debouncer
 Timer
 Fibonacci Series Generator
 Frequency Meters
VHDL Design of State Machines
 Design cases (single cycle, multi cycle and pipelined processors)

Attendance Requirements: 90% lecture attendance and 100% Laboratory


Teaching & Learning Methods: Lectures, tutorials, and Laboratory exercises.
Assessment/Evaluation: Continuous Assessment (Assignments, Quizzes, Lab exercise (20%),
Mid-semester Exam and related): (60%); Final examination (40%)
Grading:
Mark Interval [%] [90,100] [85,90) [80,85) [75,80) [70,75) [65,70) [60,65) [50,60) [45,50) [40,45) [30,40) <30
Fixed Number Grade 4.0 4.0 3.75 3.5 3.0 2.75 2.5 2.0 1.75 1.0 0 0
Letter Grade A+ A A- B+ B B- C+ C C- D Fx F

Textbook(s)
i. Digital System Design with VHDL, Mark Zwoli´nski.
Reference books
1. Fundamentals of Digital Logic with VHDL Design, Stephen Brown and Zvonko Vranesic.
2. Digital System Design Using VHDL, Charles H. Roth. Jr.
3. Digital Electronics and Design With VHDL, Volnei A. Pedroni.

Page 47 of 96
Course Course name Pre-requisite L T P Credit
code
ECE 301 Transmission Lines, EE 202 3 - 3 4
Antennas and Wave
Propagation

Course Objectives
 Understand and quantify the effects of accelerated charges in producing time-varying
electromagnetic waves.
 Be able to derive- from Maxwell’s equations- the governing equations for EM wave
propagation, RF transmission lines.
 Have gained insight into the applications of RF transmission lines, the use of Smith Chart
and matching techniques.
 Understand and quantify how antennas launch electromagnetic waves into the surrounding
medium.
 Have gained insight into how radio waves (Ground waves, Sky waves, Line of Sight
waves, etc.) propagate in to space.

Course Descriptions
The course introduces students to the various types and models of Radio wave propagation,
various antenna types and their theories. The main objectives of the course are to enable students
derive (from Maxwell’s equations) the governing equations for EM wave propagation and RF
transmission lines, have gained insight into the applications of RF transmission lines, the use of
Smith Chart and matching techniques, understand and quantify how antennas launch
electromagnetic waves into the surrounding medium, have gained insight into how radio waves
(Ground waves, Sky waves, Line of Sight waves, etc.) propagate in to space, gain knowledge and
understanding of the working principles of different types of waveguides.

Content Outline
Unit-I: Transmission Lines; Introduction – Properties of Transmission lines – Transmission,
line parameters – Transmission line equations – Input impedance – Reflection coefficient–
Standing wave ratio – power – the Smith Chart and its applications – Some applications of the
transmission line: Impedance matching, single stub and double stub matching, the quarter wave
transformer, transients on transmission lines. Unit-II: Antennas; Introduction – the Hertzian
dipole – the half wave dipole antenna – Quarter wave monopole – Small loop antenna – Antenna
characteristics – Near field and far field, radiation resistance, Antenna patterns, gain and
directivity, antenna patterns, effective area and Friis equation, the radar equation, antenna types.
Unit-III: Electromagnetic Wave propagation; Waves in general, plane waves in lossy and
lossless dielectrics, plane waves in space, plane waves in good conductors, ground wave, space
wave and sky wave propagation. Unit-IV: Waveguides and Microwave components; Wave
guide analysis: solution to the wave equations and boundary conditions –rectangular and circular
waveguides, various modes of operation of wave propagation inside the wave guide. Microwave
components - Tees, circulators, directional couplers, attenuators, phase Shifters, S-parameter
analysis of microwave components.

Laboratory works

 Measuring the characteristics of a line characteristics of a shielded line


 Measuring the attenuation of Line
 Measuring the imput impedance of the line
 Phase dispplacement between the current and voltage at input of line

Page 48 of 96
 Frequency characteristics of the line
 Study of stationary waves
 Signal phase shift along the line
 Fault localization within the line
 Line under pulsed condition

Attendance Requirements: 90% lecture attendance


Teaching & Learning Methods: Lectures, tutorials.
Assessment/Evaluation: Continuous Assessment (Assignments, Quizzes, Mid-semester Exam
(20%), laboratory works and related): (60%); Final examination (40%)
Grading:
Mark Interval [%] [90,100] [85,90) [80,85) [75,80) [70,75) [65,70) [60,65) [50,60) [45,50) [40,45) [30,40) <30
Fixed Number Grade 4.0 4.0 3.75 3.5 3.0 2.75 2.5 2.0 1.75 1.0 0 0
Letter Grade A+ A A- B+ B B- C+ C C- D Fx F

Textbook(s)
Reference Book(s)
1. Elements of Electromagnetics, M.N.O. Sadiku
2. Principles and Applications of Electromagnetics, R. Plonsey and R.E. Collin
3. Engineering Electromagnetics, W.H. Hayt and J.A. Bruck
4. Fields and Waves in Communication Electronics, Ramo, Whineery and Van Duzer
5. R E Colin, Foundations of Microwave Engineering. Mc Graw Hill 2nd Ed. 1992

Course Course name Pre-requisite L T P Credi


code t
ECE 303 Network Analysis and Synthesis ECE 202 3 1 - 3

Course Objectives
 To learn basic network functions and network topology.
 Poles and zeros of the systems and partial fraction expansions
 Two port networks and parameters
 Synthesis of network using different methods

Course Descriptions
General definitions and terminologies of Network analysis and Network Synthesis, Analyzing of
LTI networks, application of Laplace transforms in network analysis and synthesis, Analysis of
two port networks, synthesis of two port networks.

Content Outline
Unit - I: Introduction to network analysis and synthesis; Network Analysis, Basic definitions
in network analysis and synthesis, Network Synthesis. Network Elements, Initial and Final
Conditions, Step and Impulse Response, Solution of Network Equations, Analysis of
Transformers.
Unit - II: Review of Laplace transform and Transform methods in network analysis; The
Philosophy of Transform Methods, The Laplace Transform, Properties of Laplace Transforms,
Uses of Laplace Transforms, Partial-Fraction Expansions, Poles and zeros, Evaluation of
Residues, The Initial and Final Value Theorems. Transformed circuits, Thevenin's and Norton's
Theorems, System function, step and impulse responses, Convolution integral, The Duhamel
superposition integral. Unit - III: Two port networks; Two-port parameters (Z -, Y-, H-, and

Page 49 of 96
ABCD parameters), Relationships Between Two-Port Parameters, Transfer Functions Using
Two-Port Parameters, Parallel, Series, and Cascade Interconnections of Two-Ports, Incidental
Dissipation, Analysis of Ladder Networks.
Unit - IV: Synthesis of Two-Port Networks; Causality and Stability, Hurwitz Polynomials,
Positive Real Functions, Elementary Synthesis Procedures.
Unit - V: Filters and Attenuators; Two port reactive networks, Characteristic impedance and
propagation constant, Transmission and attenuation bands, Ladder networks, constant K- low
pass, high pass, Band pass and band elimination filters, M- derived T and  sections. Active filters.
Attenuators: T, , lattice, bridged T, L type attenuators.

List of Experiments: NA
Attendance Requirements: 90% lecture attendance
Teaching & Learning Methods: Lectures, tutorials.
Assessment/Evaluation: Continuous Assessment (Assignments, Quizzes, Mid-semester Exam,
and related): (50%); Final examination (50%)
Grading:
Mark Interval [%] [90,100] [85,90) [80,85) [75,80) [70,75) [65,70) [60,65) [50,60) [45,50) [40,45) [30,40) <30
Fixed Number Grade 4.0 4.0 3.75 3.5 3.0 2.75 2.5 2.0 1.75 1.0 0 0
Letter Grade A+ A A- B+ B B- C+ C C- D Fx F

References:
1. Network Analysis and Synthesis- Franklin F.Kuo, 2nd edition, 1966
2. Network Analysis- M.E.Van Valkenburg, 2nd edition, 1964
3. Filter Design for Signal Processing using MATLAB and Mathematica, Miroslav D Lutovac, Dejan V.
Tosic, Brian L. Evans, Prentice Hall, 1st, Edition (September 6, 2000).
4. Charles K. Alexander, Mathew N.O. Sadik, “Fundamentals of Electric Circuits”, Second Edition,
McGraw Hill, (2003)
5. William H. Hayt Jr, Jac k E. Kemmerly and Steven M. Durbin, “Engineering Circuits Analysis”,Tata
McGraw Hill publishers, 6th edition, New Delhi, (2002)

Course code Course name Pre-requisite L T P Credit


ECE 208 Electronic Measurements & EE 102 3 - 3 4
Instrumentation

Course Objectives
 To understand the basic principles of measurement and instrumentation;
 To acquire basic knowledge on various elements of instrumentation;
 To familiarize with various industrial sensors and their applications;
 To understand data acquisition and communication systems in instrumentation;

Course Descriptions
General Principles of Measurement and Instrumentation, Electronic Instruments for Measuring Basic
Parameters, Sensors and Applications, Signal Conditioning and processing elements, Output Presentation.

Content Outline
Unit-I: General Principles of measurement and instrumentation; Basic Concepts of Measurement-
Accuracy and Precision– Significant figures – Types of Error– Probability of Errors – Limiting Errors; a
generated measurement system; Static and Dynamic Characteristics of instruments; Noise and Interference
in Instrumentations. Unit-II: Electronic Instruments for Measuring Basic Parameters; Amplified DC
meter – AC voltmeter using Rectifiers – RMS responding voltmeter – Electronic Multimeter – Digital

Page 50 of 96
voltmeter. Unit-III: Sensors and Applications; Position and Motion (velocity, acceleration, vibration,
shock) Sensing, Force and Torque (strain, stress), Light, Temperature and Heat, Pressure, Humidity,
density, specific weight, and specific gravity, Viscosity; Sound; pH, Chemical, smoke, level and flow;
Discrete Output Sensors: Shaft Encoders; biomedical applications; fiber optics and laser instrumentation.
Unit-IV: Signal Conditioning and Processing Elements; Deflection Bridges, Amplifiers and
Attenuators, Oscillators and Filters, Digital to Analogue Converter and Analogue to Digital Converter, a
high level review of a microcontroller or microprocessor application as main signal processor. Unit-V:
Output Presentation; Indicators: Pointer–scale indicators, Liquid crystal displays (LCDs), LED and
Seven segment display, Alphanumeric, Recorders: Graphical, Magnetic, and Semiconductor. Unit-VI:
Frequency Counters and Time Interval Measurements; Simple frequency counter – measurement error
– Extending frequency range of counter – Automatic and Computing Counters, Period Measurement. Unit-
VII: Introduction to data Acquisition and Communication Systems; Time division multiplexing,
Typical data acquisition system, Parallel digital signals, Serial digital signals, Communication systems for
measurement.

Lis of Experiments
 Static Calibration of Instruments,
 Sensor Applications: selected sensors characteristics
 Signal Conditioning Circuits together with selected sensors
 ADC connected with signal condition system
 Output presentation mechanism connected with ADC output.
Attendance Requirements: 90% lecture attendance and 100% Laboratory
Teaching & Learning Methods: Lectures, tutorials, and Laboratory exercises.
Assessment/Evaluation: Continuous Assessment (Assignments, Quizzes, Lab exercise (20%),
Mid-semester Exam and related): (60%); Final examination (40%)

Grading:
Mark Interval [%] [90,100] [85,90) [80,85) [75,80) [70,75) [65,70) [60,65) [50,60) [45,50) [40,45) [30,40) <30
Fixed Number Grade 4.0 4.0 3.75 3.5 3.0 2.75 2.5 2.0 1.75 1.0 0 0
Letter Grade A+ A A- B+ B B- C+ C C- D Fx F

References
1. J.P. Bentley, Principles of Measurement Systems
2. Modern Electronic Instruments and Measuring Techniques – Helfrick and Cooper.
3. Electrical and Electronic measurements & Instrumentation- A.K Sawhney Dhanpat Rai & sons.

Course code Course name Pre-requisite L T P Credit


ECE 302 Analog Communication Systems ECE 202 3 - 3 4

Course Objective:

 To give a strong background in communication systems engineering.


 To teach the different analog modulation and demodulation techniques that are common to many
communication systems.
 To enable students to analyze the performance of receivers in the presence of noise.

Page 51 of 96
Course Descriptions
Introduction to Communication Systems, Noise in Communications Systems, Amplitude modulation,
Single Sideband modulation, Angle modulation, Radio Transmitters and Receivers, Introduction to Pulse
communications.

Unit – I: Introduction to Communication Systems: The history, Significance and Concept of electronic
communication, how sound is converted into electrical and EM wave, Components of Communication
systems, The Electromagnetic spectrum, Communication Applications Unit II: Noise: classification of
noise, Thermal Noise, Short Noise, Low Frequency or Flicker noise, Burst noise, Avalanche Noise,
Equivalent input noise, Noise calculation Signal-to-noise Ratio, S/N ratio of tandem connection, noise
factor, Amplifier input noise, Noise factor and equivalent input noise generators, Noise factors of lossy
network, Unit – III: Amplitude Modulation: Introduction, Amplitude Modulation, Modulation Index,
Frequency spectrum for sinusoidal AM, Total power for sinusoidal AM, effective voltage and current for
sinusoidal AM, modulation by several waves, Double sideband suppressed carrier (DSBSC) modulation,
amplitude Demodulator circuits, Amplitude Modulated transmitter circuits, envelope detector, Noise in
AM systems. Unit – IV: Single Sideband modulation: Introduction, Single sideband principles,
Frequency spectrum for SSB, Importance of SSB, Balanced Modulator, SSB generation, SSB reception,
Signal-Noise ratio for SSB, Unit – V: Angle Modulation: Introduction, FM, Sinusoidal FM, Frequency
spectrum for sinusoidal FM, Average power in sinusoidal FM, deviation Radio, Measurement of
Modulation index for sinusoidal FM, Phase modulation, sinusoidal phase modulation, Angle modulation
circuits, Amplitude Limiters, Noise in FM systems, Pre-emphasis and De-emphasis, Introduction video
communication and vestigial sidebands. Unit – VI: Radio Transmitters and Receivers: Introduction,
Fundamentals of transmitters, Classification of transmitters, Basic principles of signal reproduction, Super
Heterodyne receivers, tuning range, tracking sensitivity and gain, Image rejection, adjacent channel
selectivity, AGC, FM broadcast Receivers, FM stereo receivers,
Unit – VII: Introduction Pulse Communication: Introduction: sampling, Pulse modulation (PAM, PPM
and PWM) quantization and encoding (Pulse code modulation)

Special lecture: TV communications


List of Experiments:
1. Noise filters

2. AM modulation and Demodulation

3. FM modulation and Demodulation

4. Phase modulation and Demodulation

5. SSB modulations techniques

6. Sampling and quantization

Attendance Requirements: 90% lecture attendance and 100% Laboratory


Teaching & Learning Methods: Lectures, tutorials, and Laboratory exercises.

Page 52 of 96
Assessment/Evaluation: Continuous Assessment (Assignments, Quizzes, Lab exercise (20%), Mid-
semester Exam and related): (60%); Final examination (40%)

Grading:
Mark Interval [%] [90,100] [85,90) [80,85) [75,80) [70,75) [65,70) [60,65) [50,60) [45,50) [40,45) [30,40) <30
Fixed Number 4.0 4.0 3.75 3.5 3.0 2.75 2.5 2.0 1.75 1.0 0 0
Grade
Letter Grade + A - + B - + C - D Fx F
A A B B C C

References
1. Frenzel, Louis. Principles of Electronic Communication Systems.
2. Electronic communications, Dennis Roddy and John Coolen (IV Edition) PHI
3. Electronic Communication Systems Kennedy (III Edition) TMH
4. Communications Systems, Simon Haykin-John Wiley

Course Course name Pre-requisite L T P Credit


code
ECE 304 Information Theory and Coding ECE 203 3 - 3 4

Course Objectives
 Introduce to the students how information and uncertainty are related and why therefore entropy
plays an important role in measuring information
 Enable the students to understand different Channel models and the way capacity being measured in
each model
 Student will able to grasp the basics of different source coding algorithms and the fundamental limit
for the compression of data
 Understand the basics of linear codes: how to construct such codes, how to encode and decode with
linear code

Course Descriptions
The course will introduce about Information Theory, Entropy, Channel Capacity Models, Source
Coding Theorems and Algorithms, Channel Coding, Error Detection and Correction, Error
Control Coding and various types of Codes.

Content Outline
Unit-I: Introduction to Information Theory; Basics of Probability Theory Introduction,
Measure of Information, Average Information Content of Symbols/Entropy, Information Rate,
Properties of Entropy, Markoff Statistical Model for information Source.

Unit-II: Communication Channels; Introduction: Digital Communication blocks. Discrete


Communication Channel and Continuous Channel, Entropy Functions and Equivocation,
Mutual Information, Properties of Mutual Information, Channel Capacity, Channel Efficiency
and Redundancy, Special Channels: (Symmetric/Uniform Channels, Binary noiseless channel,
Binary Symmetric Channels (BSC), Binary Erasure Channels (BEC)), AWGN Channel.
Unit-III: Source Coding; Basic definition: Source coding, Target of Source coding, Code word
length. Definition to terms: Fixed/Variable length code, Distinct Code/ Uniquely Decodable
codes/ Prefix free code. Introduction to source coding: Morse and Prefix-Free Codes. Shannon’s
encoding theorem, Entropy encoding, Shannon Fano Encoding Algorithm, Huffman coding and
Extended Huffman coding, Unary Coding, Elias Gamma Coding, Golomb- and Rice-Codes,

Page 53 of 96
Fibonacci Coding and Tunstall Codes, Image Compression: JPEG. Introduction to Video
Compression.

Unit-IV: Error Control Coding and Linear Block Codes; Introduction, Types of Errors, Galois
Fields, Types of Codes (n,k) Linear Block Codes, Generator Matrices, Parity Check Matrices,
Error Detection and Correction, Syndrome Calculation, Hamming Codes.

Unit-V: Introduction to Cyclic codes; Introduction, Polynomial code représentation, Systematic


cyclic code encoding, Matrix description of cyclic codes, parity check polynomials, (n,k) Cyclic
code encoder, Syndrome decoding of cyclic codes, Syndrome computation circuit, decoding of
cyclic codes(Meggit decoder).

Unit-VI: Introduction to Well-Known Block codes; BCH codes, Reed-Solomon Codes,


Convolutional codes, Interleaving, Introduction to Turbo codes

Laboratory works
1. Introduction to Matlab
2. Vectors and matrices in Mat lab
3. Write a matlab code that implements
a) entropy for BSC
b) information theory inequality
c) capacity of BSC for different probability of errors
d) capacity of AWGN by varying the SNR
4. Generation of all the 4-tubules
5. Deriving H from G and determining GH'
6. parity-check and generator matrices

Page 54 of 96
Attendance Requirements: 90% lecture attendance
Teaching & Learning Methods: Lectures, tutorials.
Assessment/Evaluation: Continuous Assessment (Assignments, Quizzes, Mid-semester Exam
(20%) and related): (50%); Final examination (50%)
Grading:
Mark Interval [%] [90,100] [85,90) [80,85) [75,80) [70,75) [65,70) [60,65) [50,60) [45,50) [40,45) [30,40) <30
Fixed Number 4.0 4.0 3.75 3.5 3.0 2.75 2.5 2.0 1.75 1.0 0 0
Grade
Letter Grade A+ A A- B+ B B- C+ C C- D Fx F

Textbook(s)
Reference Book(s)
1. Information and Coding, N. Abramson, McGraw Hill

2. Introduction to Information Theory, M. Mansurpur, McGraw Hill

3. The mathematical foundation of Communications, E. Shannon

4. Introduction to Data Compression, Khalid Sayood.

5. Digital and analog communication systems, K. Sam Shanmugam, John Wiley, 1996.

6. Digital communication, Simon Haykin, John Wiley, 2003.

7. Information Theory, R.B. Ash, Prentice Hall

Course Course name Pre-requisite L T P Credit


code
ECE 306 Digital Signal Processing ECE 202 3 - 3 4

Course Objectives
 Introduce students to methods of discrete-time signals and systems representation and analysis
 Introduce design methods and realization structures of discrete-time systems.
 Introduce signal processing applications using DFTs
 Introduce spectral analysis using DFTs
 Introduce FFT algorithms.
 Introduce FIR and IIR filter design techniques.
 Introduce 2D transformations.
 DSP applications
 Introduce simulation using MATLAB.

Course Descriptions
In this course, students grasp a deep knowledge and techniques of digital signal processing. The
course begins with basic background knowledge of digital signal processing operations and system

Page 55 of 96
characteristics. Then it covers some transformation techniques and algorithms beginning with
Discrete Fourier series, then to a more detailed coverage on Discrete Fourier transforms - Fast
Fourier Transform (FFT) and Z –transforms and its applications, for example, solving Solution of
difference equations of digital filters, state space analysis of digital system, stability analysis of
digital systems, Realization of digital filters. The course also discusses on Digital filter (FIR and
IIR) design methods. Finally, the course introduces to some DSP hardware and applications such as
in Image Processing, Speech and Voice Processing, Echo Cancellation, Bio-medical Engineering.
Content Outline
Unit – I: Introduction to digital signal processing; Discrete time signals and sequences, linear shift
invariant systems, Stability and causality, Frequency domain representation of discrete time signals
and systems.
Unit – II: Discrete Fourier series: Properties, DFS representation of periodic sequences. Discrete
Fourier transforms: Properties of DFT, Linear convolution of sequences using DFT, Computation
of DFT, Fast Fourier transforms (FFT)- Radix 2 decimation in time and decimation in frequency FFT
algorithms, For a composite number N, Goertzel algorithm and Chirp-Z algorithm, Inverse FFT.
Unit – III: Applications of Z-transforms, Solution of difference equations of digital filters, system
function, state space analysis of digital system, Stability criterion, Frequency response of stable
systems, Realization of digital filters- direct, Canonic, linear phase structure, Cascade, lattice and
parallel forms.
Unit – IV: IIR digital filters: Analog filters approximations, Butterworth and Chebyshev. Design
of IIR digital filters from analog filters, Step and impulse invariance techniques, Bilinear
transformation methods, Spectral transformations.
Unit – V FIR digital filters: Characteristics of FIR digital filters, Frequency response, design of FIR
digital filters, Comparison of IIR and FIR filters. Applications of FFT in spectral analysis and linear
filtering,
Unit – VI: Introduction to DSP hardware and Applications of DSP: Introduction, Image
Processing, Speech and Voice Processing, Echo Cancellation, Bio-medical Engineering, Multi Rate
Signals, Decimation, Interpolation.

List of Experiments
o Generation of DT signals o Design of IIR filter using placement of poles & zeros.
o Convolution and correlation of signals
o Computation of DFT & IDFT using standard formula
o Computation of DFT using FFT algorithms
o Computation of circular convolution
o Design of FIR LPF, HPF, BPF, BRF filter using Fourier
series method
o Design of FIR LPF, HPF, BPF, BRF filter using
frequency sampling method
o Design of FIR filter using Rectangular, Hamming and
Kaiser window
o Design of IIR LPF, HPF, BPF, BRF filter using impulse
invariance method
o Design of FIR LPF, HPF, BPF, BRF filter using bilinear
transformation method

Page 56 of 96
Attendance Requirements: 90% lecture attendance and 100% Laboratory
Teaching & Learning Methods: Lectures, tutorials, and Laboratory exercises.
Assessment/Evaluation: Continuous Assessment (Assignments, Quizzes, Lab exercise (20%), Mid-
semester Exam and related): (60%); Final examination (40%)
Grading:
Mark Interval [%] [90,100] [85,90) [80,85) [75,80) [70,75) [65,70) [60,65) [50,60) [45,50) [40,45) [30,40) <30
Fixed Number Grade 4.0 4.0 3.75 3.5 3.0 2.75 2.5 2.0 1.75 1.0 0 0
Letter Grade A+ A A- B+ B B- C+ C C- D Fx F

References
1. Digital Signal Processing – A computer based Approach, by S.K.Mitra, 2nd Edition.
2. Digital Signal Processing, Principles, Algorithms, and Applications, by Jhon G. Proakis and Dimitris G.
Manolakis, 3rd Edition
3. Digital Signal Processing, by Oppenheim and Schaffer, 1st Edition, Pearson , 1975
4. Digital Signal Processing, Stanley, PrenticeHall, Englewood Cliffs, N.J.
5. Digital filters analysis and design by A.Antoniou, MCGRAW HILL SERIES , 1993

Course Course name Pre-re L T P Credit


code
ECE 308 VLSI Design ECE 206 2 3 - 3

Course Objectives
Upon successful completion of the course, students will be able to:
 Apply the knowledge to describe, compare and derive various types of VLSI technology.
 Identify and design the process of fabrication of various VLSI technologies to meet specified applications
Acquaint with the concepts of VLSI and hardware description language
 Use mathematical methods and circuit analysis models in analysis of CMOS digital electronics circuits,
including logic components and their interconnections.
 Create models of moderately sized CMOS circuits that realize specified digital functions, ASICs.
 Use hardware design languages such as VHDL.
 Use automated layout tools to produce geometric descriptions of complex integrated circuit designs.
Course Descriptions /Content Outline
Unit I: A Review of Microelectronics and Introduction; Introduction to integrated circuit technology,
Production of E-beam masks, Introduction to VLSI and VLSI Technologies, MOS transistors, fabrication,
thermal aspects, production of E-beam masks, Fabrication trends and Size Metrics, Performance Metrics,
System Complexity. Basic Electrical Properties of Mos an Bicmos Circuit: Drain to source current Ids versus
Vds relationships-BICMOS latch up susceptibility. MOS transistor characteristics, figure of merit, pass
transistor NMOS and COMS inverters, circuit model, latch up. Unit II: Logic Design and simulation; Mos
And Bicmos Circuit Design Processes: Mass layers, stick diagrams, design, symbolic diagrams. Programmable
ASICs; ASIC Library Design; Programmable ASIC Logic Cells, Programmable ASIC I/O Cells,
Programmable ASIC Interconnect, Programmable ASIC Design Software. Hardware Description Languages
(HDL); VHDL, Verilog HDL, C Based Languages. Simulation; Logic Simulation and Modeling, Fault
Simulation. Unit III: Logic Synthesis; Timing Simulation and Verification, Floor planning, placement and
routing, Layout Extraction. Basic Circuit Concepts: Sheet resistance, capacitance layer inverter delays, wiring

Page 57 of 96
capacitance, choice of layers. Scaling of Mos Circuits: Scaling model and scaling factors- Limit due to current
density. Unit IV: Testing; Design for Testability, Test Program Development, Prototype Evaluation. Unit V:
IC fabrication process
Experiment: NA
Textbook(s)
1. VLSI Design Techniques for Analog and Digital Circuits (McGraw-Hill Series in Electrical
Engineering) by: Randall L. Geiger, Phillip E. Allen, Noel R. Strader,
2. “Basic VLSI Design” -3rd Edition, Pucknell Douglas Al, PHI
Reference Book(s)
1. Digital Integrated Circuits – A Design Perspective, Prentice Hall, 2002, Jan M. Rabaey, Anantha Chandrakasan
and Borivoje Nikolic
2. Application-Specific Integrated Circuits, Addison-Wesley, 1997, M. John, S. Smith Modern
3. VLSI Design – System-on-Chip Design, Prentice Hall, 2002, Wayne Wolf
4. Principles of CMOS VLSI Design - A Systems Perspective, Addison Wesley, 2001, Neil H. E.
5. HDL Chip Design: A Practical Guide for Designing, Synthesizing & Simulating ASICS & FPGAS Using VHDL
or Verilog, Donne Publishing, 1996, J. Smith
6. “Fundamentals of Modern VLSI Devices”-Yuan TaunTak H Ning Cambridge Press, 2003
7. “ModernVLSI Design Wayne wolf”, Pearson Education Inc. 3rd edition”-Wayne Wolf 2003.

Course Course name Pre-requisite L T P Credit


code
ECE 401 Digital Communication ECE 302/ ECE 3 - 3 4
Systems 306

Course Objectives
 Introduce Pulse modulation techniques used to represent digital data
 Introduce Line coding techniques used in telephony.
 Introduce different modulation techniques used for data communication.
 Study the ISI effect and its mitigation techniques.
 Introduce Optimum Receiver for Data Communications
Course Descriptions
The course gives students deep knowledge in digital communication systems. The course starts with elements of digital
communication systems, and then comprehensive coverage on waveform encoding techniques used to represent analog
signals indifferent digital forms, Line coding techniques used in telephony, Communication through band limited linear
filter channel, different modulation techniques used for data communication, effect of Inter Symbol Interference (ISI)
and its mitigation techniques, Optimum Receiver for Data Communications.
Content Outline
Unit I: Introduction; Some signal and their spectra, elements of digital communication systems and block diagram
description of digital communications system.
Unit-II: Waveform Encoding; Sampling, quantization (uniform and non-uniform), quantization noise, pulse code
modulation (PCM), signal to quantization noise ratio of a PCM system, Differential pulse code modulation
(DPCM), Delta modulation system (DM), Adaptive delta modulation, (ADM), Time division multiplexing, Digital
multiplexer – T1 carrier system.
Unit III: Transmission of digital signal through band limited channel; Introduction, Line coding techniques,
NRZ and RZ, Differential, Bi-Phase, Power Spectra, Inter Symbol Interference (ISI) and its cures, Nyquist criterion

Page 58 of 96
for distortion-less base band binary transmission, Ideal solution for zero ISI, raised cosine spectrum, correlative
coding, Eye pattern, Equalizers.
Unit IV: Digital carrier modulation and demodulation; Introduction, Amplitude shift keying (ASK), BASK
generation, coherent detector, non-coherent detector, Quadri-phase PSK (QPSK), QPSK generation, QPSK
coherent detector, M – Ary schemes, differential phase shift keying (DPSK), frequency shift keying (FSK), noise
performance of modulation schemes– Error analysis for AWGN channel, power spectra.
Unit V: Optimum Receiver Design; Statistical Decision Theory, Detection theory and observation space,
Optimum Receiver, Optimum receiver structure, Maximum Likelihood (ML) Detector, Correlation Receiver,
Matched Filter Receiver
LAB work topics:
1. DPCM Modulation and Demodulation
2. quantization Noise
3. ADPCM modulation and Demodulation
4. Transmission and Reception of Band Limited pulse train
in baseband transmission system
5. Eye pattern
6. Measurement of Bit Error Rate (BER) using Digital Data
7. Binary Phase Shift Keying (BPSK) modulation and
demodulation
8. Differential Phase Shift Keying (DPSK) Modulation and
Demodulation
9. Quadrature Phase Shift Keying (QPSK) Modulation and
Demodulation
10. Minimum Shift Keying (MSK) modulation and
Demodulation
11. Quadrature Amplitude Modulation and Demodulation

Page 59 of 96
Attendance Requirements: 90% lecture attendance and 100% Laboratory
Teaching & Learning Methods: Lectures, tutorials, and Laboratory exercises.
Assessment/Evaluation: Continuous Assessment (Assignments, Quizzes, Lab exercise (20%),
Mid-semester Exam and related): (60%); Final examination (40%)
Grading:
Mark Interval [%] [90,100] [85,90) [80,85) [75,80) [70,75) [65,70) [60,65) [50,60) [45,50) [40,45) [30,40) <30
Fixed Number Grade 4.0 4.0 3.75 3.5 3.0 2.75 2.5 2.0 1.75 1.0 0 0
Letter Grade A+ A A- B+ B B- C+ C C- D Fx F

Textbook(s)
Reference Book(s)
1. An introduction to analog and digital communications; By Simon Haykin
2. Digital Communications by Simon Haykin
3. Digital Communications by Proakis

Course Course name Pre-requisite L T P Credit


code
ECE 405 Industrial Electronics ECE 204 3 0 3 4

Course Objectives
 To study the structure and operation of different solid-state power devices.
 To study the various types of converters.
 To study and understand auxiliary parts of the power converter like gate drivers, filters, snubber circuits.
Course Descriptions
Overview of Power Semiconductor Switches; Single Phase uncontrolled and phase Controlled AC-DC converters;
Step-up and step-down DC-DC converters; DC-AC inverters; AC-AC Converters.

Content Outline
Unit-I: Overview of Power Semiconductor Switches; Application of Power Electronics, Structure and switching
characteristics of Power Diode, Power BJT, Power MOSFETs, IGBTs, Thyristors. Cooling of Power Switching
Semiconductor Switches, Gate driver circuit for solid-state switching devices, Protection circuits for switching
devices. Unit-II: Single Phase AC-DC Converters; Single Phase Uncontrolled rectifiers, Single Phase Phase-
controlled rectifiers, Performance parameters of Single Phase AC to DC rectifiers, Design considerations for Single
Phase AC-DC convertors, Pspice simulation of AC-DC converters. Unit-III: DC-DC Converters; Step-down and
Step-up Choppers, Different quadrant operation, Performance parameters of DC-DC converters, Introduction to
Choppers for Switched-mode Power Supplies, Design considerations for DC-DC converters, Pspice simulation of DC-
DC converters. Unit-IV: DC-AC Inverters; Analysis of Single and three-phase Voltage-fed inverter bridge
topologies, Analysis of Single and three-phase controlled-current source bridge inverter, Inverter output voltage and
frequency control techniques: Single-pulse modulation: Variable dc link, Single-pulse modulation, Multi-pulse
modulation, Multi-pulse-selected notching modulation, and Sinusoidal pulse width modulation, Design considerations
for DC-AC converters, Pspice simulation of DC-AC converters. Unit-V: AC-AC Converters; Phase controlled
single-phase AC voltage control Methods for AC Voltage Variation: AC Voltage Variation by a Smoothly Varying
Transformer, On-off Control, and Time-Ratio Control, Single Phase Cyclo-converters, Design considerations for AC-
AC converters, Pspice simulation of AC-AC converters.

List of Experiments:
 V-I characteristics of selected thyristor.
 Uncontrolled single-phase full-wave center-tapped rectifier and phase bridge rectifier.

Page 60 of 96
 Controlled single-phase half-wave rectifier.
 Controlled single-phase full-wave rectifier.
 DC choppers: DC buck chopper and DC boost chopper
 DC-AC inverter: Single-phase full-bridge inverter with PWM control and Single-phase full-bridge inverter
with SPWM control
Attendance Requirements: 90% lecture attendance and 100% Laboratory
Teaching & Learning Methods: Lectures, tutorials, and Laboratory exercises.
Assessment/Evaluation: Continuous Assessment (Assignments, Quizzes, Lab exercise (20%),
Mid-semester Exam and related): (60%); Final examination (40%)

Grading:
Mark Interval [%] [90,100] [85,90) [80,85) [75,80) [70,75) [65,70) [60,65) [50,60) [45,50) [40,45) [30,40) <30
Fixed Number 4.0 4.0 3.75 3.5 3.0 2.75 2.5 2.0 1.75 1.0 0 0
Grade
Letter Grade + A - + B - + C - D Fx F
A A B B C C

References
1. Power Electronics: Circuit Devices and Applications, Muhammad-H-Rashid.
2. Power Electronics: Devices, Drivres and Applications, B.W. Williams.
3. Power Electronics, C.W. Lander,
4. Power Electronics: Devices, Circuits and Industrial Applications, V. R. MOORTHI.
5. Power Electronics: Principles and Applications- J.Vithayathil,Mc-Graw Hill
6. An introduction to Thyristors and their applications-M.Ramamoorthy, East-westPress Pvt. Ltd
7. Power Electronics HandbookAcademic Press Series in Engineering. David Irwin, Auburn University, Series
Editor, Copyright 2001.

Course code Course name Pre-requisite L T P Credit


ECE 402 Microwave and Satellite ECE 301 3 1 3 4
Communications

Course Objective

 Gain knowledge and understanding of the working principles of different types of


waveguides
 Understand and use the basic microwave devices and systems (both classical and
modern)
 Appreciate the use of microwave devices and systems that they come across in their
carriers and daily life.
Course description /Content Outline
Unit-I: Wave guide analysis: solution to the wave equations and boundary conditions,
Rectangular and circular waveguides, various modes of operation of wave propagation inside the
wave guide. Unit-II: Microwave components; Tees, circulators, directional couplers,
attenuators, phase shifters S-parameter analysis of microwave components. Ferrite microwave
devices. Unit-III: Microwave sources; Klystron – amplifier and oscillator, magnetron, TWT,
microwave. Semiconductor devices: Gunn, IMPATT PIN Varactor Diode, parametric amplifiers,
low noise microwave amplifiers, and TRAPATT. Unit-IV: Introduction to microwave and

Page 61 of 96
integrated circuits. Active and Passive components, Microstrip lines; Slot and Coupled lines;
design of power dividers and combiners, directional couplers, hybrid couplers, filters. Unit-V:
Satellite Communication Technology; Overview Of Satellite Systems, Orbits and Launching
Methods Introduction – Frequency Allocations for Satellite Services – Intelsat – U.S.Domsats –
Polar Orbiting Satellites – Kepler’s First Law – Kepler’s Second Law – Kepler’s Third Law –
Definitions of Terms for Earth-Orbiting Satellites – Orbital Elements – Apogee and Perigee
Heights – Orbital Perturbations – Inclined Orbits – Local Mean Solar Time and Sun-
Synchronous Orbits– Standard Time– The Sub-satellite Point – Predicting Satellite Position.
monitoring. Unit-VI: Geostationary Orbit & Space Segment; Introduction – Antenna Look
Angels – The Polar Mount Antenna – Limits of Visibility – Near Geostationary Orbits – Earth
Eclipse of Satellite – Sun Transit Outage – Launching Orbits – Power Supply – Attitude Control
– Spinning Satellite Stabilization – Momentum Wheel Stabilization – Station Keeping – Thermal
Control – TT&C Subsystem – Transponders – Wideband Receiver – Input Demultiplexer –
Power Amplifier – Antenna Subsystem – Morelos – Anik-Satellites – Advanced Tiros-N
Spacecraft. Unit-VII: Earth Segment & Space Link; Introduction – Receive-Only Home TV
Systems – Master Antenna TV System – Community Antenna TV System – Transmit-Receive
Earth Stations – Equivalent Isotropic Radiated Power – Transmission Losses – Free-Space
Transmission – Feeder Losses – Antenna Misalignment Losses – Fixed Atmospheric and
Ionospheric Losses – Link Power Budget Equation – System Noise – Antenna Noise – Amplifier
Noise Temperature – Amplifiers in Cascade – Noise Factor – Noise Temperature of Absorptive
Networks – Overall System Noise Temperature – Carrier-to-Noise Ratio – Uplink – Saturation
Flux Density – Input Back Off – The Earth Station HPA – Downlink – Output Back off –
Satellite TWTA Output – Effects of Rain – Uplink rain-fade margin – Downlink rain-fade
margin – Combined Uplink and Downlink C/N Ratio – Intermodulation Noise

List of Experiments:
 Characteristics of the reflex klystron tube
 Study of v-i characterstics of gunn diode
 Determination of characteristics of directional coupler
 Study of function of multi-hole directional coupler by measuring the following parameters.
 Study of Magic Tee
 Study of Circulator/Isolator
Attendance Requirements: 90% lecture attendance and 100% Laboratory
Teaching & Learning Methods: Lectures, tutorials, and Laboratory exercises.
Assessment/Evaluation: Continuous Assessment (Assignments, Quizzes, Lab exercise (20%),
Mid-semester Exam and related): (60%); Final examination (40%)
Grading:
Mark Interval [%] [90,100] [85,90) [80,85) [75,80) [70,75) [65,70) [60,65) [50,60) [45,50) [40,45) [30,40) <30
Fixed Number 4.0 4.0 3.75 3.5 3.0 2.75 2.5 2.0 1.75 1.0 0 0
Grade
Letter Grade A+ A A- B+ B B- C+ C C- D Fx F

References

1. Leo Young and H Sobol Ed. Advances in Microwaves, Vol 2, Academic Press inc., 1974
2. B Bhat and S Koul, Stripline-like transmission lines for MICs, John Wiley, 1989

Page 62 of 96
3. Y K Ishii, Handbook of Microwave Technology, Vol 1, Academic Press, 1995.
4. R E Colin, Foundations of Microwave Engineering. Mc Graw Hill 2 nd Ed. 1992
5. D M Pozar, Microwave Engineering, John Wiley, 1996

Course Course name Pre-requisite L T P Credit


code
ECE 404 Optical Communications system ECE 401 3 - 3 4

Course Objectives
 The Course provides a basic understanding of the nature of light, its propagation through
different media and methods of analysis of optical transmission.
 It introduces functional concepts of optical fibers and their applications in communications.
 It also introduces optical sources and detectors used in optical fiber communications.
 Introduction Fiber optic systems: Propagation of light in an optical fiber; ray model and
wave model; Modal propagation in fiber.

Course Descriptions
The Course provides students with an in-depth understanding of the physics of optical
communication components and their applications to communication system. The course will also
provide exposure to current research activity in the field of optic and optical communication. Upon
completing of the course, students will have a detailed comprehensive understanding of the
fundamentals of the operation and design of fiber optic systems and components employed in
communication systems. The topics included in Optical Communication Systems consist of three
sections. The first section will cover structure of optical fiber and signal propagation through
optical fiber with linear and nonlinear effects including optical fiber light guiding, wave
propagation characteristics, materials properties, and fabrication, fiber dispersion and optical
losses. The second section of the course will cover topics like optical signal generation and
modulation, optical signal amplification, photo detection and noise generation, optical receiver
design, bit error rate calculations, and system performance evaluation, and Optical Amplification.
Light wave transmission system, Multichannel systems, Optical Transmission Enabling
Technology and Optical Networks will be covered in section three.

Content Outline
Unit – I: Introduction to Optical Communications and Networks; A historic perspective.
Unit – II: Optical Fibers; Geometrical-Optics Description, Wave Propagation, Chromatic
Dispersion, Polarization Mode Dispersion, Dispersion-Induced Limitations, Fiber Losses,
Nonlinear Optical Effects.
Unit -III: Optical Transmitters, Modulators; Light-Emitting Diodes, Semiconductor Lasers,
Control of Longitudinal Modes, Laser Characteristics, Transmitter Design, Mach Zehnder and
Electro Absorption Modulators.
Unit- IV: Optical Receivers; Common Photodetectors, Receiver Design, Receiver Noise
Receiver Sensitivity, Sensitivity Degradation, Receiver Performance.

Page 63 of 96
Unit-V: Optical Amplifiers; Semiconductor Optical Amplifiers, Raman, Amplifiers, Erbium-
Doped Fiber Amplifiers, System Applications.
Unit - VI: Light-wave Transmission Systems; Intensity Modulation - Direct Detection Systems,
Homodyne and heterodyne detection, Modulation formats: ASK, FSK, PSK, QAM Demodulation
schemes, Polarization multiplexing, Coherent OFDM systems, Bi- error rates and receiver
sensitivity, Sensitivity degradation, System performance and fiber optic link design.
Unit - VII: Multichannel Systems; WDM Lightwave Systems and Components, WDM System,
Performance Issues, Orthogonal Frequency Division Multiplexing (OFDM).
Unit - VIII: Optical Transmission Enabling Technologies; Dispersion Management,
Modulation Formats Nonlinearity Management, Wavelength Conversion, Optical 3R, Forward
Error Correction. Unit - IX: Optical Networks; building blocks for optical networks and systems,
Generations of Optical Networks, Principle of optical circuit switched networks - wavelength
routed optical network, Access and Metro Networks.

Laboratory Works
 Light propagation through fiber optics
 Optical System- Working with Multimode and Single-mode components
 To study the losses in optical fiber at 660nm and 850nm
 To measure propagation loss in optical fiber using optical power meter.
 Measurement of numerical aperture (NA) and attenuation loss.

Attendance Requirements: 90% lecture attendance and 100% Laboratory


Teaching & Learning Methods: Lectures, tutorials, and Laboratory exercises.
Assessment/Evaluation: Continuous Assessment (Assignments, Quizzes, Lab exercise (20%),
Mid-semester Exam and related): (60%); Final examination (40%)
Grading:
Mark Interval [%] [90,100] [85,90) [80,85) [75,80) [70,75) [65,70) [60,65) [50,60) [45,50) [40,45) [30,40) <30
Fixed Number 4.0 4.0 3.75 3.5 3.0 2.75 2.5 2.0 1.75 1.0 0 0
Grade
Letter Grade A+ A A- B+ B B- C+ C C- D Fx F

Textbook(s)
Reference Book(s)
1. Cvijetic, M., Djordjevic. I. B.: Advanced Optical Communication Systems and Networks,
Artech House 2012
2. Optical Fiber Communications, Gerd Keiser, 4th Edition, McGraw-Hill, 2010
3. Fiber-Optic Communication Systems, 4th Ed., G. P. Agrawal, John Wiley & Sons, 2010.
4. Optical Sources, Detectors, and Systems, Robert H. Kingston, Academic Press, 1995.
5. Understanding Fiber Optics, 5th Ed., Jeff Hecht, Prentice Hall, 2005esss Black, Pearson
Education New Delhi, 2010

Page 64 of 96
Course Course name Pre-requisite L T P Credit
code
ECE 408 Data Communication CSE 101 2 3 3
Networks
Course Objectives
After completing this course, students will be able to understand the basics of computer networks,
learn their fundamental layered structure, internalize common offered layered services, and
examine protocols and algorithms used to operate the network.
Course Descriptions
Introduction to computer networks and the Internet; Protocol layers and the OSI model;
Application layer: HTTP, FTP, SMTP, POP3, DNS and peer-to-peer applications; Transport layer:
UDP, TCP and congestion control; Network layer: virtual circuits, routers, IP protocols and routing
algorithms; Link layer: error detection and correction, multiple access, MAC addressing, switches,
ARP, Ethernet, PPP, local area networks and wide area networks. Wireless and mobile networks;

Content Outline
Unit I: Basic Concepts; Broad view of Internet-The Network Core, Categories of networks, Basic
concepts and terminology, Layered model: OSI 7-layer model. Internet layers: common functions
of layers. Services of a layer: reliable vs. unreliable, connection-oriented vs. connectionless.
Internet protocol, Network standardization: key players, standard documents, standardization
processes.
Unit II: Application Protocol Design and Implementation; Principles of Network Applications,
DNS-The Internet’s Directory Service, Client and server and Peer-to-Peer Applications, File
transfer: FTP, SMTP, IMAP, POP-3, webmail. World-wide web: historical evolution, HTTP.
Network Management: SMTP. Introduction to Networked application programming: Socket API.
Unit III: Principles of Reliable Transport - Transport Layer; Introduction and Transport-Layer
Services-Multiplexing and De-multiplexing, Connectionless Transport: UDP-Principles of
Reliable Data Transfer. Connection-Oriented Transport: TCP-Principles. TCP session
management: TCP flow control, TCP network congestion control, TCP variants. Fairness.
Unit IV: Routing and the Network Layer; Introduction to Virtual Circuit and Datagram
Networks, The Internet Protocol (IPv4), IP Addressing: IP subnets, prefix and subnet mask,
Classless Inter-Domain Routing (CIDR), private IP addresses, IP fragmentation. Introduction to
IPV6, Internet Control Message Protocol (ICMP), Address Resolution Protocol (ARP), Routing
Algorithms: Static routing, routing table. Routing loops, Operations of link state and distance
vector routing protocols (RIP, OSPS, BGP4), IPV6 routing algorithms, scaling routing protocols.
Unit V: Data link and Physical Layers; Introduction to the Link Layer Error Detection and
Correction Techniques, Basics of bridging and L2 switching, resilience and scaling of bridged
networks, LANs and VLANs, Spanning tree protocols, Basic concept, Data Link Control (DLC)
and Media Access Control (MAC). Deterministic and stochastic (random) access methods,
CSMA/CD. Ethernet/IEE 802.3, frame format, nomenclature, Wireless networks, IEE 802.11 -
basic concepts. Hidden terminal problem, CSMA/CA.

List of experiments
1. Wireshark Lab (to visualize the what going on in the network)
2. Trace route and PING lab

Page 65 of 96
3. IP Address design
4. Routing Algorithm
5. Network Design (GNS3 or other simulation tools)
6. Spanning tree protocol
7. VLAN
Attendance Requirements: 90% lecture attendance and 100% Laboratory
Teaching & Learning Methods: Lectures, tutorials, and Laboratory exercises.
Assessment/Evaluation: Continuous Assessment (Assignments, Quizzes, Lab exercise (20%),
Mid-semester Exam and related): (60%); Final examination (40%)

Grading:
Mark Interval [%] [90,100] [85,90) [80,85) [75,80) [70,75) [65,70) [60,65) [50,60) [45,50) [40,45) [30,40) <30
Fixed Number Grade 4.0 4.0 3.75 3.5 3.0 2.75 2.5 2.0 1.75 1.0 0 0
Letter Grade A+ A A- B+ B B- C+ C C- D Fx F

References
1. Computer Networking: A Top Down Approach, Kurose & Ross, Addison-Wesley
2. Data Communications and Networking, Behrouz Forouzan, McGraw-Hill Forouzan Networking
3. Computer Networks (5th Edition), Andrew S. Tanenbaum

Pre-
Course
Course Title Requisite Lecture Tutorial Practice Credit
Number

ECE 406 Wireless and Mobile


ECE 304 3 0 0 3
Communications

Course Objective:
 The course extends basic principles of communications systems into the world of wireless
and mobile communications.
 The course will provide a good understanding of fundamental problems and counter-
measure techniques in digital communications over wireless radio channels.
 The course will serve students as background for an advanced study in wireless
communications
Course Description:
The course is expected to introduce students to the field of wireless communication and give them
a solid background on radio channels. It will also cover cellular communication, multiple access
techniques used in wireless communications, wireless networks, and give them an overview of
future wireless technologies.

Unit 1 - Introduction
Motivation to use wireless, Course overview, Block diagram of communication system, History
of wireless communications, Technical challenges, The wireless vision, Current wireless
communication systems, Spectrum regulation, Trends for future systems and networks
Unit 2 - Radio Channels
Introduction, Propagation characteristics, Path loss, Shadowing, Small scale multipath fading, Path
loss models (Maxwell’s equations, free space path loss model, Ray Tracing model, Empirical

Page 66 of 96
models, Simplified power fall off models), Channel model (Narrowband channel model, wideband
channel model), Channel characterization (Mean, autocorrelation, cross correlation), Channel
parameters (Doppler spread, coherence time), Channel selectivity (Frequency selectivity, Time
selectivity), Rayleigh fading, Introduction to Fading Mitigation ( Diversity and Adaptive
Modulation) , Introduction to ISI Mitigation ( Equalization, Multicarrier modulation (OFDM),
spread spectrum) , Capacity of Wireless Channels, Summary of radio channels
Unit 3 - The Cellular Concept System Design Fundamentals
Cellular network organization, Mobile cellular call, cellular concept advantages and examples,
Outage probability, channel re-use, handoff (hard handover, soft handover, softer handover) ,
capacity improvement and interference reduction (cell sectoring, cell splitting, soft frequency re-
use, frequency borrowing, use of micro/nano/femto cells), Resource allocation( Fixed channel
allocation, channel borrowing scheme, dynamic channel allocation – centralized, distributed) and
mobility management (location registration, location updating, call delivery).
Unit 4 - Multiple access techniques
FDD, TDD, dedicated multiple access (TDMA, FDMA, CDMA, Space division MA, spread
spectrum), Random access (Pure aloha, Slotted aloha, CSMA), Performance analysis issues. MAC
layer scheduling and connection admission in mobile communication. Interference suppression
and power control, Tele-traffic modeling and queuing theoretic analysis of cellular mobile
networks.
Unit 5 - Practical cellular networks
1G (AMPS, TACS, NMTS), 2G (GSM, GPRS), 2.5G (EDGE, HSDPA), 3G (WCDMA, UMTS),
4G (LTE, LTE Advanced), 5G (proposed architectures and enabling technologies)
Unit 6 - Wireless networks
Infrared, Bluetooth, WLAN, WiMax, Ad-hoc, WSN and applications
Unit 7 - Future wireless networks
Future wireless networks trend (5G, Internet of things and Wireless Communication, vehicular
communication, cognitive radio)
List of experiments: NA
Teaching & Learning Methods: Lectures, tutorials, and Laboratory exercises.
Assessment/Evaluation: Continuous Assessment (Assignments, Quizzes, Lab exercise, Mid-
semester Exam and related): (60%); Final examination (40%)

Grading:
Mark Interval [%] [90,100] [85,90) [80,85) [75,80) [70,75) [65,70) [60,65) [50,60) [45,50) [40,45) [30,40) <30
Fixed Number Grade 4.0 4.0 3.75 3.5 3.0 2.75 2.5 2.0 1.75 1.0 0 0
Letter Grade A+ A A- B+ B B- C+ C C- D Fx F

References
1. Andrea Goldsmith, Wireless Communications, Cambridge University Press, 2005, Chaps. 1–
7, 9–11. [Textbook]
2. John G. Proakis, Digital Communications, 4th edition, McGraw-Hill, 2001, Chaps. 4.3–4.4, 5–
6, 9–11, 14 (ISBN 0-07-232111-3) (the 3rd edition is also applicable) [Textbook]
3. Wireless communications, A. Molisch, John Wiley & Sons 2005.

Page 67 of 96
Course Course name Pre-requisite L T P Credit
code
ECE 506 Advanced Communications ECE 408 2 0 3 3
Networks

Course Objectives
After completing this course students will be able to
 understand advanced concepts in theory of computer science;
 understand advanced concepts in applications of computer science;
 apply knowledge of advanced computer science to formulate and analyse problems in computing
and solve them;
 learn emerging concepts in theory and applications of computer science;
 design and conduct experiments as well as to analyse and interpret data.
Course Descriptions
Design and analysis of communication networks, Modelling and performance evaluation, queuing theory
applied to communication networks. Traffic flow management and error control, Routing algorithms and
protocols, Switch and router architectures, selected issues in high-speed network design.
Content Outline
Unit I: Introduction Wide Area Networking technologies; Wide area technologies (ISDN, ATM,
Frame relay, PPP, HDLC), Fiber technologies, Design and analysis of communication WAN.
Unit II: Network performance modelling and estimation; Little’s Theorem, Markov Chain-M/M/1
systems- M/M/m systems- Multicast systems- M/G/1 systems, Jackson’s Theorem.
Unit III: Congestion and traffic management, Quality of Service (QoS) and QoE; Fundamentals of
congestion control and traffic management, TCP congestion control, Traffic and congestion control in
other networking technologies, Integrated and Differentiated Services, Protocol support for QoS (RSVP,
MPLS), Quality of Experience (QoE), Modern congestion control.
Unit IV: Advance unicast and multicast routing; Review of fundamental graph algorithms, Selected
issues in unicast routing, Multicast and broadcast routing, Internet topology characterization, Secure
routing.
Unit V: Modern protocols for content delivery and multimedia communications; Multimedia
Networking Applications, Streaming Stored Video, Video delivery using; HTTP, UDP streaming, DASH.
Voice-over-IP, Protocols for Real-Time Conversational Applications (RTP, SIP), HTTP Live Streaming,
Content Delivery Networks.
Unit VI: Network Security; Introduction to network security, Introduction to Cryptography, Public and
private key, Public key certificates, certificate authority. Principles of Security (CIA); Message Integrity
and Digital Signatures, Message Authentication, Message Confidentiality. Securing E-Mail and TCP
Connections: SSL. Network-Layer Security: IPsec and Virtual Private Networks (VPN). Securing
Wireless LANs. Operational Security: Firewalls and Intrusion Detection and Prevention Systems (IPS Vs
IDS).
List of experiments: NA
Teaching & Learning Methods: Lectures, tutorials, and Laboratory exercises.
Assessment/Evaluation: Continuous Assessment (Assignments, Quizzes, Lab exercise (20%),
Mid-semester Exam and related): (60%); Final examination (40%)

Grading:
Mark Interval [%] [90,100] [85,90) [80,85) [75,80) [70,75) [65,70) [60,65) [50,60) [45,50) [40,45) [30,40) <30
Fixed Number Grade 4.0 4.0 3.75 3.5 3.0 2.75 2.5 2.0 1.75 1.0 0 0
Letter Grade A+ A A- B+ B B- C+ C C- D Fx F

Page 68 of 96
Textbook(s)
Reference Book(s)
1. Raj Jain, The Art of Computer Systems Performance Analysis: Techniques for Experimental Design,
Measurement, Simulation, and Modeling, John Wiley & Sons, Inc.
2. Data Networks Dimitri Bertsekas and Robert Gallager, Prentice Hall.
3. Data Communications and Networking, Behrouz Forouzan, McGraw-Hill Forouzan Networking

ELECTIVE – I

Course Course name Pre- L T P Credit


code requisite
ECE 413 Microelectronic Devices and --- 3 - 0 3
Circuits

Course Objectives
 To create the fundamental understanding of microelectronic devices and semiconductor physics.
 To enable students interpret the physical structures of diodes, transistors and their operating principles.
 To provide an insight in the amplification features of semiconductor devices.
Course Descriptions
This course covers fundamental concepts on Energy band structure of materials: conductors, insulators, and
semiconductors; basic semiconductor concepts; physics and models of p-n junction diodes, bipolar junction
transistors (BJT), and metal oxide semiconductor field effect transistors (MOSFET); transistor biasing and
small-signal models; dynamic models for diodes and transistors. The teaching method involves both lecture
and laboratory practice as well as circuit analysis using computer simulation with PSPICE, MATLAB or
MULTISIM.
Content Outline
Unit I: Introduction to electronics; Trends in electronic circuits, Moore’s law, Classification of
electronic signals, Notational conventions, Frequency spectrum of electronic signals, Element
variation in circuit design.
Unit II: Solid-state electronics; Solid state electronic materials, Energy band and the band model,
Carrier transport (Drift and diffusion), Mobility and resistivity in semiconductors, Impurities (and
doping) in semiconductors, Electron and hole concentrations: generation/recombination of
electron-hole pairs in doped semiconductors.
Unit III: Solid state diodes and diode circuits; The PN-junction diode, Overview of diode (and
IC) fabrication, I-V characteristics of diode, The diode equation: mathematical model for the
diode, Diode characteristics under reverse, zero, and forward bias, Diode temperature coefficient,
Diode under reverse bias: the breakdown, PN-junction capacitance, Diode circuit analysis, Photo
diode, Solar cells, LEDs, Schottky diodes, Diode spice model.

Page 69 of 96
Unit IV: Bipolar Junction Transistors (BJT); Physical structure and operation, The transport
models for npn and pnp transistor, I-V characteristics and the early effect, Transport model
simplifications, BJT as an amplifier and as switch, BJT biasing and large signal operation, Small
signal operation and models, BJT internal capacitances and high frequency model, Spice model
for BJT.
Unit V: Field effect Transistors (FET); MOS structure and physical operation, I-V
characteristics, MOS transistor scaling, MOS transistor fabrication and layout design rules,
MOSFET as an amplifier and as switch, Biasing and large signal behavior of MOSFETs, Small
signal operation and models, MOSFET spice model.
Unit VI: The transistor as an amplifier; 6.1 BJT amplifiers: Basic structure and characterizing
BJT amplifiers, The common-emitter (CE) amplifier, The common-base (CB) amplifier, The
common-collector (CC) or emitter follower amplifier. 6.2 MOSFET amplifiers: Basic structure
and characterizing MOS amplifiers, The common-source (CS) amplifier, The common-gate (CG)
amplifier, The common-drain (CD) or source-follower amplifier. 6.3 Multistage amplifiers:
CMOS multistage voltage amplifier, BiCMOS multistage voltage amplifier, BiCMOS current
buffer, Coupling amplifier stages.
List of experiments: NA
Teaching & Learning Methods: Lectures, tutorials, and Laboratory exercises.
Assessment/Evaluation: Continuous Assessment (Assignments, Quizzes , Mid-semester Exam
and related): (50%); Final examination (50%)

Grading:
Mark Interval [%] [90,100] [85,90) [80,85) [75,80) [70,75) [65,70) [60,65) [50,60) [45,50) [40,45) [30,40) <30
Fixed Number Grade 4.0 4.0 3.75 3.5 3.0 2.75 2.5 2.0 1.75 1.0 0 0
Letter Grade A+ A A- B+ B B- C+ C C- D Fx F

Textbook(s)
i. R. C. Jaeger, T. N. Blalock, Microelectronic Circuit Design, 4th ed., New York: McGraw Hill,
2011.
Reference Book(s)
1. A.S. Sedra and K.C. Smith, Microelectronics Circuits, 6th ed., New York: Oxford University Press,
2010.
2. M. N. Horenstein, Microelectronic Circuits and Devices, Prentice Hall, 2nd ed., 1996.
3. D. A. Neamen, Semiconductor Physics and devices: Basic principles, 3rd ed., New York: McGraw
Hill, 2003.
4. B. Razavi, Fundamentals of Microelectronics, 2nd ed., Wiley, 2014

Page 70 of 96
Course code Course name Pre-requisite L T P Credit

ECE 502 Radar Systems --- 3 0 0 3

Course Objectives: After completing the course the students will be able to:
 Explain the principles and concepts of Radars.
 Understand basic radar receivers & transmitter design requirements.
 Apply radar technology in different civil and military applications.
 Draw a typical super heterodyne receiver and explain each.
 Point out Signal processing techniques in radar systems.

Course description: The course aims to equip students with fundamental concepts and
applications of Radars in different fields.

Course Outline:

Unit I: Introduction to Radar; The simple form of the Radar Equation – Radar Block Diagram–
Radar Frequencies –Applications of Radar –Detection of Signals in Noise– Receiver Noise and
the Signal-to-Noise Ratio–Probability Density Functions– Probabilities of Detection and False
Alarm– Integration of Radar Pulses– Radar Cross Section of Targets–Radar cross Section
Fluctuations– Transmitter Power–Pulse Repetition Frequency and Range Ambiguities- Antenna
Parameters–System Losses –Propagation Effects –Other Radar Equation Considerations. Unit II:
MTI and Pulse Doppler Radar; Introduction to Doppler and MTI Radar – Delay-Line
Cancelers– Staggered Pulse Repetition Frequencies –Doppler Filter Banks - Digital MTI
Processing –Moving Target Detector –Limitations to MTI Performance – MTI from a Moving
Platform (AMIT) – Pulse Doppler Radar – Other Doppler Radar Topics– Tracking with Radar –
Monopulse Tracking Radar –Sequential Lobing–Conical Scan–Limitations to Tracking Accuracy
– Low-Angle Tracking –Tracking in Range – Other Tracking Radar Topics -Comparison of
Trackers –Automatic Tracking with Surveillance Radars (ADT). Unit III: Detection of Radar
Signals in Noise; Introduction – Matched-Filter Receiver –Correlation Detection –Detection
Criteria – Detectors Characteristics– Performance of the Radar Operator–Automatic Detection –
Constant-False-Alarm-Rate Receiver–The Radar operator – Signal Management. Propagation of
Radar Waves – Atmospheric Refraction –Standard Propagation – Nonstandard Propagation. The
Radar Antenna – Reflector Antennas – Electronically Steered Phased Array Antennas – Phase
Shifters –Frequency-Scan Arrays Radar. Transmitters – Introduction – Linear Beam Power
Tubes – Solid State RF Power Sources – Magnetron Crossed Field Amplifiers – Other RF Power
Sources – Other aspects of Radar Transmitter. Radar Receivers – The Radar Receiver –Receiver
Noise Figure–Superheterodyne Receiver – Duplexers and Receiver Protectors– Radar Displays.

List of experiments: NA
Teaching & Learning Methods: Lectures, tutorials, and Laboratory exercises.
Assessment/Evaluation: Continuous Assessment (Assignments, Quizzes, Mid-semester Exam
and related): (50%); Final examination (50%)

Page 71 of 96
Grading:
Mark Interval [%] [90,100] [85,90) [80,85) [75,80) [70,75) [65,70) [60,65) [50,60) [45,50) [40,45) [30,40) <30
Fixed Number Grade 4.0 4.0 3.75 3.5 3.0 2.75 2.5 2.0 1.75 1.0 0 0
Letter Grade A+ A A- B+ B B- C+ C C- D Fx F

References:
1. M I Skolnik, Introduction to Radar Systems, McGraw Hill 1980.
2. D K Barton, Modern Radar Systems Analysis, Artech House, 1988
3. B Edde, Radar, Principles, Technology, Applications, Prentice Hall, 1993

Course code Course name Pre-requisite L T P Credit


ECE 502A Solid State Microwave --- 3 0 0 3
Devices and Applications

Course Objective
 To to provide a description of the microwave devices and circuits that are useful in
modern microwave radar and communication systems
 To provide a description of the microwave devices and circuits that are useful in modern
microwave and circuits that are useful in modern microwave radar and communication
systems.
Course description
The goal of this course is to develop students design, analysis, and evaluation skills at
microwave frequencies where lumped elements (Ex. Resistors, capacitors, inductors) are no
longer appropriate. Though problem-solving and design activities, the course will introduce
students to passive and active microwave devices, including filters, switches, mixers, that
constitute wireless communication systems between the antenna and the digital signal processor.

Course outline:

Unit I Review of Microwave Tubes: Classification of Microwave Bands and applications, Limitations
of Conventional Tubes, Multi-cavity Klystron (Two-cavity), Reflex Klystron, Magnetron, Traveling-
wave Tube-Construction, Physics of operation, Performance limitations, Applications. Unit II
Microwave Semiconductor Diodes: Varactor diode, Tunnel Diode, PIN Diode, Schottkey-barrier Diode,
Point-contact Diode- Structure, Physics of operation, Equivalent circuit, V-I characteristics, Performance
limitations, Applications, Josephston junctions. Unit III Avalanche Transit-Time Devices: Read Diode-
Structure, Operation, Carrier current and external current, Output power and quality factor. IMPATT
Diode- Structure, Different doping profile structures, Operation, Small-signal theory of IMPATT device
impedance, Power conversion, Efficiency, Performance and Applications. TRAPATT- Structure,
Principle of Operation, Oscillation condition and Oscillation frequency, Power output and Efficiency,
BARITT- Structure, Principle of Operation, Performance and Applications. Unit IV Transferred-
Electron Devices: Gunn-Effect Diodes- GaAs Diode, Ridley-Watkins-Hilsum Theory, Modes of
operation, LSA Diodes, InP Diodes, CaTe Diodes, Applications (Microwave Generation and
Amplification). Unit V Microwave Transistors-I: Bipolar Transistor- physical structure, Transistor
Configurations, principle of operation, V-I characteristics, Equivalent circuit, Amplification phenomena,

Page 72 of 96
Power- frequency limitations, Noise performance, Applications. Hetero-junction Bipolar Transistors
(HBTs)- physical structure, Operational Mechanism, V-I characteristics, Equivalent circuit and cut-off
frequency, Applications. Junction Field Effect Transistor (JFET)- structure, Principle of operation, V-I
characteristics, Applications. Unit VI Microwave Transistors-II: Metal Semiconductor Field Effect
Transistor (MESFET)- structure, principle of operation, Equivalent circuit, Drain current, cut-off
frequency and Maximum oscillation frequency. Metal-Oxide Semiconductor Field Effect Transistor
(MOSFET)- structure, principle of operation, Equivalent circuit, Drain current and Trans-conductance,
Maximum operation frequency, Applications. High Electron Mobility Transistor (HEMT)- Structure,
operational mechanism, Equivalent circuit, performance characteristics, Applications. Unit VII
Amplifiers: Amplifier Characterization- Power gain, Noise Characterization, Stability, Non-linear
behavior, Dynamic range. Biasing Networks, Small-signal Amplifier Design-Low noise design,
Maximum-gain design, and Broadband design. Unit VIII Oscillators: Active devices for Microwave
Oscillators, Concept of Negative Resistance, Three-port S-parameter characterization of Transistors,
Oscillation and Stability conditions, Transistor oscillator types and configurations, Fixed- frequency
oscillators, Wide-band tunable oscillators, Oscillator Characterization and measurements.

Text books:
1. “Microwave Solid State Circuit Design”, Inder Bahl and Prakash Bhartia, John-Wiley and Sons, Ltd.
2. “Microwave Devices, Circuits and Subsystems for communications Engineering”, I.A. Glover, S.R.
Pennock and P.R. Shepherd, John-Wiley and Sons, Ltd.
3. “Microwave Devices and Circuits”, Samuel L. Liao, PHI.
4. “Microwave Active Devices Vacuum and Solid-State” M.L. Sisodia, New Age Publishers.
5. “Microwave Solid State Devices and their Circuit Applications”, H.A. Watson.

Course Course name Pre-requisite L T P Credit


code
EE 412 Introduction to power --- 3 0 3 4
systems

Course Objectives
 To review electrical energy generation from conventional be Acquired and nonconventional energy
sources.
 To provide understanding of power transmission systems and calculation of transmission line parameters.
 To analyze characteristics and performance of power transmission lines

Course Descriptions
Basics of power systems, Transmission line parameters, Characteristics and performance of
transmission lines.

Content Outline
UNIT-I: Basics of power system; Historical development of Power systems, AC and DC
transmission, Single-phase and three-phase transmission, Complex power, Structure of a power
system, Introduction to power transformers, CTs and PTs etc. UNIT-II: Representation of power
system components; Single-phase solution of balanced three-phase networks, One-line diagram
and impedance or reactance diagram, Per unit (PU) system. UNIT-III: Transmission line

Page 73 of 96
parameters; Resistance of transmission lines, Skin effect and proximity effect, Inductance of
single-phase two-wire line, composite conductor lines, three-phase line with unsymmetrical
spacing, double circuit three-phase lines and bundled conductors, Capacitance of a two-wire line,
three-phase line with equilateral and unsymmetrical spacing, effect of earth on transmission line
capacitance. UNIT-IV: Mechanical design of transmission lines; Sag and tension calculations,
Effect of wind and ice, Stringing chart, Sag template. UNIT-V: Overhead line insulators; Types
of insulators, Rating of Insulators, Potential distribution over a string of insulators and methods of
equalizing the potential string efficiency. UNIT-VI: Underground cables; Types of cables,
Capacitance of single-core and three-core cables, Insulation resistance of a cable, Power factor and
heating of cables, Overhead Lines Versus Underground Cables. UNIT-VII: Characteristic and
performance of power transmission lines; ABCD constants, Representation of transmission
lines, Short, medium and long transmission lines, Steady-state performance – efficiency and
regulation, Power Flow through Transmission Lines, Reactive Power Compensation of
Transmission Lines

List of Experiments:
 Computation of parameters and modelling of transmission lines
 High Voltage lab exercise
Attendance Requirements: 90% lecture attendance and 100% Laboratory
Teaching & Learning Methods: Lectures, tutorials, and Laboratory exercises.
Assessment/Evaluation: Continuous Assessment (Assignments, Quizzes, Lab exercises (20%),
Mid-semester Exam and related): (60%); Final examination (40%)
Grading:
Mark Interval [%] [90,100] [85,90) [80,85) [75,80) [70,75) [65,70) [60,65) [50,60) [45,50) [40,45) [30,40) <30
Fixed Number Grade 4.0 4.0 3.75 3.5 3.0 2.75 2.5 2.0 1.75 1.0 0 0
Letter Grade + A - + B - + C - D Fx F
A A B B C C

Reference Book(s)
1. J. D. Glover and M. S. Sarma, Power System Analysis and Design, Brooks/Cole, Third Edition, 2002.
2. C. L. Wadhwa, Electrical Power Systems, New Age International Publishers, 2004.
3. Syed Nasar, Electrical Power systems, Schaum’s Outline Series, McGraw-Hill Publishing Company, 2004.
4. Debapriya Das ., Electrical Power System, 2006

e. Computer Science and Engineering Specialization

Course Course name Pre-requisite L T P Credit


code
CSE 101 Principles of Computing - 3 - 3 4

Course Objectives

To enable the students to understand what a computer is, how to use a computer and to know the
different terminologies.

Page 74 of 96
 To enable the students to understand concepts operating system
 To enable the students to understand the concepts of files, directories, coding
 To enable the students to use Internet and make use of office package

Course content
Unit – I Basic Concepts: Keyboard and monitors; multi-user operating systems; Accounts;
Logging in and out; passwords; command prompts; command options and arguments; the job of
the shell; basic commands: man, cal, ping, echo; Unit – II Files, Directories and Permissions:
What is a file? File name, viewing contents of a text file, creating and deleting files, directory,
structure, adding and removing directories. Permissions: Different classes (owner, group, all);
viewing the permission of a file and directory. Command: touch, ls, rm, mkdir, rmdir, cd, pwd,
chmod; Unit – III Computer Hardware and Relationship to OS: Introduction to Processor,
memory, hard disk, floppy disk, keyboard, monitor, bits, bytes and hexa. What is an OS?
Purpose; Modern OS; Proprietary Vs Open OSs. Unit – IV Text Representation, Coding and
Editing: ASCII characters – how numbers are interpreted; Unicode and multibyte
representations; Text editors. Difference between file in buffer and disk. Commands: nano, cat,
split, hextype, sort; Unit – V Text Based Email: What is email; importance; address, message;
How email are sent and received; how is mail stored; Communication between the servers:
SMTP, POP3 and IMAP; SPAM; troubleshooting email deliveries. Unit – VI Internet/Intranet:
What is internet? Importance. What is URL, HTML. Web browser; Internet and intranet. Unit –
VII Word Processors and Applications: Word processor – Database – Spreadsheet –
Presentation

List of experiments:
Attendance Requirements: 90% lecture attendance
Teaching & Learning Methods: Lectures, tutorials
Assessment/Evaluation: Continuous Assessment (Assignments, Quizzes, Mid-semester Exam
,laboratory exercise (20%) and related): (60%); Final examination (40%)
Grading:
Mark Interval [%] [90,100] [85,90) [80,85) [75,80) [70,75) [65,70) [60,65) [50,60) [45,50) [40,45) [30,40) <30
Fixed Number Grade 4.0 4.0 3.75 3.5 3.0 2.75 2.5 2.0 1.75 1.0 0 0
Letter Grade A+ A A- B+ B B- C+ C C- D Fx F

References

Course Course name Pre-requisite L T P Credit


code
CSE 102 Programming in C CSE 101 3 1 3 4

Course Objectives
 To familiarize the students with basic concepts of computer programming and developer
tools.
 Introduce students with syntax and semantics of the C programming language as well as
data types offered by the language

Page 75 of 96
 To allow the students to write their own programs using standard language infrastructure
regardless of the hardware or software platform

Course Descriptions
This course introduces computer programming using the C programming language and
demonstrates fundamental programming techniques, customs and vocabulary including the most
common library functions and the usage of the preprocessor.

Content Outline
Unit-I: Introduction; Programming and programing languages, Machine and High-level
programing languages, IDEs, Frameworks and Compilation process, The C Programming
Languages, A first program: Hello world. Unit-II: Types, Operators and Expressions;
Identifiers, Types, constant and symbolic constants, Printf conversion specifiers, Declarations,
Arithmetic, relational and logical operations, Bitwise and assignment operators, Type conversions
and casts. Unit-III: Branching and Iteration; If-Else: conditional expression and switch, While,
For and Do-while loops, Break, Continue and Goto. Unit-IV: Arrays, structures, and Unions;
Arrays-Why do we need them? Indexing, Initiators: a simple way to set an array, Sorting in real
life and in Computer memory, Multidimensional arrays, Character Arrays and Strings, the size of
function, Structures and declaring structures, Operation on structures, Array of structures and
Typedefs, Unions. Unit-V: Functions; Functions-why do we need them? Function Declaration and
Definition, Benefit of functions, Interface design, The Standard Library (STL). Unit-VI: Pointers;
What is a pointer? Pointer Syntax, Pass by Reference, Pointers and Arrays, Pointer Arithmetic,
pointer to pointer and pointer to nothing(NULL), Return values and Pointers, Function pointers.
Unit-VII: Files and Streams (Memory management); Files Vs Streams, Opening and closing a
stream, open modes and errno variable, Reading and writing to/from a stream, Predefined streams:
stdin, stdout and stderr, Stream manipulation:fgetc(), fputc(), fgets() and fputs() functions,
Input/output: freads and fwrites() functions. Unit-VIII: Generic Programming and C
Preprocessors; Generic Programming: Typedefs, macros and unions, C Preprocessors: File
Inclusion, Symbolic constants, Macros, Conditional Compilation.

List of Experiments
1. My first Program. Hello world, defining variables, writing simple programs on arithmetic and logical
operators(Introduction to IDEs)
2. Array implementation
3. Writing simple computational programs, sorting contents
4. Declaring and Defining Function
5. Pointers
6. Introduce with files and streams
Attendance Requirements: 90% lecture attendance and 100% Laboratory
Teaching & Learning Methods: Lectures, tutorials, and Laboratory exercises.
Assessment/Evaluation: Continuous Assessment (Assignments, Quizzes, Lab exercise (20%),
Mid-semester Exam and related): (60%); Final examination (40%)

Page 76 of 96
Grading:
Mark Interval [%] [90,100] [85,90) [80,85) [75,80) [70,75) [65,70) [60,65) [50,60) [45,50) [40,45) [30,40) <30
Fixed Number Grade 4.0 4.0 3.75 3.5 3.0 2.75 2.5 2.0 1.75 1.0 0 0
Letter Grade A+ A A- B+ B B- C+ C C- D Fx F

References
1. The C++ Institute
2. The C-Programming Language- Kerninghan and others
3. Programming in ANSI C- E.Balaguruswamy
4. An Introduction to the C Programing Language and Software Design, Tim Baile

Course Course name Pre-requisite L T P Credit


code
CSE 301 Microprocessors ECE 206 3 - 3 4

Course Objectives
 Learn how the hardware and software components of a microprocessor-based system work
together to implement system-level features.
 Learn both hardware and software aspects of integrating digital devices (such as memory
and I/O interfaces) into microprocessor-based systems.
 Learn the operating principles of, and gain hands-on experience with, common
microprocessor peripherals such as UARTs, timers, and analog-to-digital and digital-to-
analog converters.
 Get practical experience in applied digital logic design and assembly-language
programming.
 Be exposed to the tools and techniques used by practicing engineers to design, implement, and debug
microprocessor-based systems.

Course Descriptions
Introduction to 80x86 Processors, Assembly Language Programming, Memory Interfacing, Input
and Output (I/O) Interfacing, Interrupts, Direct Memory Access, Bus Interfaces

Content Outline
Unit - I: Introduction to 80x86 Processors; 80x86 Processor Architecture: Introduction,
Processor Model, Programmer’s model. Designer’s Model: 8086 hardware details, Clock
generator 8284A, Bus buffering and latching, Processor Read & Write bus cycles, ready and wait
state generation, Minimum versus Maximum mode operation. Unit - II: Assembly Language
Programming; Assembly Language Programming: Data Transfer, Arithmetic and Logical
Instructions -Addressing Modes - Assemblers. String Instructions - Machine Control Instructions
- Macros and Conditional Assembly. Unit - III: Memory Interfacing; 80x86 processor-Memory
interfacing, Address decoding techniques. Memory Devices – ROM, EPROM, SRAM, FLASH,
DRAM devices. Memory internal organization, Memory read and write timing diagrams, DRAM
Controller. Unit - IV: Input and Output (I/O) Interfacing; Parallel I/O: I/O port address
decoding, The 8255A Programmable Peripheral Interface(PPI), programming 8255, Operation

Page 77 of 96
modes, Interface examples – Keyboard matrix, LCD/7-Segment Display, Printer, stepper motor,
A/D and D/A converter. The 8254 Programmable Interval Timer (PIT), Timing applications. Serial
I/O Interface: Asynchronous communication, Physical communication standard-EIA RS232,
Programmable Communication Interface-UART 8251, interfacing serial I/O devices - mouse,
modem, PC Keyboard. Unit - V: Interrupts; Interrupt driven I/O, Software and Hardware
interrupts, Interrupt vectors and vector table, Interrupt processing, The 8259A Programmable
Interrupt Controller(PIC)- cascading of 8259s, programming 8259, Interrupt examples – Printer,
Real-Time Clock, PC Keyboard. Unit - VI: Direct Memory Access; Basic DMA operation, DMA
Controlled I/O, The 8237 DMA Controller, Disk Memory Systems. Unit - VII: Bus Interfaces;
Bus Interfaces: PC bus standards & interfaces – PCI, USB.

List of experiments
 Debug, A software Development Program of the PC
 Exploring the software Architecture of the 80x86 Microprocessor: Loading the debug program,
Examining and modifying the contents of the 80x86’s Internal registers and Memory, Exploring
the dedicated Use part of the 80x86 Memory Address Space.
 Writing, Assembling, loading, Executing and Debugging Programs.
 Working with various instructions: data transfer, Arithmetic, logic, shift, rotate, conditional, etc.
 Assembly Language Program Development and Microsoft Macroassembler (MASM).
 Assembling, editing, linking and executing Assembly Programs.
 Calculating the Average of series of numbers.
 Sorting a table of Data.
 Generating Elements for a mathematical series.
 Designing a program for an application.
 Interface Circuits: Construction, and using 8086 trainer kit.
 Lighting LEDS corresponding to Switch settings.
 Observing the signals for a Blinking LED
 Sounding Tones on a Speaker.
 Designing, Building and testing 82C55 based I/O interface circuits and observing the signals in the
82C55A parallel I/O interface circuits.
 Wave shape generation with 82C54 programmable interval timer.

Attendance Requirements: 90% lecture attendance and 100% Laboratory


Teaching & Learning Methods: Lectures, tutorials, and Laboratory exercises.
Assessment/Evaluation: Continuous Assessment (Assignments, Quizzes, Lab exercise (20%),
Mid-semester Exam and related): (60%); Final examination (40%)
Grading:
Mark Interval [%] [90,100] [85,90) [80,85) [75,80) [70,75) [65,70) [60,65) [50,60) [45,50) [40,45) [30,40) <30
Fixed Number Grade 4.0 4.0 3.75 3.5 3.0 2.75 2.5 2.0 1.75 1.0 0 0
Letter Grade A+ A A- B+ B B- C+ C C- D Fx F

References
1. Microprocessors and its Interfacing, Doughlas V Hall Barrey
2. The Intel Microprocessor 8086/8088, 80186, 80286, 80386, 80486, Pentium and Pentium Pro-
Processor - Architecture, Programming and Interfacing, B. Brey.
3. The 80x86 IBM PC and Compatible Computers, Volume I and II, Assembly, Design and Interfacing,
Muhammad Ali Mazidi and Janice Gillispie Mazidi.

Page 78 of 96
Course Course name Pre-requisite L T P Credit
code
CSE 407 Microcontrollers and Applications -- 3 - 3 4

Course Objectives
 To enable the students to understand concepts of Assembly language programming using 8051/8096 Micro-
controller.
 To enable the students to understand the organization and Instruction sets of 8051/8096 Micro-controller.
 To enable the students to understand the principles behind interfacing components to a Micro-controller.
 To introduce the students 8051 programing using C language
Course Descriptions
Microcontrollers versus microprocessors, 8051 Microcontroller hardware and instruction sets, Programing examples
using assembly and C language, 8051 Microcontroller Design and interfacing applications, Introduction to 8096
Microcontroller (16 bit).
Content Outline
Unit - I: Introduction to microcontrollers; Review of numbering and coding systems in computing, Important
Terminologies, Microprocessors and Microcontrollers, Classification of Microcontrollers, Evolution of
Microcontrollers, Criteria for choosing a microcontroller, Applications of microcontrollers. Unit - II: 8051
Microcontroller Hardware; Overview of the 8051 Family, Pins of Microcontroller 8051, Blocks of 8051,
Functions of Each Pin, Clock, Reset, and Machine Cycle, I/O Ports Structure, EA, ALE, PSEN, Memory
Organization, External Memory, Special Function Registers (SFRs) Unit - III: 8051 programing; 8051
instruction Sets: Data Transfer Instruction, Arithmetic Instruction, Logical Instruction, Program Branching
Instruction, Boolean Instruction; Addressing modes , I/O Port Programming, Timer Programming, Serial Port
Programming, Interrupts Programming and Introduction to C Programming Unit - IV: 8051 Microcontroller
Design and interfacing applications; 8051 Microcontroller Design: Specifications - Microcontroller Design -
Testing - Timing routines - Look up tables for 8051 - Serial Data Transmission. Interfacing Applications:
Keyboard, seven segment and LCD Display, Pulse Measurement, ADC and DAC, Relay, optocoupler, stepper
motor, PWM programming and DC motor control, 8255 Programmable IO Port. Unit - V: Introduction to 8096
Microcontroller (16 bit); Architecture - Pin Configurations. Instruction Set of 8096 - Programming Examples.
Comparison of 8051 and 8096.
Laboratory Exercises
8051 software labs
 Introduction to 8051 Assembler and simulator.
 Examining the Flags and the Stack
 Simulating I/O ports.
 Data transfer.
 Arithmetic operations.
 ASCII and BCD conversion.
 Macros
8051 Hardware labs
 Testing I/O ports.
 Interfacing an LCD to 8051.
 Interfacing ADC0804/0809/0848 to 8051

Page 79 of 96
 Interfacing a sensor to 8051.
 Timer programming.
 8051 serial interfacing.
 Interfacing a stepper motor to 8051.
 Keyboard interfacing
 Event counter programming.
 DAC interfacing to 8051.
 Speed control of DC Motor using 8051.
 Interrupt programming.
Teaching & Learning Methods: Lecture, assignments and laboratory exercises.
Assessment/Evaluation: Continuous Assessment (Assignments, Quizzes, Lab exercise (20%),
Mid-semester Exam and related): (60%); Final examination (40%)
Grading:
Mark Interval [%] [90,100] [85,90) [80,85) [75,80) [70,75) [65,70) [60,65) [50,60) [45,50) [40,45) [30,40) <30
Fixed Number Grade 4.0 4.0 3.75 3.5 3.0 2.75 2.5 2.0 1.75 1.0 0 0
Letter Grade A+ A A- B+ B B- C+ C C- D Fx F

Textbook(s)
Reference Book(s)
1. The 8051 Microcontroller Architecture, Programing, and Applications, Kenneth J. Ayala.
2. The 8051 Microcontroller and Embedded Systems Using Assembly and C, Muhammad Ali Mazidi and
Janice Gillispie Mazidi.

Course code Course name Pre-requisite L T P Credit


CSE 201 Object Oriented Programming CSE 102 3 - 3 4
with C++

Course Objectives
 Be able to explain the difference between object oriented programming and procedural programming
 To enable the students to understand what is Object Oriented programming language and to introduce the
concepts of C++ programming
 Be able to design, build, execute, and debug C++ programs
 To enable the students to build modular solutions to business problems
 Student will able to use variables, arrays, strings, control statements and iterations in C++ programs
 Students will capable to use pointers to process arrays, pass arguments, and improve program efficiency

Course Descriptions
This course provides an introduction to object-oriented programming paradigm with C++. Special features of object-
oriented programming such as encapsulation, information hiding, inheritance and polymorphism is given and
reinforced by class assignments and programming exercises.

Content Outline
Unit - I: Introduction to OOP; Introduction to OOP, Characteristics of OOP, Differences between POP and OOP,
Fundamental Concept in Object-Oriented Programming: Encapsulation, Polymorphism and Inheritance. C++
programming language - Structure, I/O Statements, Control statements and manipulators. Unit - II: Introduction to
UML; Introduction to Unified Modeling Language (UML): Why UML? Use case Diagram, Use case specification,
Building use case diagram, Activity Diagram, Class diagram, Object Diagram, Package Diagrams, Sequence

Page 80 of 96
Diagrams, State transition Diagrams. Unit - III: C++ Functions; Functions in C++: why do you need them? Side
effects, Different methods of passing parameters and their purpose: by reference and by value, Function return: by
value and by reference, Inline function, Friend function, Overloading and overriding function. Unit - IV: Classes and
Objects; Class: what does it actually mean? Where do the objects come from? Class components - specifying member
function - nesting member function - private member function, Scope Resolution Operator, Structures and Class in
C++, Object creation and Dynamic initialization of objects, Arrays of objects - objects as function arguments - return
of objects, Referring to objects, Constructors : types - constructor with default arguments and destructors, Static
members. Unit - V: Scope and Access Control; Scoping in C++, Block and Function Scope, File and Global Scope,
Class Scope, Constant Types and Scope Enumeration Types, Enumeration Types and Class Scope: for Global Data
and Static Class Members. Unit - VI: Polymorphism and Inheritance; Polymorphism: the notion and purpose:
Operator overloading, Overloading unary, Binary operators, Data Conversion. Inheritance: How does it work? Base
class, superclass, subclass, Types of inheritance, Inheriting different class components, Virtual base class,
Constructors in derived class, Multiple inheritance. Unit - VII: Miscellaneous; C++ Exception Handling, C++
Templates, Generic Function, Generic Class, C++ Namespace, C++ Standard Template Library (vector, list, map,
algorithm, and string).

List of Experiments
1. Introduction. Writing of C++ program
2. Introduction to OOP. Simple class definition, data members and member functions. Class instance definition and
using in program
3. Constructor and destructor. Memory management: new and delete
4. Function overloading and overriding
5. Inheritance
Attendance Requirements: 90% lecture attendance and 100% Laboratory
Teaching & Learning Methods: Lectures, tutorials, and Laboratory exercises.
Assessment/Evaluation: Continuous Assessment (Assignments, Quizzes, Lab exercise (20%),
Mid-semester Exam and related): (60%); Final examination (40%)
Grading:
Mark Interval [%] [90,100] [85,90) [80,85) [75,80) [70,75) [65,70) [60,65) [50,60) [45,50) [40,45) [30,40) <30
Fixed Number Grade 4.0 4.0 3.75 3.5 3.0 2.75 2.5 2.0 1.75 1.0 0 0
Letter Grade A+ A A- B+ B B- C+ C C- D Fx F

References
1. The C++ Institute
2. Deitel and Deitel, C++, How To Program, Pearson Education
3. Object Oriented Programming with C, Balagurusamy, E.
4. Teach Yourself C++ , Herbert Schildt
5. The Complete Reference C++, Herbert Schildt

Course Course name Pre-requisite L T P Credit


code
CSE 403 Computational Methods SC 201 2 1 2 3

Course Objectives
 To acquaint the fundamental computational methods
 To solve scientific and engineering problem.

Page 81 of 96
Course Descriptions
This course is aimed at introducing the students with Number System, Numerical Error Analysis and
Solution of Nonlinear Equations. In addition, it will cover revision of matrices, interpolation &
approximation, numerical differentiation & integration. And finally, it will introduce them with FEM &
FDTD.
Content Outline
Unit - I: Number System and Numerical Error Analysis: The Error Problem; Representation
of Integers and Fractions; Number Representation and Storage in Computers; Rounding Off
Problem; Numerical Errors; Significant Digits; Numerical Cancellation; Algorithm for Conversion
from one base to another; Computational Problems and Algorithms; Computational Efficiency;
Computational Methods for Error
Estimation. Unit - II: Solution of Nonlinear Equations: Methods used in Root Finding;
Summary of the Solutions of Nonlinear Equations; Fixed Point Iteration; Real Roots of Polynomial
Equations; Iterative Methods for Finding Real Zeros of a Polynomial; Order of Convergence. Unit
- III: Review Matrices: Elementary Properties of Matrices; Orthogonality and Orthonormality
of Vectors and Matrices; Norm of Vectors and Matrices. Unit - IV: System of Linear Equations:
Existence and Uniqueness of Solutions; Methods of Solution of Linear Equations. Unit - V:
Solution of Systems of Nonlinear Equations: The Iterative Method; The Newton-Raphson
Method. Unit - VI: Interpolation and Approximation: Class of Common Approximation
Functions; Criteria for the Choice of the Approximate Function; Finite Differences;
Divided Differences; Interpolation by Polynomials; Least Square Approximation by Polynomials;
Piecewise
Polynomial Approximation; Cubic Spline Interpolation. Unit - VII: Numerical Differentiation
and Integration: Numerical Differentiation; Numerical Integration. Unit - VIII: Numerical
Solutions of Differential Equations: Ordinary Differential Equations; Partial Differential
Equations

List of experiments

Attendance Requirements: 90% lecture attendance and 100% Laboratory


Teaching & Learning Methods: Lectures, tutorials, and Laboratory exercises.
Assessment/Evaluation: Continuous Assessment (Assignments, Quizzes, Lab exercise (20%),
Mid-semester Exam and related): (60%); Final examination (40%)
Grading:
Mark Interval [%] [90,100] [85,90) [80,85) [75,80) [70,75) [65,70) [60,65) [50,60) [45,50) [40,45) [30,40) <30
Fixed Number Grade 4.0 4.0 3.75 3.5 3.0 2.75 2.5 2.0 1.75 1.0 0 0
Letter Grade A+ A A- B+ B B- C+ C C- D Fx F

References
1. Mohammed Abdo, Introduction to Computational Methods
2. B.H Flowers: An Introduction to Numerical Methods in C++, Oxford University Press, USA; Rev
Sub edition May 31, 2000.
3. Ralston A. and P. Rabinowitz: A First Course in Numerical Analysis, 2nd ed, McGraw Hill, New
York, 1987
4. Conte S.D. and Carl de Boor: Elementary Numerical Analysis an Algorithm Approach, 3rd ed,
McGraw Hill Int. Student ed, 1981
5. Jain M.K., S.R.K. Iyenger and R.K. Jain: Numerical Methods for Scientific and Engineering
Computation, 2nd ed, Wiley Eastern Ltd., 1985

Page 82 of 96
Course Course name Pre-requisite L T P Credit
code
CSE 507 Embedded System --- 3 - 0 3

Course Objectives
 Obtain direct hands-on experience on both hardware and software elements commonly used in
embedded system design.
 Understand basic real-time resource management theory.
 Understand the basics of embedded system application concepts such as signal processing and
feedback control.
 Understand, and be able to discuss and communicate intelligently about Embedded processor
architecture and programming, I/O and device driver interfaces to embedded processors with
networks, multimedia cards and disk drives, OS primitives for concurrency, timeouts, scheduling,
communication and synchronization
Course Descriptions
Introduction to Embedded Systems, Typical Embedded System, Typical Embedded System,
Embedded Firmware, RTOS Based Embedded System Design and Task Communication.
Content Outline
Unit - I: Introduction to Embedded Systems; Definition of Embedded System, Embedded
Systems Vs General Computing Systems, History of Embedded Systems, Classification, Major
Application Areas, Purpose of Embedded Systems, Characteristics and Quality Attributes of
Embedded Systems. Unit - II: Typical Embedded System; Core of the Embedded System:
General Purpose and Domain Specific Processors, ASICs, PLDs, Commercial Off-The-Shelf
Components (COTS), Memory: ROM, RAM, Memory according to the type of Interface, Memory
Shadowing, Memory selection for Embedded Systems, Sensors and Actuators, Communication
Interface: Onboard and External Communication Interfaces. Unit - III: Embedded Firmware;
Reset Circuit, Brownout Protection Circuit, Oscillator Unit, Real Time Clock, Watchdog Timer,
Embedded Firmware Design Approaches and Development Languages. Unit -IV: RTOS Based
Embedded System Design; Operating System Basics, Types of Operating Systems, Tasks,
Process and Threads, Multiprocessing and Multitasking, Task Scheduling. Unit - V: Task
Communication; Shared Memory, Message Passing, Remote Procedure Call and Sockets, Task
Synchronization: Task Communication/Synchronization Issues, Task Synchronization
Techniques, Device Drivers, How to Choose an RTOS.
Laboratory Exercises: NA
Teaching & Learning Methods: Lecture, assignments and laboratory exercises
Assessment/Evaluation: Continuous Assessment (Assignments, Quizzes, Lab exercise, Mid-
semester Exam and related projects): 60%); Final examination (40%)
Grading:
Mark Interval [%] [90,100] [85,90) [80,85) [75,80) [70,75) [65,70) [60,65) [50,60) [45,50) [40,45) [30,40) <30
Fixed Number Grade 4.0 4.0 3.75 3.5 3.0 2.75 2.5 2.0 1.75 1.0 0 0

Page 83 of 96
Letter Grade A+ A A- B+ B B- C+ C C- D Fx F

Textbook(s)
i. Introduction to Embedded Systems - Shibu K.V, Mc Graw Hill
Reference Book(s)
1. Embedded Systems - Raj Kamal, TMH.
2. Embedded System Design - Frank Vahid, Tony Givargis, John Wiley.
3. Embedded Systems – Lyla, Pearson, 2013
4. An Embedded Software Primer - David E. Simon, Pearson Education.

Course Course name Pre-requisite L T P Credit


code
CSE 504 Cryptograph and --- 3 - 0 3
network security

Unit - I Introduction: Security trends, Security Attacks, Security services, Model of Inter-network
security- Conventional Encryption Model - Stenography - Classical Encryption Techniques - Simplified
DES - Block Cipher Principles - Data Encryption Standards - Differential and Linear Encrypt Analysis -
Algorithms - Triple DES - International Data Encryption Algorithm - Random number generation.
Unit - II Number theory and Public Key Cryptography: •Number theory - Prime and relatively
prime numbers - modular arithmetic - Fermat's and Euler's theorem - testing for primality - Euclid's
algorithm - Chinese remainder theorem- Principles of Public-Key Cryptosystems, RSA algorithm, Key
Management Diffie-Hellman Key Exchange. Unit – III Message Authentication, Hashing
functions and digital signature: •Authentication Requirements, Authentication Functions, Message
Authentication Codes, Hash Functions, Security of Hash Functions and Macs, Hash and MAC
Algorithms, Secure Hash Algorithm, HMAC, CMAC, Digital Signatures and Authentication Protocols.
Unit – IV IP Security and VPN: •IP Security Overview, IP Security Architecture, Authentication
Header, Encapsulating Security Payload, Combining Security Associations, VPN. Unit V Electronic
mail and Web security: Pretty Good Privacy - IP security - IP security Architecture - Authentication
header - Encapsulating Security Payload - Combining Security Associations - Key management, Secure
Socket layer and Transport layer security - secure electronic transaction - Intruders - Viruses - Fire walls -
Fire wall design principles - Trusted systems. Unit VI Physical Layer security: Introduction to
physical layer security - Cryptography vs Information-theoretic secrecy – Wyner’s wiretap channel –
Secure communication over fading channels - Secrecy capacity- Coding for security- Advanced
Techniques (recent research)
List of experiments: NA
Attendance Requirements: 90% lecture attendance and 100% Laboratory
Teaching & Learning Methods: Lectures, tutorials, and Laboratory exercises.
Assessment/Evaluation: Continuous Assessment (Assignments, Quizzes, Lab exercise, Mid-
semester Exam and related): (60%); Final examination (40%)
Grading:
Mark Interval [%] [90,100] [85,90) [80,85) [75,80) [70,75) [65,70) [60,65) [50,60) [45,50) [40,45) [30,40) <30

Page 84 of 96
Fixed Number Grade 4.0 4.0 3.75 3.5 3.0 2.75 2.5 2.0 1.75 1.0 0 0
Letter Grade + A - + B - + C - D Fx F
A A B B C C

References:
1.Cryptography and Network Security Principles and Practices, William Stallings
2.Richard E Smith, Internet Cryptography, Addison Wesley
3.Chapnew D and Zwicky, Building Internet Firewalls, O'Reilly
4.Bloch Matthieu; Barros Joao physical-layer security from informarion theory to security
engineering Cambridge University Press, 2011
5.Liu Ruoheng; Trappe Wade (Eds.) Securing Wireless Communications at the Physical Layer Springer,
2010
6.Yingbin Liang, H. Vincent Poor, Shlomo Shamai (Shitz) Information Theoretic Security Now
Publishers, 2009

5.Internship and Projects


Course Course name Pre-requisite L T P Credit
code
ECE 501 Industry Internship Promoted to 0 0 45 15
year 5

LEARNING OBJECTIVE AND OUTCOME OF INTERNSHIP


A Learning Objective is a written statement describing specific measurable achievements to be
accomplished during the internship experience by interns for further information see manual for
mentors. Note (For the company and mentor) Please ensure the agreed up on objectives and
activities can develop interns future carrier)
Student name;
_________________________________________________________________________
Name of the organization
________________________________________________________________

S/ Objectives Planned Activities and performed in % Value (15 pt.


N each)
1. Understand and write the process of
organization routine works (tasks)
(consider the value chain if appropriate)

Page 85 of 96
2. Understand and write the organization
basic technical works like for
Industrial/Mechanical/Electrical/Chemic
al Production Process Flow, Production
control, Maintenance activities & Plans
Civil/ Architecture & Urban Planning
to be given by advisor
3. Be Skilled in routine activities and tasks
4. Strengthen and expand Knowledge
relevant to academic background
 Types of learnt working methods.
Work Mini-Projects.
 Participation in major working
process of the Company.
 Work one research type project to
solve one critical problem of the
company
5. Acquire Hands-on-training in practical
skill in one or several of his/her specific
profession Like Maintaining
Equipment’s Measuring and operating
instruments
6. Other experience the inter should learn
Like planning and reporting
Total Marks 100%

----------------------------------- --------------------------------- ------------------


---------
Signature, Student Signature, Supervisor
Signature, Mentor

Monthly Performance Evaluation Sheet to be filled by Company Supervisor


Month :
Company Name :
Company Supervisor Name :
Students Name :
Students Department At Institute:

Page 86 of 96
Please give appropriate value in the box provided out
Of the total value given for each evaluation criteria.

General Performance (25%)


Punctuality [5%]
Reliability [5%]
Independence in Work [5%]
Communication Skills [5%]
Professionalism [5%]

Personal Skills (25%)


Speed of Work [5%]
Accuracy [5%]
Engagement [5%]
Do you recommend him for your work [5%]
Cooperating with colleagues [5%]

Professional Skills (50%)


N.B the intern students are just 5th year students and they are not graduates
Technical Skills [ 5%]
Organizing Skills [ 5%]
Support of the project tasks [ 5%]
Responsibility in task-fulfillments [15%]
Team Sprit [20%]

Result Total Percentage

Supervisor Signature
Company
Stamp
__________________

Page 87 of 96
N.B. To have a common interpretation of the evaluation criteria Meanings of some of
Evaluation Criteria is given on the next page.

Outline for an Internship Report


Internship Report Outline and Evaluation points
1. Describing the background of your internship hosting company, including: ( 5 point )
o Its brief history
o It main product and service
o Its main customer or end users
o Its overall organization and work flow
2. Overall internship experience, including: ( 10 point )
 In which section of the company you have been working in
 How does the work flow in the section look like
 Which work piece or work task you have been executing
 What procedures and methods you have been using while performing your tasks
 What challenges you have been facing while performing your work tasks
 What measure you have been taken in order to overcome the challenges
3. How your Project selected and worked out (follow research methodology ) ( 60 point )
 Project title
 Short summery of the project
 Problem statement & Justification
 Objective of the project
 Methodology
 Literature review
 Result & Discussion
4. Over all benefit you gained from the internship, includes: ( 15 point )
 What you gained in terms of upgrading your theoretical knowledge
 What you gained in terms of improving your practical skills
 What you gained in terms of improving industrial problem solving capability
 What you gained in terms of improving your team playing skills
 What you gained in terms of improving your leadership skills
 What you gained in terms of understanding about work ethics issues
 What you gained in terms of entrepreneurship skills
5. Conclusion & Recommendation (10 point)

Page 88 of 96
Grading:
Mark Interval [%] [90,100] [85,90) [80,85) [75,80) [70,75) [65,70) [60,65) [50,60) [45,50) [40,45) [30,40) <30
Fixed Number Grade 4.0 4.0 3.75 3.5 3.0 2.75 2.5 2.0 1.75 1.0 0 0
Letter Grade + A - + B - + C - D Fx F
A A B B C C

Course Course name Pre- Lecture Tutorial Practice Credit


Number Requisit
e
ECE 410 Mini Project --- --- --- 3 1

Course Objectives
After completing this course student will be able to
 Identify problems and propose a solution in the respective focus area
 Work in groups to solve real world problems
 Present their works
Course Descriptions / Content Outline
A semester project that addresses specific problem areas in the respective stream. The students are
given guides from their project advisor(s) to analysis and solve the problems
Laboratory Exercises: As per recommended by the project advisor
Teaching & Learning Methods:
Assessment/Evaluation: written document 40 %, presentation 40 %, Technical (to be filled by the
advisor) 20 %
Grading:
Mark Interval [%] [90,100] [85,90) [80,85) [75,80) [70,75) [65,70) [60,65) [50,60) [45,50) [40,45) [30,40) <30
Fixed Number Grade 4.0 4.0 3.75 3.5 3.0 2.75 2.5 2.0 1.75 1.0 0 0
Letter Grade A+ A A- B+ B B- C+ C C- D Fx F

Page 89 of 96
Course Code Course Title Pre-Requisite L T P Credit

ECE 504 Final Project - - - 12 4

Course Objectives
After completing this course student will be able to
 Identify problems and propose a solution in the respective focus area
 Work in groups to solve real world problems
 Present their works
Course Descriptions / Content Outline
A semester project that addresses specific problem areas in the respective stream. The students are
given guides from their project advisor(s) to analysis and solve the problems
Laboratory Exercises: As per recommended by the project advisor
Teaching & Learning Methods:
Assessment/Evaluation: written document 40 %, presentation 40 %, Technical (to be filled by the
advisor) 20 %
Grading:
Mark Interval [%] [90,100] [85,90) [80,85) [75,80) [70,75) [65,70) [60,65) [50,60) [45,50) [40,45) [30,40) <30
Fixed Number Grade 4.0 4.0 3.75 3.5 3.0 2.75 2.5 2.0 1.75 1.0 0 0
Letter Grade A+ A A- B+ B B- C+ C C- D Fx F

Page 90 of 96
6. Staff and Facility
Staff of ECE last update Sep 2018

S.N Name Title (Rank) On duty Remark


1 Meles G/yesus W/gebriel Lecturer On duty

2 Gebrezgabher Nigusse G/tekle Lecturer On duty

3 Kiros Siyoum W/michale Lecturer On duty

4 Maarig Aregawi Hagos Ass. Professor On duty

5 Yonas Hadush G/giorgis Lecturer On duty

6 Leake Zegeye Kahsay Ass. Professor On duty

7 Werkneh Gebeye Abera Lecturer On Study Leave Studying his


PHD in AAU
8 Micheae Hadera Tekulu Lecturer On duty

9 Milyard Tassew Reda Lecturer On duty

10 Solomon Teklehaymanot Mawcha Lecturer On duty

11 Yesegeduleamlak W/gerima G/silassie Ass. Lecturer On duty

12 Selemawit Tekle Haylu Ass. Lecturer On duty

13 Brhanu Kahsay Araya Ass. Lecturer On Study Leave Studying his


2nd degree in
Santa anna
university
14 Gebrezgabher Hagos Berhe Ass. Lecturer On Study Leave Studying his 2nd
degree in MU
15 G/her Teklebrhan Embaye Ass. Lecturer On Study Leave Studying his 2nd
degree in MU
16 Yohanes Teklay G/slassie Ass. Lecturer On duty Studying his 2nd
degree in MU
17 Haillemariam Tsigabu G/yohannes Ass. Lecturer On duty

18 Haftom Tesfay Gidena Ass. Lecturer On duty

19 Hilesilassie Feseha Berhe Ass. Lecturer On Study Leave Studying his 2nd
degree in MU
20 Desalegn Yifru Berhe Ass. Lecturer On Study Leave Studying his 2nd
degree in MU
21 Atsbeha G/silassie Weldeanenya Ass Lecturer On Study Leave Studying his 2nd
degree in MU
22 Aster Geremew Tesfay Ass. Lecturer On Study Leave Studying his 2nd
degree in MU
23 Zemenfes Hagos Araya Tech. Assistant III On Study Leave Studying his 2nd
degree in
Arbaminch
University
24 Kibrom Zemuy G/Michael Tech. Assistant II On Study Leave Studying his 1st
degree in MU
25 Almaz Gebresilassie Meles Labattendant On duty

26 Kindahafti G/her Gidey Labattendant On duty

Page 91 of 96
27 Brhan Tekletsion Reda Admin.Assistant On duty

28 Senait Molla Ass. Lecturer On duty

Laboratories
 Microcontroller, Microprocessor and Embedded Systems Lab.
 Communications Lab.
 Electronics Lab.
 Microwave and Satellite Communications Lab.

Page 92 of 96

You might also like