You are on page 1of 5

33

Multi-Car Parking System Using Verilog


2022 International Conference on Wireless Communications Signal Processing and Networking (WiSPNET) | 978-1-6654-9648-3/22/$31.00 ©2022 IEEE | DOI: 10.1109/WISPNET54241.2022.9767181

Rishi Venkataraman R.1 , Aravind A.2 , Praveenraj M.3 and Ramesh S.R.4
1,2,3,4 Department of Electronics and Communication Engineering, Amrita School of Engineering,
Coimbatore Amrita Vishwa Vidyapeetham, India
1 cb.en.u4ece18052@cb.students.amrita.edu 2 cb.en.u4ece18009@cb.students.amrita.edu,
3 cb.en.u4ece18049@cb.students.amrita.edu, 4 sr_ramesh@cb.amrita.edu,

Abstract—Parking slots in closed spaces like shopping malls essentially subsidising parking for others. Commercial visitors
and multistoried building etc. usually find it difficult to keep track and excessive vehicle ownership also produce a lot of spill over
of free space and required manual labor to do the same. This parking for residents.
work aims at creating a parking system with multiple slots to mit-
igate the problem of tight parking spaces and high manual efforts In India’s metros, the demand for parking shows no signs of
to keep track of free space within a constrained area. The overall abating. Because land resources are limited, it is not practical
idea focuses mainly on the design of a car parking system by to plan parking spots in an efficient manner based on demand.
simulating Verilog code using the ModelSim software. Synthesis Rather than increasing the number of available parking spaces,
is targeted using Xilinx-20.1 Integrated Synthesis Environment an effective technology-based solution to optimise the utilisa-
(ISE).Asynchronized system of parking slots for vehicles using
the concept of Finite State Machine are utilized. The proposed tion of existing spaces must be implemented. Smart parking
system shows less area utilization. solutions can give both parking operators and drivers with a
real-time map of available spaces using sensors and software.
Index Terms—Verilog, parking system, Xilinx, ModelSim,
Finite State Machines The traditional parking lot framework necessitates a great
deal of human labour, such as guards, cash collectors, and stop
teachers. To shorten the time it takes to pay the cash collection,
I. INTRODUCTION a car parking lot system makes it simple to park cars without
India is currently developing at a break-neck pace ,resulting having to spend too much time looking for a parking spot. This
in a massive urbanization. It is home to some of the world’s project is primarily concerned with the design of a car parking
most populous cities, including New Delhi, Hyderabad, and system using Verilog code and the software tools Xilinx-20.1
Bangalore.The problem of parking spaces is becoming more with ISE simulator and ModelSim. The major goal of this
acute as these citiescontinue to grow. The issue is straight- project is to develop a proper system of car parking slots based
forward: the number of cars on the road grows inexorably on the Finite State Machine concept.
in proportion to the number of parking spaces available. The This paper comprises of six sections. The first section pro-
rising number of cars on the road does not correspond to vides an introduction and the motivation. Section II accounts
the available parking spaces in cities.Parking spots are in for the related works. Section III discusses the state machines
high demand in today’s cities, resulting in challenges such used in the development of the work. Section IV explains the
as traffic congestion, disproportionate demand and supply, proposed system and the state diagram based implementation.
and environmental risks, to name a few. India struggles with Section V includes the simulation results and the outputs
chaotic conditions such as packed walkways, illegal parking, obtained. Section VI provides a succinct conclusion about the
and inadequate surveillance due to bad parking management work.
and legislation.
Overcrowding of parking places is one of today’s most
II. RELATED WORKS
common issues. The number of vehicles on the road continues
to outnumber available parking spots, cluttering the roads. There has been lot of advances in the field of car parking
To alleviate the problem, most towns propose adding parking system yet, there is always scope for improvement. A car
spots. Regardless of the limited land area and resources, parks parking framework has been proposed by the authors [1],
and vacant plots are being exploited as potential parking spots, which uses a Finite State Machine based on FPGA. FSM based
and multi-level facilities are being created. VHSIC Hardware Description Language coding and designed
Parking spaces are virtually always built in residential apart- on FPGA to automate parking. Works related to centralized
ments in Indian metros because it is believed that residential parking system [2] have also carried out where in the driver
plans without them will not attract purchasers. This raises would be able to choose the nearest free slot for parking.
the entire building cost, which is exacerbated when parking Use of Fuzzy Logic Control for system design of car parking
spaces are vacant. Because parking fees are the same whether system was implemented in [3]. The system was employed to
the structure is a luxurious high-rise or a row of modest do carry out various operations that would be necessary when
apartments, lower-income tenants who are less likely to own deploying the system in a real time environment. The authors
automobiles wind up bearing the brunt of parking costs, in [4] proposed a method to curb the issue of indiscriminate

Authorized
978-1-6654-9648-3/22/$31.00 ⃝2022
licensed use limited to: University
c of Management & Technology Lahore. Downloaded on October 31,2023 at 12:25:39 UTC from IEEE Xplore. Restrictions apply.
IEEE
34

parking by making use of VHDL and implemented it on a


complex programmable logic device (CPLD).Methods have
also been proposed in [5] to accurately predict the position
of tyres of a vehicle which would prove to be helpful in
identifying the space occupancy in a parking lot.
There has also been advancement such as using a fast
hardware-based (FPGA-based) automatic parking assistant
system [6] created to aid novice drivers with parallel parking
assistance. In [7] the design of IoT based parking system
was carried out by deploying IR sensors to find the presence
of a parked vehicle. In [8] the authors developed a 32-bit
multiply and accumulate unit based on a Vedic sutra (Urdhva
Tiryakbhyam sutra), an accumulation unit based on a ripple
Fig. 1. Mealey State Machine
carry adder (RCA), and implemented it in a 4-tap FIR filter.
The results reveal a 5% gain in area and a 9% increase
in power efficiency. The possibility of solving the parking
vacancy issue by harnessing the use of RFID along with
VHDL have also been dealt by the authors of [9]. The system
also proposed a recharge system for the RFID card which
would be used at the time of entry. The distance of the
preceding vehicle was calculated using a supervised learning
method, YOLO (you only look once) object detector for
vehicle detection in this paper [10]. In comparison to other
current methods, the suggested ANN-based system estimated
straight road pictures and decreased the error rate to 2%. The
use of geomagnetic sensors for detecting the vacant spaces in Fig. 2. Moore State Machine
car parking slots was also a proposed method in [11]. The
idea of surveillance and monitoring for using cloud services
has been mentioned in [12]. An IoT based approach was also order. The following are some of the benefits of a Finite State
implemented in [13] which made use of load sensors to detect Machine.
the availability of slots in a parking system. The authors of [14] • Finite state machines (FSMs) are versatile.

modeled a parking system with various sensors, stepper motors • It’s simple to transition from a significant abstract to code

and LCD. It had modules for checking slot availability which execution.
was modeled in FPGA using HDL. A parking system using • Processor overhead is minimal.

ultrasonic and magnetic sensor was implemented in [15] which • The reachability of a state can be easily determined.

was shown to have a good performance in various weather The state machines are modeled using two basic types of
conditions also. sequential networks- Mealy and Moore.

III. FINITE STATE MACHINES A. Mealey Machine


The output of a Mealy machine is dependent on both the
Both computer programs and sequential logic circuits are
current state and the input. The Mealy model has the advantage
designed using finite state machines (FSM). It is envisioned
of potentially reducing the number of states.
as an abstract machine capable of handling a defined number
A sequential Mealy model is an FSM machine whose output
of states. The model is able to handle only one state a time;
values and next states are determined by both its present state
the state at any given point of time is defined by the present
and present output. It also consists of a state register that
state. A change occurs when an event changes from one state
retains the current state. The state register should be based
to another as a result of a triggering event or situation. A finite
on clock edge.Only when the clock signal transitions from
state machine is defined by a collection of user defined states
positive to negative will the outputs be defined.
and conditions which trigger the transition. The two types of
FSMs are depicted in Figure 1 and Figure 2.
Many technologies in modern society behave like state B. Moore Machine
machines, carrying out a pre-programmed series of operations If the outputs of a Finite State Machine are only dependent
in response to a set of events. Simple examples include on current states, it is referred to as a Moore State Machine.
vending machines that dispense goods when the correct com- Moore’s state machine is made up of two components.
bination of coins is deposited, elevators that drop passengers Combinational logic and memory are these two components.
off on upper floors before descending, traffic lights that change The next states are determined by the current inputs and states
sequence when cars are waiting, and combination locks that in this scenario. Moore state machine generates outputs based
require the correct input of combination numbers in the correct on next states. As a result, the outputs will only be valid after

Authorized licensed use limited to: University of Management & Technology Lahore. Downloaded on October 31,2023 at 12:25:39 UTC from IEEE Xplore. Restrictions apply.
35

transition of the state. A Mealy model can be designed for address this issue, a ‘car count’ is created which keeps tracks
every Moore Model. The number of states in a Mealy model on how many cars can enter. So, in our project, the number
will always be lesser than or equal than the number of states of parking slots available is taken to be 4. This is done for
in a Moore model. As a result, the choice of selecting one of easier simulation purpose. The number of car parking slots
them is based on the circuit’s needs. can be taken any number. Correspondingly the car_count has
to changed to a suitable register in order to hold register
IV. PROPOSED SYSTEM values. The password and username of the system is given
In this work, 5 states have been considered. They are IDLE, a fixed value ie username is given as 01 with password as
WAIT PASSWORD, RIGHT PASS, WRONG PASS, STOP. 10. If the car is entering the parking slot and user enters
The main idea is that when a car enters the car parking arena, 01 as username and password as 10 then the car is allowed
one has to give his username and password for the verification for further states otherwise for example if user enters 11 as
of entry. So in this case two variables named password_1 and both password and username then the car doesn’t match with
password_2 is taken where password_1 refers to username the parking slot system hence car will not be permitted. As
and password_2 refers to password. In case the password is long as car parking count i.e., the car count, is less than 4,
incorrect, the redlight glows and one has to keep entering the cars may be allowed to park. Once it reaches the limit of
password and username as long as the password and username 4 the other entering cars are sent out at STOP point. But
matches with the system. If it matches with the system then there will also be a case where a car may want to leave
the green light glows and the person will be allowed to park when other car enters. Whenever a car leaves from the slot,
the car. So, to track whether the car is entering or exiting, the based on the sensor_exit, sensor_entrance parameter carcount
work uses two sensors known as sensor entrance and sensor is decreased.
exit. Initially when the car is in idle state, sensor_entrance is
made to 1 and when right password is entered then sensor V. SIMULATION RESULTS
entrance is made to 0 ensuring that car is parked. When the This system is implemented using Xilinx Vivado ISE 20.1 in
car exits, the sensor_exit is made to 0 and the sensor_entrance Verilog. This system has the potential to replace the existing
is made to 1. The proposed system is shown as FSM model conventional parking lot system which has the limitation of
in Figure 3. utilizing only a single spot.
But the problem with the existing design is that it does Figure 4 shows the simulation output. RTL schematic is
not address the issue of multiple parking slots. The above depicted in Figure 5 which shows various MUXES are used
design addresses only a single car parking slot. Hence to

Fig. 3. FSM Representation of the Proposed System Fig. 4. Simulation Output

Authorized licensed use limited to: University of Management & Technology Lahore. Downloaded on October 31,2023 at 12:25:39 UTC from IEEE Xplore. Restrictions apply.
36

TABLE I
POWER UTILIZATION

Type Component Power


Dynamic 1.629W (95%) Signals 0.079W
Logic 0.056W
I/O 1.494W
Static 0.084W (5% ) PL Static 0.084W

TABLE II
UTILIZATION REPORT

Site Type Used Fixed Available Util%


Slice LUTs 32 0 41000 0.08
LUT as Logic 32 0 41000 0.08
LUT as Memory 0 0 13400 0.00
Slice Registers 41 0 82000 0.05
Register as Flip Flop 41 0 82000 0.05
Register as Latch 0 0 82000 0.00

VI. CONCLUSION
This design aimed to solve the issue of car parking system
by proposing a simulation-based approach with the feature
of identifying the availability for multiple slots. The system
caters to a wide range of issues. Device utilization was found
to be much lower in comparison to the existing models. It is
a safe and cost-effective personalized parking solution that
focuses on the fundamental principle of saving time while
parking. Because the majority of the operation is hardware-
Fig. 5. Sample RTL Schematic of the Proposed System based, maintaining the network of incoming and outgoing cars
is also relatively simple. The concept also promotes quick
parking.
along with various asynchronous register and the values stored
in next state. The Fig. 5 is sample of RTL schematic. In the
simulated output, the username and password are the input. REFERENCES
When the car is at the entrance, the sensor_entrance changes to [1] P. Vamney, D. Mishra, and R. Khatri, “FPGA based advance parking lot
1, if the password1 enteredis 00 and password2 entered is also system,” in Proc. of 3rd Int. Conf. on Electronics, Materials Engineering
00 then the passwords do not match with the result hence the & Nano-Technology (IEMENTech), 2019.
[2] B. K. Patil, A. Deshpande, S. Suryavanshi, R. Magdum, and B. Manju-
resultant output is carcount being 0 and RED_LED being on nath, “Smart parking system for Cars,” in Proc. of Int. Conf. on Recent
and GREEN_LED being off.., if the password1 entered is 01 Innovations in Electrical, Electronics & Communication Engineering
and password2 entered is 10 then the passwords match with the (ICRIEECE), 2018.
[3] E. Sachdeva, P. Porwal, N. Vidyulatha, and R. Shrestha, “Design of
system hence the resultant output is carcount being increased low power VLSI-architecture and ASIC implementation of fuzzy logic
by one indicating the car slot being occupied and RED_LED based automatic car-parking system,” in Proc. of IEEE Annual India
being off and GREEN_LED shown a flickering effect of 1 and Conference (INDICON), 2016.
[4] D. K. Shah, C. R. B. D. Sen, and S. Goyal, “Vehicle parking system
followed by 0. Here in this situation the car is parked. The implementation using CPLD,” in Proc. of Int. Conf. on Communication,
car count value is also incremented which keeps the count Information & Computing Technology (ICCICT), 2015.
of cars parked. The cars may be parked for as long as the [5] S. Pradeepth and K. P. Peeyush, “Vehicle wheel detection using Micro
Doppler effect,” Journal of Physics: Conference Series, vol. 1706, no. 1,
sensor_exit is 0 and car count is lesser than 4. If sensor_exit=1 p. 012096, 2020.
and car count<4 it indicates that existing car inside the parking [6] I. Song, K. Gowan, J. Nery, H. Han, T. Sheng, H. Li, and F. Karray,
slot wants to leave the slot hence car count is decremented “Intelligent parking system design using FPGA,” in Proc. of Int. Conf.
on Field Programmable Logic and Applications, 2006.
by 1. In case if car count>4 the car parking slots are full, the [7] B. Kumar Gandhi, “A Prototype for IoT based car parking management
system changes to STOP state and remain there until it finds system for smart cities,” Indian Journal of Science and Technology,
a free slot. vol. 9, no. 17, 2016.
[8] D. S. Manikanta, K. S. Ramakrishna, M. Giridhar, N. Avinash, T. Srujan,
From the power utilization report, it has to be noted that the and R. S. R, “Hardware realization of low power and area efficient Vedic
overall power utilization of the proposed system is very low. Mac in DSP filters,” in Proc. of 5th Int. Conf. on Trends in Electronics
and Informatics (ICOEI), 2021.
The above table gives the synthesis utilization summary [9] A. Singh, M. S. Shawl, S. Bathla, N. Gaur, and A. Mehra, “RFID and
HDL based pre-paid car parking system,” in Proc. of Second Int. Conf.
for the proposed parking system. Accuracy of system is not on Electronics, Communication and Aerospace Technology (ICECA),
considered in our work 2018.

Authorized licensed use limited to: University of Management & Technology Lahore. Downloaded on October 31,2023 at 12:25:39 UTC from IEEE Xplore. Restrictions apply.
37

[10] K. Karthika, S. Adarsh, and K. I. Ramachandran, “Distance estimation [13] S. GokulKrishna, J. Harsheetha, S. Akshaya, and D. Jeyabharathi, “An
of preceding vehicle based on Mono Vision Camera and Artificial Neural IOT based smart outdoor parking system,” in Proc. of 7th Int. Conf. on
Networks,” in Proc. of 11th Int. Conf. on Computing, Communication Advanced Computing and Communication Systems (ICACCS), 2021.
and Networking Technologies (ICCCNT), 2020. [14] R. Kaur and B. Singh, “Design and implementation of car parking sys-
[11] M. Bhende and S. Wagh, “Intelligent car park management system tem on FPGA,” International Journal of VLSI Design & Communication
using wireless sensor network,” International Journal of Computer Systems, vol. 4, no. 3, pp. 69–77, 2013.
Applications, vol. 122, no. 10, pp. 1–6, 2015. [15] N. Jindaprakai and S. Nuchitprasitchai, “Intelligent parking system using
[12] R. Marceline, S. R. Akshaya, S. Athul, K. L. Raksana, and S. R. Ramesh, multiple sensor detection,” Proc. of Research, Invention, and Innovation
“Cloud storage optimization for video surveillance applications,” in Congress (RI2C), 2019.
Proc. of Third Int. Conf. on Smart Systems and Inventive Technology
(ICSSIT), 2020.

Authorized licensed use limited to: University of Management & Technology Lahore. Downloaded on October 31,2023 at 12:25:39 UTC from IEEE Xplore. Restrictions apply.

You might also like