You are on page 1of 6

Le but de TP

L’objectif de ce TP est de :
✓ Concevoir et programmer différentes circuits combinatoires en utilisant les processusVHDL
✓ Compiler, simuler et vérifier les circuits combinatoires avec MAX+PLUS II Software

Manipulation

1. Multiplexeur 4 vers 1
➢ Code VHDL d’un multiplexeur 4 vers 1 en utilisant l’instruction (with select), l’entrée de
sélection est de type standard logic_ vector
Table de vérité
SEL Y0 Y1 Y2 Y3 Q
00 1 0 0 0 Y0
01 0 1 0 0 Y1
10 0 0 1 0 Y2
11 0 0 0 1 Y3

Le programme

Le chronogramme
2. Multiplexeur 4 bits
➢ Un code VHDL en utilisant l’instruction concurrente conditionnelle « WHEN-ELSE » avec
les entrées B0, B1, B2, B3, SEL et la sortie S sont des bit-vector
Le programme

Le chronogramme

➢ Remarque : Le multiplexeur dispose de deux entrées de commandeA0 et


A1 pour sélectionner une des quatre entrées B0,B1, B2 ouB3.En général,
l'entrée sélectionnée porte en indice l'état correspondant à la combinaison
des entrées de commande
3. Additionneur simple

Le programme
Le chronogramme

4. Additionneur avec retenue entrante et sortante :


Le programme

Le chronogramme

5. Comparateur :

Table de vérité
A B A>B A<B A=B
0 0 0 0 1
0 1 0 1 0
1 0 1 0 0
1 1 0 0 1

Le programme

Le chronogramme

You might also like