You are on page 1of 26

8 7 6 5 4 3 2 1

(1) PCI-E INTERFACE +3.3V_BUS

5
C101
U100B
0.1uF

+3.3V_BUS +3.3V_BUS
R100 10K
+3.3V_BUS
NC7SZ08P5X OUT 15,16

3
1

1
U1B
C100 0.1uF
UNNAMED_26_CAP_I250_B

PCIE1
R101 R102
+12V_BUS 45.3K 45.3K +0.95V
U100A SYMBOL 2
INPUT_RAILS_UP R103 1 20R 1

2
A2 +12V PERST_ A11 PERST#
15,16,17 4 PERST#_BUF AM55 PERSTB PCIE_CALR_TX Y45 PCIE_CALRP R104 1 21.69K

1
D A3 +12V 2 D
Q100
C102 C103 C104 B1 +12V BSH111 NC7SZ08P5X
10uF 0.15uF 0.15uF
B2 +12V SMCLK B5 SMCLK 3 2 GPUSMCLK AJ44 SMBCLK PCIE_CALR_RX Y46 PCIE_CALRN R105 2 11K

1
B3 +12V
Q101
+3.3V_BUS
BSH111 WAKEB AJ42 WAKEB

SMDAT B6 SMDATA 3 2 GPUSMDAT AJ43 SMBDAT PX_EN U42 PX_EN_R R1092 11K PX_EN
15,16
OUT
A9 +3.3V
A10 +3.3V
C105 C106 C107 C108 B8 +3.3V REFCLK+ A13 PCIE_REFCLKP AL49 PCIE_REFCLKP CLKBUF_REFCLKP_OUT0 AL47 PCIE_REFCLKOUT0_P
TP100
0.1uF
10uF 1uF 0.01uF
REFCLK- A14 PCIE_REFCLKN AL50 PCIE_REFCLKN CLKBUF_REFCLKN_OUT0 AL46 PCIE_REFCLKOUT0_N
TP101
+3.3V_AUX U1A

B10 3.3Vaux TP102 CLKBUF_REFCLKP_OUT1 AL52 PCIE_REFCLKOUT1_P


TP103 SYMBOL 1
PETp0 B14 PETP0_GFXRP0
AL55 PCIE_RX0P CLKBUF_REFCLKN_OUT1 AL53 PCIE_REFCLKOUT1_N
TP104
JTAG
PETn0 B15 PETN0_GFXRN0
AK54 PCIE_RX0N
A4 GND TP105 JTAG_TDO AG42 reomve JTAG circuit
A12 GND PETp1 B19 PETP1_GFXRP1
AK52 PCIE_RX1P JTAG_TDI AF42
A15 GND PETn1 B20 PETN1_GFXRN1
AK53 PCIE_RX1N JTAG_TMS AF44
A18 GND TP106 JTAG_TCK AG44 +3.3V_BUS
A20 GND PETp2 B23 PETP2_GFXRP2
AK55 PCIE_RX2P TESTEN AG43 TESTEN
A23 GND PETn2 B24 PETN2_GFXRN2
AJ54 PCIE_RX2N JTAG_TRSTB AF43 JTAG_TRSTB
A24 GND TP107 TP108
MR106 1% 1K
A27 GND PETp3 B27 PETP3_GFXRP3
AJ52 PCIE_RX3P
HAWAII REV 0.53 R106 1% 1K
A28 GND PETn3 B28 PETN3_GFXRN3
AJ53 PCIE_RX3N HAWAII - REV 0.50
A31 GND TP109
R107 1% 1K
A34 GND PETp4 B33 PETP4_GFXRP4
AJ55 PCIE_RX4P MR107 1% 1K
A37 GND PETn4 B34 PETN4_GFXRN4
AH54 PCIE_RX4N
A38 GND TP110
A41 GND PETp5 B37 PETP5_GFXRP5
AH52 PCIE_RX5P
A42 GND PETn5 B38 PETN5_GFXRN5
AG53 PCIE_RX5N
A45 GND TP111
A46 GND PETp6 B41 PETP6_GFXRP6
AG55 PCIE_RX6P
A49 GND PETn6 B42 PETN6_GFXRN6
AG54 PCIE_RX6N
A51 GND TP113
A54 GND PETp7 B45 PETP7_GFXRP7
AG52 PCIE_RX7P BIF_VDDC AA48
A55 GND PETn7 B46 PETN7_GFXRN7
AF53 PCIE_RX7N BIF_VDDC AA47
A58 GND TP114
BIF_VDDC AA49 C109 C110 C111

C A59 GND PETp8 B50 PETP8_GFXRP8


AF55 PCIE_RX8P BIF_VDDC AA46 10uF 1uF 1uF
C
+0.95V
A62 GND PETn8 B51 PETN8_GFXRN8
AF54 PCIE_RX8N
A63 GND TP115 TP116
A66 GND PETp9 B54 PETP9_GFXRP9
AF52 PCIE_RX9P PCIE_VDDC AJ48
A67 GND PETn9 B55 PETN9_GFXRN9
AE53 PCIE_RX9N PCIE_VDDC AK51
A70 GND TP117 PCIE_VDDC AC48 C112 C113 C114 C115 C116 C163
1uF 1uF
A71 GND PETp10 B58 PETP10_GFXRP10
AE55 PCIE_RX10P PCIE_VDDC AF51 10uF 10uF 1uF 1uF

A74 GND TP112 PETn10 B59 PETN10_GFXRN10


AD54 PCIE_RX10N PCIE_VDDC AF48
A75 GND TP118 PCIE_VDDC AC56
A78 GND PETp11 B62 PETP11_GFXRP11
AD52 PCIE_RX11P PCIE_VDDC AG56
A79 GND PETn11 B63 PETN11_GFXRN11
AD53 PCIE_RX11N PCIE_VDDC AK56 C117 C118 C119 C120 C161
A82 GND TP119 TP120
PCIE_VDDC AC51 1uF 1uF 1uF 1uF 1uF

B4 GND PETp12 B66 PETP12_GFXRP12


AD55 PCIE_RX12P PCIE_VDDC AD45
B7 GND PETn12 B67 PETN12_GFXRN12
AC54 PCIE_RX12N PCIE_VDDC AH45
B13 GND TP121 PCIE_VDDC AA44
B16 GND PETp13 B70 PETP13_GFXRP13
AC52 PCIE_RX13P PCIE_VDDC AA45 C121 C122 C123 C124 C162
0.1uF 0.1uF 0.1uF
B18 GND PETn13 B71 PETN13_GFXRN13
AC53 PCIE_RX13N
1uF 1uF

B21 GND TP122


B22 GND PETp14 B74 PETP14_GFXRP14
AC55 PCIE_RX14P
B25 GND PETn14 B75 PETN14_GFXRN14
AB54 PCIE_RX14N VSS AA40
B26 GND TP123 TP124
VSS AB40
B29 GND PETp15 B78 PETP15_GFXRP15
AB52 PCIE_RX15P VSS AC40
B32 GND PETn15 B79 PETN15_GFXRN15
AB53 PCIE_RX15N
B35 GND TP125
B36 GND
B39 GND PERp0 A16 PERP0
C125 0.22uF 6.3V PCIE_TX0P
AK46 PCIE_TX0P
B40 GND PERn0 A17 PERN0 C126 0.22uF 6.3V PCIE_TX0N
AK47 PCIE_TX0N +1.8V
B43 GND
B44 GND PERp1 A21 PERP1
C127 0.22uF 6.3V PCIE_TX1P
AK49 PCIE_TX1P PCIE_PVDD AN50
B47 GND PERn1 A22 PERN1 C128 0.22uF 6.3V PCIE_TX1N
AK50 PCIE_TX1N PCIE_PVDD AN49
B49 GND C129 C130 C131 C132
0.1uF 4.7uF
B52 GND PERp2 A25 PERP2 C133 0.22uF 6.3V PCIE_TX2P
AJ46 PCIE_TX2P
0.01uF 1uF
4V
B53 GND PERn2 A26 PERN2
C134 0.22uF 6.3V PCIE_TX2N
AJ47 PCIE_TX2N
B56 GND
B57 GND PERp3 A29 PERP3 C135 0.22uF 6.3V PCIE_TX3P
AJ49 PCIE_TX3P
B60 GND Mechanical Key PERn3 A30 PERN3
C136 0.22uF 6.3V PCIE_TX3N
AJ50 PCIE_TX3N

B B61 GND B
B64 GND PERp4 A35 PERP4
C137 0.22uF 6.3V PCIE_TX4P
AH46 PCIE_TX4P
B65 GND PERn4 A36 PERN4
C138 0.22uF 6.3V PCIE_TX4N
AH47 PCIE_TX4N
B68 GND
B69 GND PERp5 A39 PERP5
C139 0.22uF 6.3V PCIE_TX5P
AH49 PCIE_TX5P
B72 GND PERn5 A40 PERN5 C140 0.22uF 6.3V PCIE_TX5N
AH50 PCIE_TX5N
B73 GND
B76 GND PERp6 A43 PERP6
C141 0.22uF 6.3V PCIE_TX6P
AG46 PCIE_TX6P
B77 GND PERn6 A44 PERN6 C142 0.22uF 6.3V PCIE_TX6N
AG47 PCIE_TX6N
B80 GND
+12V_BUS
PERp7 A47 PERP7 C143 0.22uF 6.3V PCIE_TX7P
AG49 PCIE_TX7P
R110
0R 5% PERn7 A48 PERN7
C144 0.22uF 6.3V PCIE_TX7N
AG50 PCIE_TX7N

DNI B9 JTAG1
+3.3V_BUS
A5 JTAG2 PERp8 A52 PERP8 C145 0.22uF 6.3V PCIE_TX8P
AF46 PCIE_TX8P
MR110 0R 5% JTDIO_LOOP
A6 JTAG3 PERn8 A53 PERN8
C146 0.22uF 6.3V PCIE_TX8N
AF47 PCIE_TX8N
A7 JTAG4
+3.3V_AUX
A8 JTAG5 PERp9 A56 C147 0.22uF 6.3V PCIE_TX9P
AF49 PCIE_TX9P
+3.3V_BUS
PERn9 A57 PERN9
C148 0.22uF 6.3V PCIE_TX9N
AF50 PCIE_TX9N
1

PRESENCE
A1 PRSNT1_A1 PERp10 A60 PERP10
C149 0.22uF 6.3V PCIE_TX10P
AD46 PCIE_TX10P
1

R113 B17 PRSNT2_B17 PERn10 A61 PERN10 C150 0.22uF 6.3V PCIE_TX10N
AD47 PCIE_TX10N
10K B31
R111 5% PRSNT2_B31
1

2.2K Q102
UNNAMED_26_MOSN_I316_G
DNI B48 PRSNT2_B48 PERp11 A64 PERP11
C151 0.22uF 6.3V PCIE_TX11P
AD49 PCIE_TX11P
2

5% BSH111
B81 PRSNT2_B81 PERn11 A65 PERN11 C152 0.22uF 6.3V PCIE_TX11N
AD50 PCIE_TX11N
2

2 3
PERp12 A68 PERP12 C153 0.22uF 6.3V PCIE_TX12P
AC46 PCIE_TX12P
WAKEB R112 0R 5% B11 UNNAMED_26_I3_WAKE
WAKE_ PERn12 A69 PERN12
C154 0.22uF 6.3V PCIE_TX12N
AC47 PCIE_TX12N

DNI

PERp13 A72 PERP13 C155 0.22uF 6.3V PCIE_TX13P


AC49 PCIE_TX13P
A19 RSVD_A19 PERn13 A73 PERN13
C156 0.22uF 6.3V PCIE_TX13N
AC50 PCIE_TX13N
A32 RSVD_A32
PERP9

A33 RSVD_A33 PERp14 A76 PERP14


C157 0.22uF 6.3V PCIE_TX14P
AB46 PCIE_TX14P
A50 RSVD_A50 PERn14 A77 PERN14
C158 0.22uF 6.3V PCIE_TX14N
AB47 PCIE_TX14N

10
CLKREQB B12 RSVD_B12
IN
B30 RSVD_B30 PERp15 A80 PERP15
C159 0.22uF 6.3V PCIE_TX15P
AB49 PCIE_TX15P
B82 RSVD_B82 PERn15 A81 PERN15 C160 0.22uF 6.3V PCIE_TX15N
AB50 PCIE_TX15N

A A
x16 PCIe
HAWAII REV 0.53

HAWAII - REV 0.50

Micro-Star International Co., LTD.


<Title>
MS-V308
Size Document Number Rev
Custom <Doc> 1.0

Date: Tuesday, October 29, 2013 Sheet 1 of 26

8 7 6 5 4 3 2 1
8 7 6 5 4 3 2 1

U1D
(2) HAWAII Memory Channel A&B
U1C
SYMBOL 4
SYMBOL 3

3
0 DQA0_<0> U52 DQA0_0 DQA1_0 C50 DQA1_<0> 3 3
0 DQB0_<0> U38 DQB0_0 DQB1_0 E28 DQB1_<0> 0
3
DQA0_<0> 0 DQA1_<0> DQB0_<0> DQB1_<0>
3
1 DQA0_<1> V53 DQA0_1 DQA1_1 G47 DQA1_<1> 1
3 3
1 DQB0_<1> T38 DQB0_1 DQB1_1 C28 DQB1_<1> 1
3
DQA0_<1> 1 DQA1_<1> DQB0_<1> DQB1_<1>
3
2 DQA0_<2> Y52 DQA0_2 DQA1_2 C52 DQA1_<2> 2
3 3
2 DQB0_<2> T40 DQB0_2 DQB1_2 E27 DQB1_<2> 2
3
DQA0_<2> 2 DQA1_<2> DQB0_<2> DQB1_<2>
3
3 DQA0_<3> W54 DQA0_3 DQA1_3 C49 DQA1_<3> 3
3 3
3 DQB0_<3> P38 DQB0_3 DQB1_3 B27 DQB1_<3> 3
3
DQA0_<3> 3 DQA1_<3> DQB0_<3> DQB1_<3>
3
4 DQA0_<4> U55 DQA0_4 DQA1_4 D48 DQA1_<4> 4
3 3
4 DQB0_<4> R40 DQB0_4 DQB1_4 D28 DQB1_<4> 4
3
DQA0_<4> 4 DQA1_<4> DQB0_<4> DQB1_<4>
3
5 DQA0_<5> W53 DQA0_5 DQA1_5 G49 DQA1_<5> 5
3 3
5 DQB0_<5> N38 DQB0_5 DQB1_5 B26 DQB1_<5> 5
3
DQA0_<5> 5 DQA1_<5> DQB0_<5> DQB1_<5>
3
6 DQA0_<6> U54 DQA0_6 DQA1_6 G46 DQA1_<6> 6
3 3
6 DQB0_<6> N37 DQB0_6 DQB1_6 D26 DQB1_<6> 6
3
DQA0_<6> 6 DQA1_<6> DQB0_<6> DQB1_<6>
3
7 DQA0_<7> W55 DQA0_7 DQA1_7 F50 DQA1_<7> 7
3 3
7 DQB0_<7> M37 DQB0_7 DQB1_7 E26 DQB1_<7> 7
3
DQA0_<7> 7 DQA1_<7> DQB0_<7> DQB1_<7>
3
8 DQA0_<8> W49 DQA0_8 DQA1_8 L38 DQA1_<8> 8
3 3
8 DQB0_<8> L36 DQB0_8 DQB1_8 D25 DQB1_<8> 8
3
DQA0_<8> 8 DQA1_<8> DQB0_<8> DQB1_<8>
3
9 DQA0_<9> N46 DQA0_9 DQA1_9 G43 DQA1_<9> 9
3 3
9 DQB0_<9> K31 DQB0_9 DQB1_9 C24 DQB1_<9> 9
3
DQA0_<9> 9 DQA1_<9> DQB0_<9> DQB1_<9>
3
10 DQA0_<10> W47 DQA0_10 DQA1_10 K43 DQA1_<10> 10
3 3
10 DQB0_<10> M35 DQB0_10 DQB1_10 E24 DQB1_<10> 10
3
DQA0_<10> 10 DQA1_<10> DQB0_<10> DQB1_<10>
3
11 DQA0_<11> W50 DQA0_11 DQA1_11 J40 DQA1_<11> 11
3 3
11 DQB0_<11> L34 DQB0_11 DQB1_11 B24 DQB1_<11> 11
3
DQA0_<11> 11 DQA1_<11> DQB0_<11> DQB1_<11>
D 3
12 DQA0_<12> T46 DQA0_12 DQA1_12 K41 DQA1_<12> 12
3 3
12 DQB0_<12> N33 DQB0_12 DQB1_12 E23 DQB1_<12> 12
3 D
DQA0_<12> 12 DQA1_<12> DQB0_<12> DQB1_<12>
3
13 DQA0_<13> P45 DQA0_13 DQA1_13 J43 DQA1_<13> 13
3 3
13 DQB0_<13> L31 DQB0_13 DQB1_13 B23 DQB1_<13> 13
3
DQA0_<13> 13 DQA1_<13> DQB0_<13> DQB1_<13>
3
14 DQA0_<14> N47 DQA0_14 DQA1_14 K38 DQA1_<14> 14
3 3
14 DQB0_<14> N35 DQB0_14 DQB1_14 D23 DQB1_<14> 14
3
DQA0_<14> 14 DQA1_<14> DQB0_<14> DQB1_<14>
3
15 DQA0_<15> U50 DQA0_15 DQA1_15 G40 DQA1_<15> 15
3 3
15 DQB0_<15> M33 DQB0_15 DQB1_15 C22 DQB1_<15> 15
3
DQA0_<15> 15 DQA1_<15> DQB0_<15> DQB1_<15>
3
16 DQA0_<16> T47 DQA0_16 DQA1_16 K40 DQA1_<16> 16
3 3
16 DQB0_<16> T33 DQB0_16 DQB1_16 G34 DQB1_<16> 16
3
DQA0_<16> 16 DQA1_<16> DQB0_<16> DQB1_<16>
3
17 DQA0_<17> P47 DQA0_17 DQA1_17 P41 DQA1_<17> 17
3 3
17 DQB0_<17> T31 DQB0_17 DQB1_17 H31 DQB1_<17> 17
3
DQA0_<17> 17 DQA1_<17> DQB0_<17> DQB1_<17>
3
18 DQA0_<18> N49 DQA0_18 DQA1_18 N43 DQA1_<18> 18
3 3
18 DQB0_<18> U31 DQB0_18 DQB1_18 G33 DQB1_<18> 18
3
DQA0_<18> 18 DQA1_<18> DQB0_<18> DQB1_<18>
3
19 DQA0_<19> T50 DQA0_19 DQA1_19 M40 DQA1_<19> 19
3 3
19 DQB0_<19> R35 DQB0_19 DQB1_19 J33 DQB1_<19> 19
3
DQA0_<19> 19 DQA1_<19> DQB0_<19> DQB1_<19>
3
20 DQA0_<20> N50 DQA0_20 DQA1_20 L44 DQA1_<20> 20
3 3
20 DQB0_<20> T36 DQB0_20 DQB1_20 K34 DQB1_<20> 20
3
DQA0_<20> 20 DQA1_<20> DQB0_<20> DQB1_<20>
3
21 DQA0_<21> L50 DQA0_21 DQA1_21 K44 DQA1_<21> 21
3 3
21 DQB0_<21> T35 DQB0_21 DQB1_21 K30 DQB1_<21> 21
3
DQA0_<21> 21 DQA1_<21> DQB0_<21> DQB1_<21>
3
22 DQA0_<22> L48 DQA0_22 DQA1_22 N40 DQA1_<22> 22
3 3
22 DQB0_<22> U36 DQB0_22 DQB1_22 J30 DQB1_<22> 22
3
DQA0_<22> 22 DQA1_<22> DQB0_<22> DQB1_<22>
3
23 DQA0_<23> T49 DQA0_23 DQA1_23 M43 DQA1_<23> 23
3 3
23 DQB0_<23> R33 DQB0_23 DQB1_23 G30 DQB1_<23> 23
3
DQA0_<23> 23 DQA1_<23> DQB0_<23> DQB1_<23>
3
24 DQA0_<24> H52 DQA0_24 DQA1_24 K36 DQA1_<24> 24
3 3
24 DQB0_<24> N29 DQB0_24 DQB1_24 K29 DQB1_<24> 24
3
DQA0_<24> 24 DQA1_<24> DQB0_<24> DQB1_<24>
3
25 DQA0_<25> J53 DQA0_25 DQA1_25 G37 DQA1_<25> 25
3 3
25 DQB0_<25> M30 DQB0_25 DQB1_25 K28 DQB1_<25> 25
3
DQA0_<25> 25 DQA1_<25> DQB0_<25> DQB1_<25>
3
26 DQA0_<26> J46 DQA0_26 DQA1_26 H34 DQA1_<26> 26
3 3
26 DQB0_<26> N27 DQB0_26 DQB1_26 H26 DQB1_<26> 26
3
DQA0_<26> 26 DQA1_<26> DQB0_<26> DQB1_<26>
3
27 DQA0_<27> E54 DQA0_27 DQA1_27 K35 DQA1_<27> 27
3 3
27 DQB0_<27> L29 DQB0_27 DQB1_27 G26 DQB1_<27> 27
3
DQA0_<27> 27 DQA1_<27> DQB0_<27> DQB1_<27>
3
28 DQA0_<28> G51 DQA0_28 DQA1_28 K37 DQA1_<28> 28
3 3
28 DQB0_<28> N28 DQB0_28 DQB1_28 G28 DQB1_<28> 28
3
DQA0_<28> 28 DQA1_<28> DQB0_<28> DQB1_<28>
3
29 DQA0_<29> H50 DQA0_29 DQA1_29 J35 DQA1_<29> 29
3 3
29 DQB0_<29> N30 DQB0_29 DQB1_29 K26 DQB1_<29> 29
3
DQA0_<29> 29 DQA1_<29> DQB0_<29> DQB1_<29>
3
30 DQA0_<30> H47 DQA0_30 DQA1_30 G35 DQA1_<30> 30
3 3
30 DQB0_<30> M27 DQB0_30 DQB1_30 G27 DQB1_<30> 30
3
DQA0_<30> 30 DQA1_<30> DQB0_<30> DQB1_<30>
3
31 DQA0_<31> K46 DQA0_31 DQA1_31 J37 DQA1_<31> 31
3 3
31 DQB0_<31> L28 DQB0_31 DQB1_31 H28 DQB1_<31> 31
3
DQA0_<31> 31 DQA1_<31> DQB0_<31> DQB1_<31>
MAA0_<0> M55 MAA0_0 MAA1_0 B45 MAA1_<0> MAB0_<0> D37 MAB0_0 MAB1_0 D32 MAB1_<0>
MAA0_<0> MAA1_<0> MAB0_<0> MAB1_<0>
1 MAA0_<1> N52 MAA0_1 MAA1_1 E44 MAA1_<1> 1 MAB0_<1> C37 MAB0_1 MAB1_1 B32 MAB1_<1> 1
MAA0_<1> MAA1_<1> MAB0_<1> MAB1_<1>
2 MAA0_<2> P53 MAA0_2 MAA1_2 D43 MAA1_<2> 2 MAB0_<2> B38 MAB0_2 MAB1_2 C31 MAB1_<2> 2
MAA0_<2> MAA1_<2> MAB0_<2> MAB1_<2>
3 MAA0_<3> N54 MAA0_3 MAA1_3 C44 MAA1_<3> 3 MAB0_<3> E37 MAB0_3 MAB1_3 E31 MAB1_<3> 3
MAA0_<3> MAA1_<3> MAB0_<3> MAB1_<3>
4 MAA0_<4> P55 MAA0_4 MAA1_4 B43 MAA1_<4> 4 MAB0_<4> D38 MAB0_4 MAB1_4 D31 MAB1_<4> 4
MAA0_<4> MAA1_<4> MAB0_<4> MAB1_<4>
5 MAA0_<5> P52 MAA0_5 MAA1_5 E43 MAA1_<5> 5 MAB0_<5> C38 MAB0_5 MAB1_5 B31 MAB1_<5> 5
MAA0_<5> MAA1_<5> MAB0_<5> MAB1_<5>
6 MAA0_<6> L52 MAA0_6 MAA1_6 E46 MAA1_<6> 6 MAB0_<6> D35 MAB0_6 MAB1_6 B33 MAB1_<6> 6
MAA0_<6> MAA1_<6> MAB0_<6> MAB1_<6>
7 MAA0_<7> L54 MAA0_7 MAA1_7 C46 MAA1_<7> 7 MAB0_<7> C36 MAB0_7 MAB1_7 E33 MAB1_<7> 7
MAA0_<7> MAA1_<7> MAB0_<7> MAB1_<7>
8 MAA0_<8> M53 MAA0_8 MAA1_8 D45 MAA1_<8> 8 MAB0_<8> B37 MAB0_8 MAB1_8 C33 MAB1_<8> 8
MAA0_<8> MAA1_<8> MAB0_<8> MAB1_<8>
T52 MAA0_9 MAA1_9 E41 C40 MAB0_9 MAB1_9 D30

3
WCKA0_0 Y50 WCKA0_0 WCKA1_0 G44 WCKA1_0
3 3
WCKB0_0 N36 WCKB0_0 WCKB1_0 C26 WCKB1_0
3
OUT OUT OUT OUT
3
WCKA0B_0 Y49 WCKA0B_0 WCKA1B_0 H44 WCKA1B_0
3 3
WCKB0B_0 P36 WCKB0B_0 WCKB1B_0 B25 WCKB1B_0
3
OUT OUT OUT OUT

3
WCKA0_1 K50 WCKA0_1 WCKA1_1 H38 WCKA1_1
3 3
WCKB0_1 P31 WCKB0_1 WCKB1_1 H29 WCKB1_1
3
OUT OUT OUT OUT
C 3
WCKA0B_1 K49 WCKA0B_1 WCKA1B_1 G38 WCKA1B_1
3 3
WCKB0B_1 N31 WCKB0B_1 WCKB1B_1 G29 WCKB1B_1
3 C
OUT OUT OUT OUT

3
EDCA0_0 V55 EDCA0_0 EDCA1_0 E49 EDCA1_0
3 3
EDCB0_0 T37 EDCB0_0 EDCB1_0 D27 EDCB1_0
3
IN IN IN IN
3
EDCA0_1 U48 EDCA0_1 EDCA1_1 H41 EDCA1_1
3 3
EDCB0_1 N34 EDCB0_1 EDCB1_1 D24 EDCB1_1
3
IN IN IN IN
3
EDCA0_2 P50 EDCA0_2 EDCA1_2 L41 EDCA1_2
3 3
EDCB0_2 U34 EDCB0_2 EDCB1_2 K33 EDCB1_2
3
IN IN IN IN
3
EDCA0_3 G56 EDCA0_3 EDCA1_3 H36 EDCA1_3
3 3
EDCB0_3 P29 EDCB0_3 EDCB1_3 J27 EDCB1_3
3
IN IN IN IN

3
DDBIA0_0 W52 DDBIA0_0 DDBIA1_0 A50 DDBIA1_0
3 3
DDBIB0_0 R37 DDBIB0_0 DDBIB1_0 C27 DDBIB1_0
3
BI BI BI BI
3
DDBIA0_1 U47 DDBIA0_1 DDBIA1_1 G41 DDBIA1_1
3 3
DDBIB0_1 P34 DDBIB0_1 DDBIB1_1 C23 DDBIB1_1
3
BI BI BI BI
3
DDBIA0_2 P48 DDBIA0_2 DDBIA1_2 N41 DDBIA1_2
3 3
DDBIB0_2 T34 DDBIB0_2 DDBIB1_2 G31 DDBIB1_2
3
BI BI BI BI
3
DDBIA0_3 H54 DDBIA0_3 DDBIA1_3 G36 DDBIA1_3
3 3
DDBIB0_3 P28 DDBIB0_3 DDBIB1_3 K27 DDBIB1_3
3
BI BI BI BI

3
ADBIA0 L53 ADBIA0 ADBIA1 D46 ADBIA1
3 3
ADBIB0 E35 ADBIB0 ADBIB1 C34 ADBIB1
3
OUT OUT OUT OUT

3
CSA0B_0 T54 CSA0B_0 CSA1B_0 C41 CSA1B_0
3 3
CSB0B_0 E40 CSB0B_0 CSB1B_0 C29 CSB1B_0
3
OUT OUT OUT OUT
U53 CSA0B_1 CSA1B_1 D40 B40 CSB0B_1 CSB1B_1 B28

3
CASA0B L55 CASA0B CASA1B B46 CASA1B
3 3
CASB0B B35 CASB0B CASB1B D33 CASB1B
3
OUT OUT OUT OUT
3
RASA0B K52 RASA0B RASA1B E47 RASA1B
3 3
RASB0B D34 RASB0B RASB1B B34 RASB1B
3
OUT OUT OUT OUT
3
WEA0B P54 WEA0B WEA1B C43 WEA1B
3 3
WEB0B E38 WEB0B WEB1B E30 WEB1B
3
OUT OUT +MVDD OUT OUT
+MVDD
3
CKEA0 K54 CKEA0 CKEA1 C47 CKEA1
3 3
CKEB0 C35 CKEB0 CKEB1 E34 CKEB1
3
OUT OUT OUT OUT
1

3
CLKA0 R55 CLKA0 CLKA1 D42 CLKA1
3 3
CLKB0 D39 CLKB0 CLKB1 C30 CLKB1
3
OUT OUT OUT OUT

1
3
CLKA0B R53 CLKA0B CLKA1B B42 CLKA1B
3 R3601
3
CLKB0B B39 CLKB0B CLKB1B B30 CLKB1B
3
OUT OUT 40.2R OUT OUT
R3611
40.2R
2

R3607 120R MEM_CALRPA V37 MEM_CALRA MVREFDA V35 MVREFD_A


3
DRAM_RSTA R3618 51R DRAM_RSTA_R R3605 1 210R DRAM_RSTA_RR W37 DRAM_RSTA MVREFDB W27 MVREFD_B
OUT

1 2
R3606 2 15.1K
1

+MVDD
R3608 120R MEM_CALRPB W30 MEM_CALRB
C3605 120pF
V30 DRAM_RSTB
MVREFD/S =0.7* +MVDD
C3602 R3602 C3612 R3612
1uF

B R3609 120R MEM_CALRPC W20 MEM_CALRC


100R
3
DRAM_RSTB R3617 51R DRAM_RSTB_R R3615 1 210R DRAM_RSTB_RR V20 DRAM_RSTC
1uF 100R
B
OUT
1

R3616 2 15.1K GND


2

1
R3610 120R MEM_CALRPD AB19 MEM_CALRD MVREFD/S =0.7* R3603 C3615 120pF Y18 DRAM_RSTD
40.2R
R3613

R3713 120R MEM_CALRPE AG18 MEM_CALRE 5


DRAM_RSTC R3627 51R DRAM_RSTC_R R3625 1 2 10R DRAM_RSTC_RR AR18 DRAM_RSTE
40.2R

OUT
2

MVREFA V33 MVREFS_A R3626 2 15.1K MVREFB V24 MVREFS_B

1 2
R3714 120R MEM_CALRPF AU18 MEM_CALRF
C3625 120pF
AW20 DRAM_RSTF
1

R3715 120R MEM_CALRPG AV21 MEM_CALRG 5


C3604 R3604
DRAM_RSTD R3628 51R DRAM_RSTD_R R3629 1 210R DRAM_RSTD_RR AW24 DRAM_RSTG C3614 R3614
OUT
1uF 100R
R3630 2 15.1K 1uF 100R

R3716 120R MEM_CALRPH AV30 MEM_CALRH


C3626 120pF
AW27 DRAM_RSTH
GND
2

2
HAWAII REV 0.53 HAWAII REV 0.53

HAWAII - REV 0.50 7


DRAM_RSTE R3703 51R DRAM_RSTE_R 1
R3702 210R DRAM_RSTE_RR
HAWAII - REV 0.50
OUT C3627 120pF R3701 2 15.1K

7
DRAM_RSTF R3706 51R DRAM_RSTF_R 1
R3705 210R DRAM_RSTF_RR
OUT
OPTIONAL STITCHING CAPS
C3628 120pF R3704 2 15.1K
+MVDD

9
DRAM_RSTG R3709 51R DRAM_RSTG_R 1
R3708 210R DRAM_RSTG_RR
OUT C3629 120pF R3707 2 15.1K

C2029
C801 C802 C803 C804 C805 C806 C807 C808 C809 C810 C811 C812 C813 C814 C815 1uF
9
DRAM_RSTH R3712 51R DRAM_RSTH_R 1
R3711 210R DRAM_RSTH_RR

1uF 1uF 1uF 1uF 1uF 1uF 1uF 1uF 1uF 1uF 1uF 1uF 1uF 1uF 1uF OUT C3630 120pF R3710 2 15.1K

C816 C817 C818 C819 C820 C821 C822 C823 C824 C825 C826 C827 C828 C829 C830
1uF 1uF 1uF 1uF 1uF 1uF 1uF 1uF 1uF 1uF 1uF 1uF 1uF 1uF 1uF

A A
C831 C832 C833 C834 C835 C836 C837 C838 C839 C840 C841 C842 C843 C844 C845
1uF 1uF 1uF 1uF 1uF 1uF 1uF 1uF 1uF 1uF 1uF 1uF 1uF 1uF 1uF

C846 C847 C848 C849 C850 C851 C852 C853 C854 C855 C856 C857 C858 C859 C860
1uF 1uF 1uF 1uF 1uF 1uF 1uF 1uF 1uF 1uF 1uF 1uF 1uF 1uF 1uF

Micro-Star International Co., LTD.


<Title>
MS-V308
Size Document Number Rev
Custom <Doc> 1.0

Date: Tuesday, October 29, 2013 Sheet 2 of 26

8 7 6 5 4 3 2 1
8 7 6 5 4 3 2 1

(3) GDDR5 Memory Channel A&B

+MVDD +MVDD +MVDD +MVDD


U2000 U2100 U2200 U2300

2 DQA0_<0> DQA0_<27> M2 DQ31__DQ7 VDDQ_B1 B1 2 DQA1_<0> DQA1_<17> M2 DQ31__DQ7 VDDQ_B1 B1 2 DQB0_<0> DQB0_<9> M2 DQ31__DQ7 VDDQ_B1 B1 2 DQB1_<0> DQB1_<17> M2 DQ31__DQ7 VDDQ_B1 B1
DQA0_<0> 27 DQA1_<0> 17 DQB0_<0> 9 DQB1_<0> 17

2 DQA0_<1> DQA0_<28> M4 DQ30__DQ6 VDDQ_B3 B3 2 DQA1_<1> DQA1_<16> M4 DQ30__DQ6 VDDQ_B3 B3 2 DQB0_<1> DQB0_<13> M4 DQ30__DQ6 VDDQ_B3 B3 2 DQB1_<1> DQB1_<23> M4 DQ30__DQ6 VDDQ_B3 B3
DQA0_<1> 28 DQA1_<1> 16 DQB0_<1> 13 DQB1_<1> 23

2 DQA0_<2> DQA0_<24> N2 DQ29__DQ5 VDDQ_B12 B12 2 DQA1_<2> DQA1_<22> N2 DQ29__DQ5 VDDQ_B12 B12 2 DQB0_<2> DQB0_<15> N2 DQ29__DQ5 VDDQ_B12 B12 2 DQB1_<2> DQB1_<22> N2 DQ29__DQ5 VDDQ_B12 B12
DQA0_<2> 24 DQA1_<2> 22 DQB0_<2> 15 DQB1_<2> 22

2 DQA0_<3> DQA0_<29> N4 DQ28__DQ4 VDDQ_B14 B14 2 DQA1_<3> DQA1_<19> N4 DQ28__DQ4 VDDQ_B14 B14 2 DQB0_<3> DQB0_<12> N4 DQ28__DQ4 VDDQ_B14 B14 2 DQB1_<3> DQB1_<21> N4 DQ28__DQ4 VDDQ_B14 B14
DQA0_<3> 29 DQA1_<3> 19 DQB0_<3> 12 DQB1_<3> 21

2 DQA0_<4> DQA0_<26> T2 DQ27__DQ3 VDDQ_D1 D1 2 DQA1_<4> DQA1_<18> T2 DQ27__DQ3 VDDQ_D1 D1 2 DQB0_<4> DQB0_<11> T2 DQ27__DQ3 VDDQ_D1 D1 2 DQB1_<4> DQB1_<18> T2 DQ27__DQ3 VDDQ_D1 D1
DQA0_<4> 26 DQA1_<4> 18 DQB0_<4> 11 DQB1_<4> 18

2 DQA0_<5> DQA0_<30> T4 DQ26__DQ2 VDDQ_D3 D3 2 DQA1_<5> DQA1_<23> T4 DQ26__DQ2 VDDQ_D3 D3 2 DQB0_<5> DQB0_<10> T4 DQ26__DQ2 VDDQ_D3 D3 2 DQB1_<5> DQB1_<19> T4 DQ26__DQ2 VDDQ_D3 D3
DQA0_<5> 30 DQA1_<5> 23 DQB0_<5> 10 DQB1_<5> 19

2 DQA0_<6> DQA0_<25> V2 DQ25__DQ1 VDDQ_D12 D12 2 DQA1_<6> DQA1_<20> V2 DQ25__DQ1 VDDQ_D12 D12 2 DQB0_<6> DQB0_<14> V2 DQ25__DQ1 VDDQ_D12 D12 2 DQB1_<6> DQB1_<20> V2 DQ25__DQ1 VDDQ_D12 D12
DQA0_<6> 25 DQA1_<6> 20 DQB0_<6> 14 DQB1_<6> 20

2 DQA0_<7> DQA0_<31> V4 DQ24__DQ0 VDDQ_D14 D14 2 DQA1_<7> DQA1_<21> V4 DQ24__DQ0 VDDQ_D14 D14 2 DQB0_<7> DQB0_<8> V4 DQ24__DQ0 VDDQ_D14 D14 2 DQB1_<7> DQB1_<16> V4 DQ24__DQ0 VDDQ_D14 D14
DQA0_<7> 31 DQA1_<7> 21 DQB0_<7> 8 DQB1_<7> 16

2 DQA0_<8> DQA0_<21> M13 DQ23__DQ15 VDDQ_E5 E5 2 DQA1_<8> DQA1_<29> M13 DQ23__DQ15 VDDQ_E5 E5 2 DQB0_<8> DQB0_<7> M13 DQ23__DQ15 VDDQ_E5 E5 2 DQB1_<8> DQB1_<30> M13 DQ23__DQ15 VDDQ_E5 E5
DQA0_<8> 21 DQA1_<8> 29 DQB0_<8> 7 DQB1_<8> 30

2 DQA0_<9> DQA0_<22> M11 DQ22__DQ14 VDDQ_E10 E10 2 DQA1_<9> DQA1_<24> M11 DQ22__DQ14 VDDQ_E10 E10 2 DQB0_<9> DQB0_<6> M11 DQ22__DQ14 VDDQ_E10 E10 2 DQB1_<9> DQB1_<28> M11 DQ22__DQ14 VDDQ_E10 E10
DQA0_<9> 22 DQA1_<9> 24 DQB0_<9> 6 DQB1_<9> 28

2 DQA0_<10> DQA0_<20> N13 DQ21__DQ13 VDDQ_F1 F1 2 DQA1_<10> DQA1_<30> N13 DQ21__DQ13 VDDQ_F1 F1 2 DQB0_<10> DQB0_<5> N13 DQ21__DQ13 VDDQ_F1 F1 2 DQB1_<10> DQB1_<29> N13 DQ21__DQ13 VDDQ_F1 F1
DQA0_<10> 20 DQA1_<10> 30 DQB0_<10> 5 DQB1_<10> 29

D 2 DQA0_<11> DQA0_<18> N11 DQ20__DQ12 VDDQ_F3 F3 2 DQA1_<11> DQA1_<28> N11 DQ20__DQ12 VDDQ_F3 F3 2 DQB0_<11> DQB0_<3> N11 DQ20__DQ12 VDDQ_F3 F3 2 DQB1_<11> DQB1_<31> N11 DQ20__DQ12 VDDQ_F3 F3 D
DQA0_<11> 18 DQA1_<11> 28 DQB0_<11> 3 DQB1_<11> 31

2 DQA0_<12> DQA0_<23> T13 DQ19__DQ11 VDDQ_F12 F12 2 DQA1_<12> DQA1_<27> T13 DQ19__DQ11 VDDQ_F12 F12 2 DQB0_<12> DQB0_<2> T13 DQ19__DQ11 VDDQ_F12 F12 2 DQB1_<12> DQB1_<26> T13 DQ19__DQ11 VDDQ_F12 F12
DQA0_<12> 23 DQA1_<12> 27 DQB0_<12> 2 DQB1_<12> 26

2 DQA0_<13> DQA0_<16> T11 DQ18__DQ10 VDDQ_F14 F14 2 DQA1_<13> DQA1_<25> T11 DQ18__DQ10 VDDQ_F14 F14 2 DQB0_<13> DQB0_<1> T11 DQ18__DQ10 VDDQ_F14 F14 2 DQB1_<13> DQB1_<25> T11 DQ18__DQ10 VDDQ_F14 F14
DQA0_<13> 16 DQA1_<13> 25 DQB0_<13> 1 DQB1_<13> 25

2 DQA0_<14> DQA0_<17> V13 DQ17__DQ9 VDDQ_G2 G2 2 DQA1_<14> DQA1_<26> V13 DQ17__DQ9 VDDQ_G2 G2 2 DQB0_<14> DQB0_<0> V13 DQ17__DQ9 VDDQ_G2 G2 2 DQB1_<14> DQB1_<27> V13 DQ17__DQ9 VDDQ_G2 G2
DQA0_<14> 17 DQA1_<14> 26 DQB0_<14> 0 DQB1_<14> 27

2 DQA0_<15> DQA0_<19> V11 DQ16__DQ8 VDDQ_G13 G13 2 DQA1_<15> DQA1_<31> V11 DQ16__DQ8 VDDQ_G13 G13 2 DQB0_<15> DQB0_<4> V11 DQ16__DQ8 VDDQ_G13 G13 2 DQB1_<15> DQB1_<24> V11 DQ16__DQ8 VDDQ_G13 G13
DQA0_<15> 19 DQA1_<15> 31 DQB0_<15> 4 DQB1_<15> 24

2 DQA0_<16> DQA0_<5> F13 DQ15__DQ23 VDDQ_H3 H3 2 DQA1_<16> DQA1_<14> F13 DQ15__DQ23 VDDQ_H3 H3 2 DQB0_<16> DQB0_<19> F13 DQ15__DQ23 VDDQ_H3 H3 2 DQB1_<16> DQB1_<15> F13 DQ15__DQ23 VDDQ_H3 H3
DQA0_<16> 5 DQA1_<16> 14 DQB0_<16> 19 DQB1_<16> 15

2 DQA0_<17> DQA0_<1> F11 DQ14__DQ22 VDDQ_H12 H12 2 DQA1_<17> DQA1_<8> F11 DQ14__DQ22 VDDQ_H12 H12 2 DQB0_<17> DQB0_<16> F11 DQ14__DQ22 VDDQ_H12 H12 2 DQB1_<17> DQB1_<14> F11 DQ14__DQ22 VDDQ_H12 H12
DQA0_<17> 1 DQA1_<17> 8 DQB0_<17> 16 DQB1_<17> 14

2 DQA0_<18> DQA0_<3> E13 DQ13__DQ21 VDDQ_K3 K3 2 DQA1_<18> DQA1_<15> E13 DQ13__DQ21 VDDQ_K3 K3 2 DQB0_<18> DQB0_<21> E13 DQ13__DQ21 VDDQ_K3 K3 2 DQB1_<18> DQB1_<13> E13 DQ13__DQ21 VDDQ_K3 K3
DQA0_<18> 3 DQA1_<18> 15 DQB0_<18> 21 DQB1_<18> 13

2 DQA0_<19> DQA0_<6> E11 DQ12__DQ20 VDDQ_K12 K12 2 DQA1_<19> DQA1_<11> E11 DQ12__DQ20 VDDQ_K12 K12 2 DQB0_<19> DQB0_<23> E11 DQ12__DQ20 VDDQ_K12 K12 2 DQB1_<19> DQB1_<12> E11 DQ12__DQ20 VDDQ_K12 K12
DQA0_<19> 6 DQA1_<19> 11 DQB0_<19> 23 DQB1_<19> 12

2 DQA0_<20> DQA0_<7> B13 DQ11__DQ19 VDDQ_L2 L2 2 DQA1_<20> DQA1_<13> B13 DQ11__DQ19 VDDQ_L2 L2 2 DQB0_<20> DQB0_<20> B13 DQ11__DQ19 VDDQ_L2 L2 2 DQB1_<20> DQB1_<8> B13 DQ11__DQ19 VDDQ_L2 L2
DQA0_<20> 7 DQA1_<20> 13 DQB0_<20> 20 DQB1_<20> 8

2 DQA0_<21> DQA0_<0> B11 DQ10__DQ18 VDDQ_L13 L13 2 DQA1_<21> DQA1_<10> B11 DQ10__DQ18 VDDQ_L13 L13 2 DQB0_<21> DQB0_<18> B11 DQ10__DQ18 VDDQ_L13 L13 2 DQB1_<21> DQB1_<11> B11 DQ10__DQ18 VDDQ_L13 L13
DQA0_<21> 0 DQA1_<21> 10 DQB0_<21> 18 DQB1_<21> 11

2 DQA0_<22> DQA0_<2> A13 DQ9__DQ17 VDDQ_M1 M1 2 DQA1_<22> DQA1_<12> A13 DQ9__DQ17 VDDQ_M1 M1 2 DQB0_<22> DQB0_<22> A13 DQ9__DQ17 VDDQ_M1 M1 2 DQB1_<22> DQB1_<9> A13 DQ9__DQ17 VDDQ_M1 M1
DQA0_<22> 2 DQA1_<22> 12 DQB0_<22> 22 DQB1_<22> 9

2 DQA0_<23> DQA0_<4> A11 DQ8__DQ16 VDDQ_M3 M3 2 DQA1_<23> DQA1_<9> A11 DQ8__DQ16 VDDQ_M3 M3 2 DQB0_<23> DQB0_<17> A11 DQ8__DQ16 VDDQ_M3 M3 2 DQB1_<23> DQB1_<10> A11 DQ8__DQ16 VDDQ_M3 M3
DQA0_<23> 4 DQA1_<23> 9 DQB0_<23> 17 DQB1_<23> 10

2 DQA0_<24> DQA0_<15> F2 DQ7__DQ31 VDDQ_M12 M12 2 DQA1_<24> DQA1_<2> F2 DQ7__DQ31 VDDQ_M12 M12 2 DQB0_<24> DQB0_<24> F2 DQ7__DQ31 VDDQ_M12 M12 2 DQB1_<24> DQB1_<2> F2 DQ7__DQ31 VDDQ_M12 M12
DQA0_<24> 15 DQA1_<24> 2 DQB0_<24> 24 DQB1_<24> 2

2 DQA0_<25> DQA0_<10> F4 DQ6__DQ30 VDDQ_M14 M14 2 DQA1_<25> DQA1_<5> F4 DQ6__DQ30 VDDQ_M14 M14 2 DQB0_<25> DQB0_<29> F4 DQ6__DQ30 VDDQ_M14 M14 2 DQB1_<25> DQB1_<6> F4 DQ6__DQ30 VDDQ_M14 M14
DQA0_<25> 10 DQA1_<25> 5 DQB0_<25> 29 DQB1_<25> 6

2 DQA0_<26> DQA0_<8> E2 DQ5__DQ29 VDDQ_N5 N5 2 DQA1_<26> DQA1_<7> E2 DQ5__DQ29 VDDQ_N5 N5 2 DQB0_<26> DQB0_<27> E2 DQ5__DQ29 VDDQ_N5 N5 2 DQB1_<26> DQB1_<1> E2 DQ5__DQ29 VDDQ_N5 N5
DQA0_<26> 8 DQA1_<26> 7 DQB0_<26> 27 DQB1_<26> 1

2 DQA0_<27> DQA0_<11> E4 DQ4__DQ28 VDDQ_N10 N10 2 DQA1_<27> DQA1_<6> E4 DQ4__DQ28 VDDQ_N10 N10 2 DQB0_<27> DQB0_<25> E4 DQ4__DQ28 VDDQ_N10 N10 2 DQB1_<27> DQB1_<0> E4 DQ4__DQ28 VDDQ_N10 N10
DQA0_<27> 11 DQA1_<27> 6 DQB0_<27> 25 DQB1_<27> 0

2 DQA0_<28> DQA0_<13> B2 DQ3__DQ27 VDDQ_P1 P1 2 DQA1_<28> DQA1_<0> B2 DQ3__DQ27 VDDQ_P1 P1 2 DQB0_<28> DQB0_<31> B2 DQ3__DQ27 VDDQ_P1 P1 2 DQB1_<28> DQB1_<4> B2 DQ3__DQ27 VDDQ_P1 P1
DQA0_<28> 13 DQA1_<28> 0 DQB0_<28> 31 DQB1_<28> 4

2 DQA0_<29> DQA0_<12> B4 DQ2__DQ26 VDDQ_P3 P3 2 DQA1_<29> DQA1_<1> B4 DQ2__DQ26 VDDQ_P3 P3 2 DQB0_<29> DQB0_<28> B4 DQ2__DQ26 VDDQ_P3 P3 2 DQB1_<29> DQB1_<7> B4 DQ2__DQ26 VDDQ_P3 P3
DQA0_<29> 12 DQA1_<29> 1 DQB0_<29> 28 DQB1_<29> 7

2 DQA0_<30> DQA0_<9> A2 DQ1__DQ25 VDDQ_P12 P12 2 DQA1_<30> DQA1_<3> A2 DQ1__DQ25 VDDQ_P12 P12 2 DQB0_<30> DQB0_<30> A2 DQ1__DQ25 VDDQ_P12 P12 2 DQB1_<30> DQB1_<3> A2 DQ1__DQ25 VDDQ_P12 P12
DQA0_<30> 9 DQA1_<30> 3 DQB0_<30> 30 DQB1_<30> 3

2 DQA0_<31> DQA0_<14> A4 DQ0__DQ24 VDDQ_P14 P14 2 DQA1_<31> DQA1_<4> A4 DQ0__DQ24 VDDQ_P14 P14 2 DQB0_<31> DQB0_<26> A4 DQ0__DQ24 VDDQ_P14 P14 2 DQB1_<31> DQB1_<5> A4 DQ0__DQ24 VDDQ_P14 P14
DQA0_<31> 14 DQA1_<31> 4 DQB0_<31> 26 DQB1_<31> 5

VDDQ_T1 T1 VDDQ_T1 T1 VDDQ_T1 T1 VDDQ_T1 T1


VDDQ_T3 T3 VDDQ_T3 T3 VDDQ_T3 T3 VDDQ_T3 T3
VDDQ_T12 T12 VDDQ_T12 T12 VDDQ_T12 T12 VDDQ_T12 T12
VDDQ_T14 T14 VDDQ_T14 T14 VDDQ_T14 T14 VDDQ_T14 T14
+MVDD +MVDD +MVDD +MVDD
MAA0_<0> MAA0_<8> J5 RFU_A12_NC MAA1_<0> MAA1_<8> J5 RFU_A12_NC MAB0_<0> MAB0_<8> J5 RFU_A12_NC MAB1_<0> MAB1_<8> J5 RFU_A12_NC
MAA0_<0> 8 MAA1_<0> 8 MAB0_<0> 8 MAB1_<0> 8
MAA0_<1> MAA0_<7> K4 A7_A8__A0_A10 VDD_C5 C5 MAA1_<1> MAA1_<0> K4 A7_A8__A0_A10 VDD_C5 C5 MAB0_<1> MAB0_<7> K4 A7_A8__A0_A10 VDD_C5 C5 MAB1_<1> MAB1_<0> K4 A7_A8__A0_A10 VDD_C5 C5
MAA0_<1> 7 MAA1_<1> 0 MAB0_<1> 7 MAB1_<1> 0
MAA0_<2> MAA0_<6> K5 A6_A11__A1_A9 VDD_C10 C10 MAA1_<2> MAA1_<1> K5 A6_A11__A1_A9 VDD_C10 C10 MAB0_<2> MAB0_<6> K5 A6_A11__A1_A9 VDD_C10 C10 MAB1_<2> MAB1_<1> K5 A6_A11__A1_A9 VDD_C10 C10
MAA0_<2> 6 MAA1_<2> 1 MAB0_<2> 6 MAB1_<2> 1
MAA0_<3> MAA0_<5> K10 A5_BA1__A3_BA3 VDD_D11 D11 MAA1_<3> MAA1_<3> K10 A5_BA1__A3_BA3 VDD_D11 D11 MAB0_<3> MAB0_<5> K10 A5_BA1__A3_BA3 VDD_D11 D11 MAB1_<3> MAB1_<3> K10 A5_BA1__A3_BA3 VDD_D11 D11
MAA0_<3> 5 MAA1_<3> 3 MAB0_<3> 5 MAB1_<3> 3
MAA0_<4> MAA0_<4> K11 A4_BA2__A2_BA0 VDD_G1 G1 MAA1_<4> MAA1_<2> K11 A4_BA2__A2_BA0 VDD_G1 G1 MAB0_<4> MAB0_<4> K11 A4_BA2__A2_BA0 VDD_G1 G1 MAB1_<4> MAB1_<2> K11 A4_BA2__A2_BA0 VDD_G1 G1
MAA0_<4> 4 MAA1_<4> 2 MAB0_<4> 4 MAB1_<4> 2
MAA0_<5> MAA0_<3> H10 A3_BA3__A5_BA1 VDD_G4 G4 MAA1_<5> MAA1_<5> H10 A3_BA3__A5_BA1 VDD_G4 G4 MAB0_<5> MAB0_<3> H10 A3_BA3__A5_BA1 VDD_G4 G4 MAB1_<5> MAB1_<5> H10 A3_BA3__A5_BA1 VDD_G4 G4
MAA0_<5> 3 MAA1_<5> 5 MAB0_<5> 3 MAB1_<5> 5
MAA0_<6> MAA0_<2> H11 A2_BA0__A4_BA2 VDD_G11 G11 MAA1_<6> MAA1_<4> H11 A2_BA0__A4_BA2 VDD_G11 G11 MAB0_<6> MAB0_<2> H11 A2_BA0__A4_BA2 VDD_G11 G11 MAB1_<6> MAB1_<4> H11 A2_BA0__A4_BA2 VDD_G11 G11
MAA0_<6> 2 MAA1_<6> 4 MAB0_<6> 2 MAB1_<6> 4
MAA0_<7> MAA0_<1> H5 A1_A9__A6_A11 VDD_G14 G14 MAA1_<7> MAA1_<6> H5 A1_A9__A6_A11 VDD_G14 G14 MAB0_<7> MAB0_<1> H5 A1_A9__A6_A11 VDD_G14 G14 MAB1_<7> MAB1_<6> H5 A1_A9__A6_A11 VDD_G14 G14
MAA0_<7> 1 MAA1_<7> 6 MAB0_<7> 1 MAB1_<7> 6
MAA0_<8> MAA0_<0> H4 A0_A10__A7_A8 VDD_L1 L1 MAA1_<8> MAA1_<7> H4 A0_A10__A7_A8 VDD_L1 L1 MAB0_<8> MAB0_<0> H4 A0_A10__A7_A8 VDD_L1 L1 MAB1_<8> MAB1_<7> H4 A0_A10__A7_A8 VDD_L1 L1
MAA0_<8> 0 MAA1_<8> 7 MAB0_<8> 0 MAB1_<8> 7

VDD_L4 L4 VDD_L4 L4 VDD_L4 L4 VDD_L4 L4


C VDD_L11 L11 VDD_L11 L11 VDD_L11 L11 VDD_L11 L11 C
VDD_L14 L14 VDD_L14 L14 VDD_L14 L14 VDD_L14 L14
2
WCKA0_0 D4 WCK01__WCK23 VDD_P11 P11 2
WCKA1_0 D4 WCK01__WCK23 VDD_P11 P11 2
WCKB0_1 D4 WCK01__WCK23 VDD_P11 P11 2
WCKB1_0 D4 WCK01__WCK23 VDD_P11 P11
IN IN IN IN
2
WCKA0B_0 D5 WCK01#__WCK23# VDD_R5 R5 2
WCKA1B_0 D5 WCK01#__WCK23# VDD_R5 R5 2
WCKB0B_1 D5 WCK01#__WCK23# VDD_R5 R5 2
WCKB1B_0 D5 WCK01#__WCK23# VDD_R5 R5
IN IN IN IN
VDD_R10 R10 VDD_R10 R10 VDD_R10 R10 VDD_R10 R10
2
WCKA0_1 P4 WCK23__WCK01 2
WCKA1_1 P4 WCK23__WCK01 2
WCKB0_0 P4 WCK23__WCK01 2
WCKB1_1 P4 WCK23__WCK01
IN IN IN IN
2
WCKA0B_1 P5 WCK23#__WCK01# 2
WCKA1B_1 P5 WCK23#__WCK01# 2
WCKB0B_0 P5 WCK23#__WCK01# 2
WCKB1B_1 P5 WCK23#__WCK01#
IN IN IN IN
VSSQ_A1 A1 VSSQ_A1 A1 VSSQ_A1 A1 VSSQ_A1 A1
2
EDCA0_3 R2 EDC3__EDC0 VSSQ_A3 A3 2
EDCA1_2 R2 EDC3__EDC0 VSSQ_A3 A3 2
EDCB0_1 R2 EDC3__EDC0 VSSQ_A3 A3 2
EDCB1_2 R2 EDC3__EDC0 VSSQ_A3 A3
OUT OUT OUT OUT
2
EDCA0_2 R13 EDC2__EDC1 VSSQ_A12 A12 2
EDCA1_3 R13 EDC2__EDC1 VSSQ_A12 A12 2
EDCB0_0 R13 EDC2__EDC1 VSSQ_A12 A12 2
EDCB1_3 R13 EDC2__EDC1 VSSQ_A12 A12
OUT OUT OUT OUT
2
EDCA0_0 C13 EDC1__EDC2 VSSQ_A14 A14 2
EDCA1_1 C13 EDC1__EDC2 VSSQ_A14 A14 2
EDCB0_2 C13 EDC1__EDC2 VSSQ_A14 A14 2
EDCB1_1 C13 EDC1__EDC2 VSSQ_A14 A14
OUT OUT OUT OUT
2
EDCA0_1 C2 EDC0__EDC3 VSSQ_C1 C1 2
EDCA1_0 C2 EDC0__EDC3 VSSQ_C1 C1 2
EDCB0_3 C2 EDC0__EDC3 VSSQ_C1 C1 2
EDCB1_0 C2 EDC0__EDC3 VSSQ_C1 C1
OUT OUT OUT OUT
VSSQ_C3 C3 VSSQ_C3 C3 VSSQ_C3 C3 VSSQ_C3 C3
2
DDBIA0_3 P2 DBI3#__DBI0# VSSQ_C4 C4 2
DDBIA1_2 P2 DBI3#__DBI0# VSSQ_C4 C4 2
DDBIB0_1 P2 DBI3#__DBI0# VSSQ_C4 C4 2
DDBIB1_2 P2 DBI3#__DBI0# VSSQ_C4 C4
BI BI BI BI
2
DDBIA0_2 P13 DBI2#__DBI1# VSSQ_C11 C11 2
DDBIA1_3 P13 DBI2#__DBI1# VSSQ_C11 C11 2
DDBIB0_0 P13 DBI2#__DBI1# VSSQ_C11 C11 2
DDBIB1_3 P13 DBI2#__DBI1# VSSQ_C11 C11
BI BI BI BI
2
DDBIA0_0 D13 DBI1#__DBI2# VSSQ_C12 C12 2
DDBIA1_1 D13 DBI1#__DBI2# VSSQ_C12 C12 2
DDBIB0_2 D13 DBI1#__DBI2# VSSQ_C12 C12 2
DDBIB1_1 D13 DBI1#__DBI2# VSSQ_C12 C12
BI BI BI BI
2
DDBIA0_1 D2 DBI0#__DBI3# VSSQ_C14 C14 2
DDBIA1_0 D2 DBI0#__DBI3# VSSQ_C14 C14 2
DDBIB0_3 D2 DBI0#__DBI3# VSSQ_C14 C14 2
DDBIB1_0 D2 DBI0#__DBI3# VSSQ_C14 C14
BI BI BI BI
VSSQ_E1 E1 VSSQ_E1 E1 VSSQ_E1 E1 VSSQ_E1 E1
VSSQ_E3 E3 VSSQ_E3 E3 VSSQ_E3 E3 VSSQ_E3 E3
VSSQ_E12 E12 VSSQ_E12 E12 VSSQ_E12 E12 VSSQ_E12 E12
+MVDD
2
RASA0B G3 RAS#__CAS# VSSQ_E14 E14 2
CASA1B G3 RAS#__CAS# VSSQ_E14 E14 2
RASB0B G3 RAS#__CAS# VSSQ_E14 E14 2
CASB1B G3 RAS#__CAS# VSSQ_E14 E14
+MVDD IN IN +MVDD IN +MVDD IN
2
CASA0B L3 CAS#__RAS# VSSQ_F5 F5 2
RASA1B L3 CAS#__RAS# VSSQ_F5 F5 2
CASB0B L3 CAS#__RAS# VSSQ_F5 F5 2
RASB1B L3 CAS#__RAS# VSSQ_F5 F5
IN IN IN IN
1
R2001 2 60.4R
CLKA0B
VSSQ_F10 F10 1
R2101 260.4R CLKA1B
VSSQ_F10 F10 1
R2201 260.4R CLKB0B
VSSQ_F10 F10 1
R2301 260.4R CLKB1B
VSSQ_F10 F10
1
R2000 2 60.4R
CLKA0
VSSQ_H2 H2 1
R2100 260.4R CLKA1
VSSQ_H2 H2 1
R2200 260.4R CLKB0
VSSQ_H2 H2 1
R2300 260.4R CLKB1
VSSQ_H2 H2
2
CKEA0 J3 CKE# VSSQ_H13 H13 2
CKEA1 J3 CKE# VSSQ_H13 H13 2
CKEB0 J3 CKE# VSSQ_H13 H13 2
CKEB1 J3 CKE# VSSQ_H13 H13
IN IN IN IN
2 J11 CK# VSSQ_K2 K2 2 J11 CK# VSSQ_K2 K2 2 J11 CK# VSSQ_K2 K2 2 J11 CK# VSSQ_K2 K2
IN IN IN IN
2 J12 CK VSSQ_K13 K13 2 J12 CK VSSQ_K13 K13 2 J12 CK VSSQ_K13 K13 2 J12 CK VSSQ_K13 K13
IN IN IN IN
VSSQ_M5 M5 VSSQ_M5 M5 VSSQ_M5 M5 VSSQ_M5 M5
VSSQ_M10 M10 VSSQ_M10 M10 VSSQ_M10 M10 VSSQ_M10 M10
2
CSA0B_0 G12 CS#__WE# VSSQ_N1 N1 2
WEA1B G12 CS#__WE# VSSQ_N1 N1 2
CSB0B_0 G12 CS#__WE# VSSQ_N1 N1 2
WEB1B G12 CS#__WE# VSSQ_N1 N1
IN IN IN IN
2
WEA0B L12 WE#__CS# VSSQ_N3 N3 2
CSA1B_0 L12 WE#__CS# VSSQ_N3 N3 2
WEB0B L12 WE#__CS# VSSQ_N3 N3 2
CSB1B_0 L12 WE#__CS# VSSQ_N3 N3
IN IN IN IN
VSSQ_N12 N12 VSSQ_N12 N12 VSSQ_N12 N12 VSSQ_N12 N12
VSSQ_N14 N14 VSSQ_N14 N14 VSSQ_N14 N14 VSSQ_N14 N14
1
R2002 2120R J13 UNNAMED_31_GDDR5_I19_ZQ
ZQ VSSQ_R1 R1 R2102 1 2120R J13 UNNAMED_31_GDDR5_I38_ZQ
ZQ VSSQ_R1 R1 1
R2202 2120R J13 UNNAMED_31_GDDR5_I186_ZQ
ZQ VSSQ_R1 R1 R23021 2120R J13 UNNAMED_31_GDDR5_I329_ZQ
ZQ VSSQ_R1 R1
J10 SEN VSSQ_R3 R3 J10 SEN VSSQ_R3 R3 J10 SEN VSSQ_R3 R3 J10 SEN VSSQ_R3 R3
VSSQ_R4 R4 VSSQ_R4 R4 VSSQ_R4 R4 VSSQ_R4 R4
B VSSQ_R11 R11 VSSQ_R11 R11 VSSQ_R11 R11 VSSQ_R11 R11 B
2,3
DRAM_RSTA J2 RESET# VSSQ_R12 R12 2,3
DRAM_RSTA J2 RESET# VSSQ_R12 R12 2,3
DRAM_RSTB J2 RESET# VSSQ_R12 R12 2,3
DRAM_RSTB J2 RESET# VSSQ_R12 R12
IN IN IN IN
J1 MF VSSQ_R14 R14 +MVDD
J1 MF VSSQ_R14 R14 J1 MF VSSQ_R14 R14 +MVDD J1 MF VSSQ_R14 R14
VSSQ_V1 V1 VSSQ_V1 V1 VSSQ_V1 V1 VSSQ_V1 V1
VSSQ_V3 V3 VSSQ_V3 V3 VSSQ_V3 V3 VSSQ_V3 V3
VSSQ_V12 V12 VSSQ_V12 V12 VSSQ_V12 V12 VSSQ_V12 V12
+MVDD
R2005 1 22.37K VSSQ_V14 V14 +MVDD R2105 1 22.37K VSSQ_V14 V14 +MVDD
R22051 22.37K VSSQ_V14 V14 +MVDD R23051 22.37K VSSQ_V14 V14
R2006 1 25.49K A5 Vpp_NC R2106 1 25.49K A5 Vpp_NC R22061 25.49K A5 Vpp_NC R23061 25.49K A5 Vpp_NC
C2001 1uF V5 Vpp_NC1 C2101 1uF V5 Vpp_NC1 C2201 1uF V5 Vpp_NC1 C2301 1uF V5 Vpp_NC1
VSS_B5 B5 VSS_B5 B5 VSS_B5 B5 VSS_B5 B5
A10 UNNAMED_31_GDDR5_I19_VREFD1
VREFD1 VSS_B10 B10 A10 UNNAMED_31_GDDR5_I38_VREFD1
VREFD1 VSS_B10 B10 A10 UNNAMED_31_GDDR5_I186_VREFD1
VREFD1 VSS_B10 B10 A10 UNNAMED_31_GDDR5_I329_VREFD1
VREFD1 VSS_B10 B10
+MVDD R2007 1 22.37K V10 UNNAMED_31_GDDR5_I19_VREFD2
VREFD2 VSS_D10 D10 +MVDD
R2107 1 22.37K V10 UNNAMED_31_GDDR5_I38_VREFD2
VREFD2 VSS_D10 D10 +MVDD
R22071 22.37K V10 UNNAMED_31_GDDR5_I186_VREFD2
VREFD2 VSS_D10 D10 +MVDD R23071 22.37K V10 UNNAMED_31_GDDR5_I329_VREFD2
VREFD2 VSS_D10 D10
R2008 1 25.49K VSS_G5 G5 R2108 1 25.49K VSS_G5 G5 R22081 25.49K VSS_G5 G5 R23081 25.49K VSS_G5 G5
C2003 1uF VSS_G10 G10 C2103 1uF
VSS_G10 G10 C2203 1uF
VSS_G10 G10 C2303 1uF VSS_G10 G10
VSS_H1 H1 VSS_H1 H1 VSS_H1 H1 VSS_H1 H1
+MVDD
R2009 1 22.37K VSS_H14 H14 +MVDD
R2109 1 22.37K VSS_H14 H14 +MVDD
R22091 22.37K VSS_H14 H14 +MVDD R23091 22.37K VSS_H14 H14
R2010 1 25.49K VSS_K1 K1 R2110 1 25.49K VSS_K1 K1 R22101 25.49K VSS_K1 K1 R23101 25.49K VSS_K1 K1
C2005 1uF J14 UNNAMED_31_GDDR5_I19_VREFC
VREFC VSS_K14 K14 C2105 1uF J14 UNNAMED_31_GDDR5_I38_VREFC
VREFC VSS_K14 K14 C2205 1uF J14 UNNAMED_31_GDDR5_I186_VREFC
VREFC VSS_K14 K14 C2305 1uF J14 UNNAMED_31_GDDR5_I329_VREFC
VREFC VSS_K14 K14
VSS_L5 L5 VSS_L5 L5 VSS_L5 L5 VSS_L5 L5
VSS_L10 L10 VSS_L10 L10 VSS_L10 L10 VSS_L10 L10
VSS_P10 P10 VSS_P10 P10 VSS_P10 P10 VSS_P10 P10
2
ADBIA0 J4 ABI# VSS_T5 T5 2
ADBIA1 J4 ABI# VSS_T5 T5 2
ADBIB0 J4 ABI# VSS_T5 T5 2
ADBIB1 J4 ABI# VSS_T5 T5
IN IN IN IN
VSS_T10 T10 VSS_T10 T10 VSS_T10 T10 VSS_T10 T10

GDDR5 GDDR5 GDDR5 GDDR5

+MVDD +MVDD +MVDD +MVDD


C2010

C2011

C2012

C2013

C2014

C2015

C2016

C2017

C2018

C2019

C2110

C2111

C2112

C2113

C2114

C2115

C2116

C2117

C2118

C2119

C2210

C2211

C2212

C2213

C2214

C2215

C2216

C2217

C2218

C2219

C2310

C2311

C2312

C2313

C2314

C2315

C2316

C2317

C2318

C2319
0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF
10uF

10uF

10uF

10uF

10uF

10uF

10uF

10uF

A A

+MVDD +MVDD +MVDD +MVDD


C2020

C2021

C2022

C2023

C2024

C2025

C2026

C2027

C2028

C2120

C2121

C2122

C2123

C2124

C2125

C2126

C2127

C2128

C2129

C2220

C2221

C2222

C2223

C2224

C2225

C2226

C2227

C2228

C2320

C2321

C2322

C2323

C2324

C2325

C2326

C2327

C2328

Micro-Star International Co., LTD.


<Title>
10uF

10uF
1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF
10uF

10uF

10uF

MS-V308
Size Document Number Rev
Custom <Doc> 1.0

Date: Tuesday, October 29, 2013 Sheet 3 of 26

8 7 6 5 4 3 2 1
8 7 6 5 4 3 2 1

(4) HAWAII Memory Channel C&D

U1E
U1F

SYMBOL 5 SYMBOL 6

5 DQC0_<0> T30 DQC0_0 DQC1_0 P23 DQC1_<0> 0


5 5
0 DQD0_<0> E3 DQD0_0 DQD1_0 U16 DQD1_<0> 0
5
DQC0_<0> DQC1_<0> DQD0_<0> DQD1_<0>
5 DQC0_<1> P26 DQC0_1 DQC1_1 P21 DQC1_<1> 1
5 5
1 DQD0_<1> G6 DQD0_1 DQD1_1 T17 DQD1_<1> 1
5
DQC0_<1> DQC1_<1> DQD0_<1> DQD1_<1>
5 DQC0_<2> R27 DQC0_2 DQC1_2 R24 DQC1_<2> 2
5 5
2 DQD0_<2> G3 DQD0_2 DQD1_2 U19 DQD1_<2> 2
5
DQC0_<2> DQC1_<2> DQD0_<2> DQD1_<2>
D 5 DQC0_<3> R30 DQC0_3 DQC1_3 U21 DQC1_<3> 3
5 5
3 DQD0_<3> H7 DQD0_3 DQD1_3 T19 DQD1_<3> 3
5 D
DQC0_<3> DQC1_<3> DQD0_<3> DQD1_<3>
5 DQC0_<4> U29 DQC0_4 DQC1_4 R22 DQC1_<4> 4
5 5
4 DQD0_<4> K8 DQD0_4 DQD1_4 Y13 DQD1_<4> 4
5
DQC0_<4> DQC1_<4> DQD0_<4> DQD1_<4>
5 DQC0_<5> T29 DQC0_5 DQC1_5 T23 DQC1_<5> 5
5 5
5 DQD0_<5> K7 DQD0_5 DQD1_5 W13 DQD1_<5> 5
5
DQC0_<5> DQC1_<5> DQD0_<5> DQD1_<5>
5 DQC0_<6> V27 DQC0_6 DQC1_6 T24 DQC1_<6> 6
5 5
6 DQD0_<6> L9 DQD0_6 DQD1_6 W11 DQD1_<6> 6
5
DQC0_<6> DQC1_<6> DQD0_<6> DQD1_<6>
5 DQC0_<7> T27 DQC0_7 DQC1_7 T21 DQC1_<7> 7
5 5
7 DQD0_<7> L7 DQD0_7 DQD1_7 Y12 DQD1_<7> 7
5
DQC0_<7> DQC1_<7> DQD0_<7> DQD1_<7>
5 DQC0_<8> N22 DQC0_8 DQC1_8 N16 DQC1_<8> 8
5 5
8 DQD0_<8> P10 DQD0_8 DQD1_8 AA11 DQD1_<8> 8
5
DQC0_<8> DQC1_<8> DQD0_<8> DQD1_<8>
5 DQC0_<9> L23 DQC0_9 DQC1_9 N14 DQC1_<9> 9
5 5
9 DQD0_<9> P9 DQD0_9 DQD1_9 AD12 DQD1_<9> 9
5
DQC0_<9> DQC1_<9> DQD0_<9> DQD1_<9>
5 DQC0_<10> N23 DQC0_10 DQC1_10 N17 DQC1_<10> 10
5 5
10 DQD0_<10> U7 DQD0_10 DQD1_10 AB13 DQD1_<10> 10
5
DQC0_<10> DQC1_<10> DQD0_<10> DQD1_<10>
5 DQC0_<11> N24 DQC0_11 DQC1_11 K14 DQC1_<11> 11
5 5
11 DQD0_<11> P7 DQD0_11 DQD1_11 AF13 DQD1_<11> 11
5
DQC0_<11> DQC1_<11> DQD0_<11> DQD1_<11>
5 DQC0_<12> M20 DQC0_12 DQC1_12 K13 DQC1_<12> 12
5 5
12 DQD0_<12> U9 DQD0_12 DQD1_12 AC11 DQD1_<12> 12
5
DQC0_<12> DQC1_<12> DQD0_<12> DQD1_<12>
5 DQC0_<13> N20 DQC0_13 DQC1_13 P19 DQC1_<13> 13
5 5
13 DQD0_<13> U10 DQD0_13 DQD1_13 AB12 DQD1_<13> 13
5
DQC0_<13> DQC1_<13> DQD0_<13> DQD1_<13>
5 DQC0_<14> K20 DQC0_14 DQC1_14 R17 DQC1_<14> 14
5 5
14 DQD0_<14> W10 DQD0_14 DQD1_14 AF10 DQD1_<14> 14
5
DQC0_<14> DQC1_<14> DQD0_<14> DQD1_<14>
5 DQC0_<15> L21 DQC0_15 DQC1_15 L13 DQC1_<15> 15
5 5
15 DQD0_<15> T10 DQD0_15 DQD1_15 AF11 DQD1_<15> 15
5
DQC0_<15> DQC1_<15> DQD0_<15> DQD1_<15>
5 DQC0_<16> N26 DQC0_16 DQC1_16 L16 DQC1_<16> 16
5 5
16 DQD0_<16> L10 DQD0_16 DQD1_16 W16 DQD1_<16> 16
5
DQC0_<16> DQC1_<16> DQD0_<16> DQD1_<16>
5 DQC0_<17> K23 DQC0_17 DQC1_17 L19 DQC1_<17> 17
5 5
17 DQD0_<17> N11 DQD0_17 DQD1_17 Y16 DQD1_<17> 17
5
DQC0_<17> DQC1_<17> DQD0_<17> DQD1_<17>
5 DQC0_<18> M24 DQC0_18 DQC1_18 G14 DQC1_<18> 18
5 5
18 DQD0_<18> N10 DQD0_18 DQD1_18 Y15 DQD1_<18> 18
5
DQC0_<18> DQC1_<18> DQD0_<18> DQD1_<18>
5 DQC0_<19> H23 DQC0_19 DQC1_19 K17 DQC1_<19> 19
5 5
19 DQD0_<19> P13 DQD0_19 DQD1_19 AC14 DQD1_<19> 19
5
DQC0_<19> DQC1_<19> DQD0_<19> DQD1_<19>
5 DQC0_<20> L26 DQC0_20 DQC1_20 N19 DQC1_<20> 20
5 5
20 DQD0_<20> U12 DQD0_20 DQD1_20 AB16 DQD1_<20> 20
5
DQC0_<20> DQC1_<20> DQD0_<20> DQD1_<20>
5 DQC0_<21> G23 DQC0_21 DQC1_21 M17 DQC1_<21> 21
5 5
21 DQD0_<21> T11 DQD0_21 DQD1_21 AB15 DQD1_<21> 21
5
DQC0_<21> DQC1_<21> DQD0_<21> DQD1_<21>
5 DQC0_<22> G22 DQC0_22 DQC1_22 K16 DQC1_<22> 22
5 5
22 DQD0_<22> T13 DQD0_22 DQD1_22 W17 DQD1_<22> 22
5
DQC0_<22> DQC1_<22> DQD0_<22> DQD1_<22>
5 DQC0_<23> K24 DQC0_23 DQC1_23 J14 DQC1_<23> 23
5 5
23 DQD0_<23> U13 DQD0_23 DQD1_23 AC16 DQD1_<23> 23
5
DQC0_<23> DQC1_<23> DQD0_<23> DQD1_<23>
5 DQC0_<24> H21 DQC0_24 DQC1_24 C7 DQC1_<24> 24
5 5
24 DQD0_<24> Y9 DQD0_24 DQD1_24 AF14 DQD1_<24> 24
5
DQC0_<24> DQC1_<24> DQD0_<24> DQD1_<24>
5 DQC0_<25> G21 DQC0_25 DQC1_25 C5 DQC1_<25> 25
5 5
25 DQD0_<25> AA8 DQD0_25 DQD1_25 AF16 DQD1_<25> 25
5
DQC0_<25> DQC1_<25> DQD0_<25> DQD1_<25>
5 DQC0_<26> J17 DQC0_26 DQC1_26 G8 DQC1_<26> 26
5 5
26 DQD0_<26> Y7 DQD0_26 DQD1_26 AG13 DQD1_<26> 26
5
DQC0_<26> DQC1_<26> DQD0_<26> DQD1_<26>
5 DQC0_<27> G20 DQC0_27 DQC1_27 J11 DQC1_<27> 27
5 5
27 DQD0_<27> AB9 DQD0_27 DQD1_27 AK13 DQD1_<27> 27
5
DQC0_<27> DQC1_<27> DQD0_<27> DQD1_<27>
5 DQC0_<28> H19 DQC0_28 DQC1_28 G10 DQC1_<28> 28
5 5
28 DQD0_<28> Y10 DQD0_28 DQD1_28 AJ11 DQD1_<28> 28
5
DQC0_<28> DQC1_<28> DQD0_<28> DQD1_<28>
5 DQC0_<29> K21 DQC0_29 DQC1_29 H10 DQC1_<29> 29
5 5
29 DQD0_<29> AC8 DQD0_29 DQD1_29 AH14 DQD1_<29> 29
5
DQC0_<29> DQC1_<29> DQD0_<29> DQD1_<29>
5 DQC0_<30> G17 DQC0_30 DQC1_30 F7 DQC1_<30> 30
5 5
30 DQD0_<30> AB7 DQD0_30 DQD1_30 AJ13 DQD1_<30> 30
5
DQC0_<30> DQC1_<30> DQD0_<30> DQD1_<30>
5 DQC0_<31> K19 DQC0_31 DQC1_31 G11 DQC1_<31> 31
5 5
31 DQD0_<31> AB10 DQD0_31 DQD1_31 AG12 DQD1_<31> 31
5
DQC0_<31> DQC1_<31> DQD0_<31> DQD1_<31>
MAC0_<0> E19 MAC0_0 MAC1_0 C13 MAC1_<0> 0 MAD0_<0> P5 MAD0_0 MAD1_0 W2 MAD1_<0> 0
MAC0_<0> MAC1_<0> MAD0_<0> MAD1_<0>
MAC0_<1> C19 MAC0_1 MAC1_1 E13 MAC1_<1> 1 1 MAD0_<1> P2 MAD0_1 MAD1_1 Y5 MAD1_<1> 1 1
MAC0_<1> MAC1_<1> MAD0_<1> MAD1_<1>
MAC0_<2> B19 MAC0_2 MAC1_2 D12 MAC1_<2> 2 2 MAD0_<2> N3 MAD0_2 MAD1_2 Y4 MAD1_<2> 2 2
MAC0_<2> MAC1_<2> MAD0_<2> MAD1_<2>
MAC0_<3> D19 MAC0_3 MAC1_3 B12 MAC1_<3> 3 3 MAD0_<3> P4 MAD0_3 MAD1_3 Y3 MAD1_<3> 3 3
MAC0_<3> MAC1_<3> MAD0_<3> MAD1_<3>
MAC0_<4> E20 MAC0_4 MAC1_4 C11 MAC1_<4> 4 4 MAD0_<4> N5 MAD0_4 MAD1_4 Y2 MAD1_<4> 4 4
MAC0_<4> MAC1_<4> MAD0_<4> MAD1_<4>
MAC0_<5> C20 MAC0_5 MAC1_5 E11 MAC1_<5> 5 5 MAD0_<5> M2 MAD0_5 MAD1_5 AA5 MAD1_<5> 5 5
MAC0_<5> MAC1_<5> MAD0_<5> MAD1_<5>
C MAC0_<6> C17 MAC0_6 MAC1_6 E14 MAC1_<6> 6 6 MAD0_<6> R2 MAD0_6 MAD1_6 W5 MAD1_<6> 6 6
C
MAC0_<6> MAC1_<6> MAD0_<6> MAD1_<6>
MAC0_<7> D18 MAC0_7 MAC1_7 B14 MAC1_<7> 7 7 MAD0_<7> R4 MAD0_7 MAD1_7 W3 MAD1_<7> 7 7
MAC0_<7> MAC1_<7> MAD0_<7> MAD1_<7>
MAC0_<8> B18 MAC0_8 MAC1_8 D14 MAC1_<8> 8 8 MAD0_<8> P3 MAD0_8 MAD1_8 W4 MAD1_<8> 8 8
MAC0_<8> MAC1_<8> MAD0_<8> MAD1_<8>
D22 MAC0_9 MAC1_9 E10 L2 MAD0_9 MAD1_9 AB5

5
WCKC0_0 T26 WCKC0_0 WCKC1_0 R20 WCKC1_0
5 5
WCKD0_0 N7 WCKD0_0 WCKD1_0 AA13 WCKD1_0
5
OUT OUT OUT OUT
5
WCKC0B_0 U26 WCKC0B_0 WCKC1B_0 T20 WCKC1B_0
5 5
WCKD0B_0 N8 WCKD0B_0 WCKD1B_0 AA14 WCKD1B_0
5
OUT OUT OUT OUT

5
WCKC0_1 J22 WCKC0_1 WCKC1_1 G13 WCKC1_1
5 5
WCKD0_1 W8 WCKD0_1 WCKD1_1 AD15 WCKD1_1
5
OUT OUT OUT OUT
5
WCKC0B_1 K22 WCKC0B_1 WCKC1B_1 H13 WCKC1B_1
5 5
WCKD0B_1 W7 WCKD0B_1 WCKD1B_1 AD16 WCKD1B_1
5
OUT OUT OUT OUT

5
EDCC0_0 U28 EDCC0_0 EDCC1_0 T22 EDCC1_0
5 5
EDCD0_0 G1 EDCD0_0 EDCD1_0 W14 EDCD1_0
5
IN IN IN IN
5
EDCC0_1 N21 EDCC0_1 EDCC1_1 M14 EDCC1_1
5 5
EDCD0_1 T8 EDCD0_1 EDCD1_1 AD13 EDCD1_1
5
IN IN IN IN
5
EDCC0_2 J24 EDCC0_2 EDCC1_2 G16 EDCC1_2
5 5
EDCD0_2 T14 EDCD0_2 EDCD1_2 AA16 EDCD1_2
5
IN IN IN IN
5
EDCC0_3 G19 EDCC0_3 EDCC1_3 A7 EDCC1_3
5 5
EDCD0_3 AA7 EDCD0_3 EDCD1_3 AH13 EDCD1_3
5
IN IN IN IN

5
DDBIC0_0 T28 DDBIC0_0 DDBIC1_0 U23 DDBIC1_0
5 5
DDBID0_0 H5 DDBID0_0 DDBID1_0 U15 DDBID1_0
5
BI BI BI BI
5
DDBIC0_1 M22 DDBIC0_1 DDBIC1_1 P16 DDBIC1_1
5 5
DDBID0_1 T7 DDBID0_1 DDBID1_1 AC13 DDBID1_1
5
BI BI BI BI
5
DDBIC0_2 G24 DDBIC0_2 DDBIC1_2 H16 DDBIC1_2
5 5
DDBID0_2 P12 DDBID0_2 DDBID1_2 AA17 DDBID1_2
5
BI BI BI BI
5
DDBIC0_3 J20 DDBIC0_3 DDBIC1_3 E8 DDBIC1_3
5 5
DDBID0_3 AA10 DDBID0_3 DDBID1_3 AH11 DDBID1_3
5
BI BI BI BI

5
ADBIC0 B17 ADBIC0 ADBIC1 D15 ADBIC1
5 5
ADBID0 T3 ADBID0 ADBID1 V4 ADBID1
5
OUT OUT OUT OUT

5
CSC0B_0 B22 CSC0B_0 CSC1B_0 D9 CSC1B_0
5 5
CSD0B_0 L4 CSD0B_0 CSD1B_0 AB3 CSD1B_0
5
OUT OUT OUT OUT
E22 CSC0B_1 CSC1B_1 C8 K3 CSD0B_1 CSD1B_1 AC4

5
CASC0B E17 CASC0B CASC1B C14 CASC1B
5 5
CASD0B T5 CASD0B CASD1B V2 CASD1B
5
OUT OUT OUT OUT
5
RASC0B C16 RASC0B RASC1B E16 RASC1B
5 5
RASD0B U2 RASD0B RASD1B U5 RASD1B
5
OUT OUT OUT OUT
5
WEC0B D20 WEC0B WEC1B B11 WEC1B
5 5
WED0B M4 WED0B WED1B AA3 WED1B
5
OUT OUT OUT OUT
+MVDD +MVDD
5
CKEC0 D17 CKEC0 CKEC1 B15 CKEC1
5 5
CKED0 U4 CKED0 CKED1 U3 CKED1
5
OUT OUT OUT OUT
B B

1
5
CLKC0 C21 CLKC0 CLKC1 D11 CLKC1
5 5
CLKD0 L5 CLKD0 CLKD1 AB4 CLKD1
5
OUT OUT OUT OUT
5
CLKC0B B20 CLKC0B CLKC1B C10 CLKC1B
R3621
5 5
CLKD0B L3 CLKD0B CLKD1B AB2 CLKD1B
R3631
5
OUT OUT 40.2R
OUT OUT 40.2R

1 2

1 2
MVREFD_C MVREFD_D

MVREFDC V22 MVREFDD AB18

C3622 R3622 C3632 R3632


1uF 100R +MVDD 1uF 100R +MVDD
MVREFD/S =0.7* MVREFD/S =0.7*
2

2
1

1
R3623 R3633
40.2R 40.2R

1 2

1 2
MVREFS_C

MVREFC W21 MVREFD AF17 MVREFS_D

HAWAII REV 0.53 HAWAII REV 0.53


C3624 R3624 R3634
HAWAII - REV 0.50 1uF 100R HAWAII - REV 0.50 100R
C3634
1uF
2

2
A A

Micro-Star International Co., LTD.


<Title>
MS-V308
Size Document Number Rev
Custom <Doc> 1.0

Date: Tuesday, October 29, 2013 Sheet 4 of 26

8 7 6 5 4 3 2 1
8 7 6 5 4 3 2 1

(5) GDDR5 Memory Channel C&D

+MVDD +MVDD
U2400 U2500 +MVDD +MVDD

DQC0_<0> DQC0_<14> M2 B1 DQC1_<0> DQC1_<4> M2 B1 U2600 U2700


4 14 DQ31__DQ7 VDDQ_B1 4 4 DQ31__DQ7 VDDQ_B1
DQC0_<0> DQC1_<0> 12

4 DQC0_<1> 12 DQC0_<12> M4 DQ30__DQ6 VDDQ_B3 B3 4 DQC1_<1> 7 DQC1_<7> M4 DQ30__DQ6 VDDQ_B3 B3 4 DQD0_<0> DQD0_<12> M2 DQ31__DQ7 VDDQ_B1 B1 4 DQD1_<0> 21 DQD1_<21> M2 DQ31__DQ7 VDDQ_B1 B1
DQC0_<1> DQC1_<1> DQD0_<0> 14 DQD1_<0>
4 DQC0_<2> 15 DQC0_<15> N2 DQ29__DQ5 VDDQ_B12 B12 4 DQC1_<2> 3 DQC1_<3> N2 DQ29__DQ5 VDDQ_B12 B12 4 DQD0_<1> DQD0_<14> M4 DQ30__DQ6 VDDQ_B3 B3 4 DQD1_<1> 23 DQD1_<23> M4 DQ30__DQ6 VDDQ_B3 B3
DQC0_<2> DQC1_<2> DQD0_<1> 10 DQD1_<1>
4 DQC0_<3> 13 DQC0_<13> N4 DQ28__DQ4 VDDQ_B14 B14 4 DQC1_<3> 1 DQC1_<1> N4 DQ28__DQ4 VDDQ_B14 B14 4 DQD0_<2> DQD0_<10> N2 DQ29__DQ5 VDDQ_B12 B12 4 DQD1_<2> 20 DQD1_<20> N2 DQ29__DQ5 VDDQ_B12 B12
DQC0_<3> DQC1_<3> DQD0_<2> 13 DQD1_<2>
4 DQC0_<4> 8 DQC0_<8> T2 DQ27__DQ3 VDDQ_D1 D1 4 DQC1_<4> 5 DQC1_<5> T2 DQ27__DQ3 VDDQ_D1 D1 4 DQD0_<3> DQD0_<13> N4 DQ28__DQ4 VDDQ_B14 B14 4 DQD1_<3> 19 DQD1_<19> N4 DQ28__DQ4 VDDQ_B14 B14
DQC0_<4> DQC1_<4> DQD0_<3> 15 DQD1_<3>
4 DQC0_<5> 9 DQC0_<9> T4 DQ26__DQ2 VDDQ_D3 D3 4 DQC1_<5> 0 DQC1_<0> T4 DQ26__DQ2 VDDQ_D3 D3 4 DQD0_<4> DQD0_<15> T2 DQ27__DQ3 VDDQ_D1 D1 4 DQD1_<4> 18 DQD1_<18> T2 DQ27__DQ3 VDDQ_D1 D1
DQC0_<5> DQC1_<5> DQD0_<4> 11 DQD1_<4>
4 DQC0_<6> 10 DQC0_<10> V2 DQ25__DQ1 VDDQ_D12 D12 4 DQC1_<6> 6 DQC1_<6> V2 DQ25__DQ1 VDDQ_D12 D12 4 DQD0_<5> DQD0_<11> T4 DQ26__DQ2 VDDQ_D3 D3 4 DQD1_<5> 17 DQD1_<17> T4 DQ26__DQ2 VDDQ_D3 D3
DQC0_<6> DQC1_<6> DQD0_<5> 9 DQD1_<5>
4 DQC0_<7> 11 DQC0_<11> V4 DQ24__DQ0 VDDQ_D14 D14 4 DQC1_<7> 2 DQC1_<2> V4 DQ24__DQ0 VDDQ_D14 D14 4 DQD0_<6> DQD0_<9> V2 DQ25__DQ1 VDDQ_D12 D12 4 DQD1_<6> 16 DQD1_<16> V2 DQ25__DQ1 VDDQ_D12 D12
DQC0_<7> DQC1_<7> DQD0_<6> 8 DQD1_<6>
4 DQC0_<8> 1 DQC0_<1> M13 DQ23__DQ15 VDDQ_E5 E5 4 DQC1_<8> 11 DQC1_<11> M13 DQ23__DQ15 VDDQ_E5 E5 4 DQD0_<7> DQD0_<8> V4 DQ24__DQ0 VDDQ_D14 D14 4 DQD1_<7> 22 DQD1_<22> V4 DQ24__DQ0 VDDQ_D14 D14
DQC0_<8> DQC1_<8> DQD0_<7> 7 DQD1_<7>
4 DQC0_<9> 2 DQC0_<2> M11 DQ22__DQ14 VDDQ_E10 E10 4 DQC1_<9> 8 DQC1_<8> M11 DQ22__DQ14 VDDQ_E10 E10 4 DQD0_<8> DQD0_<7> M13 DQ23__DQ15 VDDQ_E5 E5 4 DQD1_<8> 29 DQD1_<29> M13 DQ23__DQ15 VDDQ_E5 E5
DQC0_<9> DQC1_<9> DQD0_<8> 6 DQD1_<8>
4 DQC0_<10> 7 DQC0_<7> N13 DQ21__DQ13 VDDQ_F1 F1 4 DQC1_<10> 9 DQC1_<9> N13 DQ21__DQ13 VDDQ_F1 F1 4 DQD0_<9> DQD0_<6> M11 DQ22__DQ14 VDDQ_E10 E10 4 DQD1_<9> 26 DQD1_<26> M11 DQ22__DQ14 VDDQ_E10 E10
DQC0_<10> DQC1_<10> DQD0_<9> 5 DQD1_<9>
D 4 DQC0_<11> 6 DQC0_<6> N11 DQ20__DQ12 VDDQ_F3 F3 4 DQC1_<11> 10 DQC1_<10> N11 DQ20__DQ12 VDDQ_F3 F3 4 DQD0_<10> DQD0_<5> N13 DQ21__DQ13 VDDQ_F1 F1 4 DQD1_<10> 30 DQD1_<30> N13 DQ21__DQ13 VDDQ_F1 F1 D
DQC0_<11> DQC1_<11> DQD0_<10> 4 DQD1_<10>
4 DQC0_<12> 0 DQC0_<0> T13 DQ19__DQ11 VDDQ_F12 F12 4 DQC1_<12> 12 DQC1_<12> T13 DQ19__DQ11 VDDQ_F12 F12 4 DQD0_<11> DQD0_<4> N11 DQ20__DQ12 VDDQ_F3 F3 4 DQD1_<11> 31 DQD1_<31> N11 DQ20__DQ12 VDDQ_F3 F3
DQC0_<12> DQC1_<12> DQD0_<11> 0 DQD1_<11>
4 DQC0_<13> 5 DQC0_<5> T11 DQ18__DQ10 VDDQ_F14 F14 4 DQC1_<13> 14 DQC1_<14> T11 DQ18__DQ10 VDDQ_F14 F14 4 DQD0_<12> DQD0_<0> T13 DQ19__DQ11 VDDQ_F12 F12 4 DQD1_<12> 28 DQD1_<28> T13 DQ19__DQ11 VDDQ_F12 F12
DQC0_<13> DQC1_<13> DQD0_<12> 3 DQD1_<12>
4 DQC0_<14> 3 DQC0_<3> V13 DQ17__DQ9 VDDQ_G2 G2 4 DQC1_<14> 15 DQC1_<15> V13 DQ17__DQ9 VDDQ_G2 G2 4 DQD0_<13> DQD0_<3> T11 DQ18__DQ10 VDDQ_F14 F14 4 DQD1_<13> 25 DQD1_<25> T11 DQ18__DQ10 VDDQ_F14 F14
DQC0_<14> DQC1_<14> DQD0_<13> 1 DQD1_<13>
4 DQC0_<15> 4 DQC0_<4> V11 DQ16__DQ8 VDDQ_G13 G13 4 DQC1_<15> 13 DQC1_<13> V11 DQ16__DQ8 VDDQ_G13 G13 4 DQD0_<14> DQD0_<1> V13 DQ17__DQ9 VDDQ_G2 G2 4 DQD1_<14> 27 DQD1_<27> V13 DQ17__DQ9 VDDQ_G2 G2
DQC0_<15> DQC1_<15> DQD0_<14> 2 DQD1_<14>
4 DQC0_<16> 23 DQC0_<23> F13 DQ15__DQ23 VDDQ_H3 H3 4 DQC1_<16> 25 DQC1_<25> F13 DQ15__DQ23 VDDQ_H3 H3 4 DQD0_<15> DQD0_<2> V11 DQ16__DQ8 VDDQ_G13 G13 4 DQD1_<15> 24 DQD1_<24> V11 DQ16__DQ8 VDDQ_G13 G13
DQC0_<16> DQC1_<16> DQD0_<15> 21 DQD1_<15>
4 DQC0_<17> 21 DQC0_<21> F11 DQ14__DQ22 VDDQ_H12 H12 4 DQC1_<17> 30 DQC1_<30> F11 DQ14__DQ22 VDDQ_H12 H12 4 DQD0_<16> DQD0_<21> F13 DQ15__DQ23 VDDQ_H3 H3 4 DQD1_<16> 15 DQD1_<15> F13 DQ15__DQ23 VDDQ_H3 H3
DQC0_<17> DQC1_<17> DQD0_<16> 22 DQD1_<16>
4 DQC0_<18> 18 DQC0_<18> E13 DQ13__DQ21 VDDQ_K3 K3 4 DQC1_<18> 26 DQC1_<26> E13 DQ13__DQ21 VDDQ_K3 K3 4 DQD0_<17> DQD0_<22> F11 DQ14__DQ22 VDDQ_H12 H12 4 DQD1_<17> 8 DQD1_<8> F11 DQ14__DQ22 VDDQ_H12 H12
DQC0_<18> DQC1_<18> DQD0_<17> 19 DQD1_<17>
4 DQC0_<19> 19 DQC0_<19> E11 DQ12__DQ20 VDDQ_K12 K12 4 DQC1_<19> 24 DQC1_<24> E11 DQ12__DQ20 VDDQ_K12 K12 4 DQD0_<18> DQD0_<19> E13 DQ13__DQ21 VDDQ_K3 K3 4 DQD1_<18> 14 DQD1_<14> E13 DQ13__DQ21 VDDQ_K3 K3
DQC0_<19> DQC1_<19> DQD0_<18> 20 DQD1_<18>
4 DQC0_<20> 20 DQC0_<20> B13 DQ11__DQ19 VDDQ_L2 L2 4 DQC1_<20> 27 DQC1_<27> B13 DQ11__DQ19 VDDQ_L2 L2 4 DQD0_<19> DQD0_<20> E11 DQ12__DQ20 VDDQ_K12 K12 4 DQD1_<19> 13 DQD1_<13> E11 DQ12__DQ20 VDDQ_K12 K12
DQC0_<20> DQC1_<20> DQD0_<19> 18 DQD1_<19>
4 DQC0_<21> 17 DQC0_<17> B11 DQ10__DQ18 VDDQ_L13 L13 4 DQC1_<21> 31 DQC1_<31> B11 DQ10__DQ18 VDDQ_L13 L13 4 DQD0_<20> DQD0_<18> B13 DQ11__DQ19 VDDQ_L2 L2 4 DQD1_<20> 11 DQD1_<11> B13 DQ11__DQ19 VDDQ_L2 L2
DQC0_<21> DQC1_<21> DQD0_<20> 23 DQD1_<20>
4 DQC0_<22> 16 DQC0_<16> A13 DQ9__DQ17 VDDQ_M1 M1 4 DQC1_<22> 29 DQC1_<29> A13 DQ9__DQ17 VDDQ_M1 M1 4 DQD0_<21> DQD0_<23> B11 DQ10__DQ18 VDDQ_L13 L13 4 DQD1_<21> 10 DQD1_<10> B11 DQ10__DQ18 VDDQ_L13 L13
DQC0_<22> DQC1_<22> DQD0_<21> 17 DQD1_<21>
4 DQC0_<23> 22 DQC0_<22> A11 DQ8__DQ16 VDDQ_M3 M3 4 DQC1_<23> 28 DQC1_<28> A11 DQ8__DQ16 VDDQ_M3 M3 4 DQD0_<22> DQD0_<17> A13 DQ9__DQ17 VDDQ_M1 M1 4 DQD1_<22> 9 DQD1_<9> A13 DQ9__DQ17 VDDQ_M1 M1
DQC0_<23> DQC1_<23> DQD0_<22> 16 DQD1_<22>
4 DQC0_<24> 27 DQC0_<27> F2 DQ7__DQ31 VDDQ_M12 M12 4 DQC1_<24> 17 DQC1_<17> F2 DQ7__DQ31 VDDQ_M12 M12 4 DQD0_<23> DQD0_<16> A11 DQ8__DQ16 VDDQ_M3 M3 4 DQD1_<23> 12 DQD1_<12> A11 DQ8__DQ16 VDDQ_M3 M3
DQC0_<24> DQC1_<24> DQD0_<23> 25 DQD1_<23>
4 DQC0_<25> 24 DQC0_<24> F4 DQ6__DQ30 VDDQ_M14 M14 4 DQC1_<25> 20 DQC1_<20> F4 DQ6__DQ30 VDDQ_M14 M14 4 DQD0_<24> DQD0_<25> F2 DQ7__DQ31 VDDQ_M12 M12 4 DQD1_<24> 1 DQD1_<1> F2 DQ7__DQ31 VDDQ_M12 M12
DQC0_<25> DQC1_<25> DQD0_<24> 26 DQD1_<24>
4 DQC0_<26> 29 DQC0_<29> E2 DQ5__DQ29 VDDQ_N5 N5 4 DQC1_<26> 19 DQC1_<19> E2 DQ5__DQ29 VDDQ_N5 N5 4 DQD0_<25> DQD0_<26> F4 DQ6__DQ30 VDDQ_M14 M14 4 DQD1_<25> 3 DQD1_<3> F4 DQ6__DQ30 VDDQ_M14 M14
DQC0_<26> DQC1_<26> DQD0_<25> 28 DQD1_<25>
4 DQC0_<27> 25 DQC0_<25> E4 DQ4__DQ28 VDDQ_N10 N10 4 DQC1_<27> 21 DQC1_<21> E4 DQ4__DQ28 VDDQ_N10 N10 4 DQD0_<26> DQD0_<28> E2 DQ5__DQ29 VDDQ_N5 N5 4 DQD1_<26> 0 DQD1_<0> E2 DQ5__DQ29 VDDQ_N5 N5
DQC0_<27> DQC1_<27> DQD0_<26> 24 DQD1_<26>
4 DQC0_<28> 28 DQC0_<28> B2 DQ3__DQ27 VDDQ_P1 P1 4 DQC1_<28> 22 DQC1_<22> B2 DQ3__DQ27 VDDQ_P1 P1 4 DQD0_<27> DQD0_<24> E4 DQ4__DQ28 VDDQ_N10 N10 4 DQD1_<27> 2 DQD1_<2> E4 DQ4__DQ28 VDDQ_N10 N10
DQC0_<28> DQC1_<28> DQD0_<27> 30 DQD1_<27>
4 DQC0_<29> 30 DQC0_<30> B4 DQ2__DQ26 VDDQ_P3 P3 4 DQC1_<29> 18 DQC1_<18> B4 DQ2__DQ26 VDDQ_P3 P3 4 DQD0_<28> DQD0_<30> B2 DQ3__DQ27 VDDQ_P1 P1 4 DQD1_<28> 7 DQD1_<7> B2 DQ3__DQ27 VDDQ_P1 P1
DQC0_<29> DQC1_<29> DQD0_<28> 27 DQD1_<28>
4 DQC0_<30> 31 DQC0_<31> A2 DQ1__DQ25 VDDQ_P12 P12 4 DQC1_<30> 16 DQC1_<16> A2 DQ1__DQ25 VDDQ_P12 P12 4 DQD0_<29> DQD0_<27> B4 DQ2__DQ26 VDDQ_P3 P3 4 DQD1_<29> 4 DQD1_<4> B4 DQ2__DQ26 VDDQ_P3 P3
DQC0_<30> DQC1_<30> DQD0_<29> 29 DQD1_<29>
4 DQC0_<31> 26 DQC0_<26> A4 DQ0__DQ24 VDDQ_P14 P14 4 DQC1_<31> 23 DQC1_<23> A4 DQ0__DQ24 VDDQ_P14 P14 4 DQD0_<30> DQD0_<29> A2 DQ1__DQ25 VDDQ_P12 P12 4 DQD1_<30> 5 DQD1_<5> A2 DQ1__DQ25 VDDQ_P12 P12
DQC0_<31> DQC1_<31> DQD0_<30> 31 DQD1_<30>
VDDQ_T1 T1 VDDQ_T1 T1 4 DQD0_<31> DQD0_<31> A4 DQ0__DQ24 VDDQ_P14 P14 4 DQD1_<31> 6 DQD1_<6> A4 DQ0__DQ24 VDDQ_P14 P14
DQD0_<31> DQD1_<31>
VDDQ_T3 T3 VDDQ_T3 T3 VDDQ_T1 T1 VDDQ_T1 T1
VDDQ_T12 T12 VDDQ_T12 T12 VDDQ_T3 T3 VDDQ_T3 T3
VDDQ_T14 T14 VDDQ_T14 T14 VDDQ_T12 T12 VDDQ_T12 T12
VDDQ_T14 T14 VDDQ_T14 T14
+MVDD +MVDD +MVDD +MVDD
MAC0_<0> 8 MAC0_<8> J5 RFU_A12_NC MAC1_<0> 8 MAC1_<8> J5 RFU_A12_NC
MAC0_<0> MAC1_<0> 8 8
MAC0_<1> 7 MAC0_<7> K4 A7_A8__A0_A10 VDD_C5 C5 MAC1_<1> 0 MAC1_<0> K4 A7_A8__A0_A10 VDD_C5 C5 MAD0_<0> MAD0_<8> J5 RFU_A12_NC MAD1_<0> MAD1_<8> J5 RFU_A12_NC
MAC0_<1> MAC1_<1> MAD0_<0> 7 MAD1_<0> 0
MAC0_<2> 6 MAC0_<6> K5 A6_A11__A1_A9 VDD_C10 C10 MAC1_<2> 1 MAC1_<1> K5 A6_A11__A1_A9 VDD_C10 C10 MAD0_<1> MAD0_<7> K4 A7_A8__A0_A10 VDD_C5 C5 MAD1_<1> MAD1_<0> K4 A7_A8__A0_A10 VDD_C5 C5
MAC0_<2> MAC1_<2> MAD0_<1> 6 MAD1_<1> 1
MAC0_<3> 5 MAC0_<5> K10 A5_BA1__A3_BA3 VDD_D11 D11 MAC1_<3> 3 MAC1_<3> K10 A5_BA1__A3_BA3 VDD_D11 D11 MAD0_<2> MAD0_<6> K5 A6_A11__A1_A9 VDD_C10 C10 MAD1_<2> MAD1_<1> K5 A6_A11__A1_A9 VDD_C10 C10
MAC0_<3> MAC1_<3> MAD0_<2> 5 MAD1_<2> 3
MAC0_<4> 4 MAC0_<4> K11 A4_BA2__A2_BA0 VDD_G1 G1 MAC1_<4> 2 MAC1_<2> K11 A4_BA2__A2_BA0 VDD_G1 G1 MAD0_<3> MAD0_<5> K10 A5_BA1__A3_BA3 VDD_D11 D11 MAD1_<3> MAD1_<3> K10 A5_BA1__A3_BA3 VDD_D11 D11
MAC0_<4> MAC1_<4> MAD0_<3> 4 MAD1_<3> 2
MAC0_<5> 3 MAC0_<3> H10 A3_BA3__A5_BA1 VDD_G4 G4 MAC1_<5> 5 MAC1_<5> H10 A3_BA3__A5_BA1 VDD_G4 G4 MAD0_<4> MAD0_<4> K11 A4_BA2__A2_BA0 VDD_G1 G1 MAD1_<4> MAD1_<2> K11 A4_BA2__A2_BA0 VDD_G1 G1
MAC0_<5> MAC1_<5> MAD0_<4> 3 MAD1_<4> 5
MAC0_<6> 2 MAC0_<2> H11 A2_BA0__A4_BA2 VDD_G11 G11 MAC1_<6> 4 MAC1_<4> H11 A2_BA0__A4_BA2 VDD_G11 G11 MAD0_<5> MAD0_<3> H10 A3_BA3__A5_BA1 VDD_G4 G4 MAD1_<5> MAD1_<5> H10 A3_BA3__A5_BA1 VDD_G4 G4
MAC0_<6> MAC1_<6> MAD0_<5> 2 MAD1_<5> 4
MAC0_<7> 1 MAC0_<1> H5 A1_A9__A6_A11 VDD_G14 G14 MAC1_<7> 6 MAC1_<6> H5 A1_A9__A6_A11 VDD_G14 G14 MAD0_<6> MAD0_<2> H11 A2_BA0__A4_BA2 VDD_G11 G11 MAD1_<6> MAD1_<4> H11 A2_BA0__A4_BA2 VDD_G11 G11
MAC0_<7> MAC1_<7> MAD0_<6> 1 MAD1_<6> 6
MAC0_<8> 0 MAC0_<0> H4 A0_A10__A7_A8 VDD_L1 L1 MAC1_<8> 7 MAC1_<7> H4 A0_A10__A7_A8 VDD_L1 L1 MAD0_<7> MAD0_<1> H5 A1_A9__A6_A11 VDD_G14 G14 MAD1_<7> MAD1_<6> H5 A1_A9__A6_A11 VDD_G14 G14
MAC0_<8> MAC1_<8> MAD0_<7> 0 MAD1_<7> 7
VDD_L4 L4 VDD_L4 L4 MAD0_<8> MAD0_<0> H4 A0_A10__A7_A8 VDD_L1 L1 MAD1_<8> MAD1_<7> H4 A0_A10__A7_A8 VDD_L1 L1
MAD0_<8> MAD1_<8>
C VDD_L11 L11 VDD_L11 L11 VDD_L4 L4 VDD_L4 L4 C
VDD_L14 L14 VDD_L14 L14 VDD_L11 L11 VDD_L11 L11
4
WCKC0_1 D4 WCK01__WCK23 VDD_P11 P11 4
WCKC1_1 D4 WCK01__WCK23 VDD_P11 P11 VDD_L14 L14 VDD_L14 L14
IN IN
4
WCKC0B_1 D5 WCK01#__WCK23# VDD_R5 R5 4
WCKC1B_1 D5 WCK01#__WCK23# VDD_R5 R5 4
WCKD0_1 D4 WCK01__WCK23 VDD_P11 P11 4
WCKD1_0 D4 WCK01__WCK23 VDD_P11 P11
IN IN IN IN
VDD_R10 R10 VDD_R10 R10 4
WCKD0B_1 D5 WCK01#__WCK23# VDD_R5 R5 4
WCKD1B_0 D5 WCK01#__WCK23# VDD_R5 R5
IN IN
4
WCKC0_0 P4 WCK23__WCK01 4
WCKC1_0 P4 WCK23__WCK01 VDD_R10 R10 VDD_R10 R10
IN IN
4
WCKC0B_0 P5 WCK23#__WCK01# 4
WCKC1B_0 P5 WCK23#__WCK01# 4
WCKD0_0 P4 WCK23__WCK01 4
WCKD1_1 P4 WCK23__WCK01
IN IN IN IN
VSSQ_A1 A1 VSSQ_A1 A1 4
WCKD0B_0 P5 WCK23#__WCK01# 4
WCKD1B_1 P5 WCK23#__WCK01#
IN IN
4
EDCC0_1 R2 EDC3__EDC0 VSSQ_A3 A3 4
EDCC1_0 R2 EDC3__EDC0 VSSQ_A3 A3 VSSQ_A1 A1 VSSQ_A1 A1
OUT OUT
4
EDCC0_0 R13 EDC2__EDC1 VSSQ_A12 A12 4
EDCC1_1 R13 EDC2__EDC1 VSSQ_A12 A12 4
EDCD0_1 R2 EDC3__EDC0 VSSQ_A3 A3 4
EDCD1_2 R2 EDC3__EDC0 VSSQ_A3 A3
OUT OUT OUT OUT
4
EDCC0_2 C13 EDC1__EDC2 VSSQ_A14 A14 4
EDCC1_3 C13 EDC1__EDC2 VSSQ_A14 A14 4
EDCD0_0 R13 EDC2__EDC1 VSSQ_A12 A12 4
EDCD1_3 R13 EDC2__EDC1 VSSQ_A12 A12
OUT OUT OUT OUT
4
EDCC0_3 C2 EDC0__EDC3 VSSQ_C1 C1 4
EDCC1_2 C2 EDC0__EDC3 VSSQ_C1 C1 4
EDCD0_2 C13 EDC1__EDC2 VSSQ_A14 A14 4
EDCD1_1 C13 EDC1__EDC2 VSSQ_A14 A14
OUT OUT OUT OUT
VSSQ_C3 C3 VSSQ_C3 C3 4
EDCD0_3 C2 EDC0__EDC3 VSSQ_C1 C1 4
EDCD1_0 C2 EDC0__EDC3 VSSQ_C1 C1
OUT OUT
4
DDBIC0_1 P2 DBI3#__DBI0# VSSQ_C4 C4 4
DDBIC1_0 P2 DBI3#__DBI0# VSSQ_C4 C4 VSSQ_C3 C3 VSSQ_C3 C3
BI BI
4
DDBIC0_0 P13 DBI2#__DBI1# VSSQ_C11 C11 4
DDBIC1_1 P13 DBI2#__DBI1# VSSQ_C11 C11 4
DDBID0_1 P2 DBI3#__DBI0# VSSQ_C4 C4 4
DDBID1_2 P2 DBI3#__DBI0# VSSQ_C4 C4
BI BI BI BI
4
DDBIC0_2 D13 DBI1#__DBI2# VSSQ_C12 C12 4
DDBIC1_3 D13 DBI1#__DBI2# VSSQ_C12 C12 4
DDBID0_0 P13 DBI2#__DBI1# VSSQ_C11 C11 4
DDBID1_3 P13 DBI2#__DBI1# VSSQ_C11 C11
BI BI BI BI
4
DDBIC0_3 D2 DBI0#__DBI3# VSSQ_C14 C14 4
DDBIC1_2 D2 DBI0#__DBI3# VSSQ_C14 C14 4
DDBID0_2 D13 DBI1#__DBI2# VSSQ_C12 C12 4
DDBID1_1 D13 DBI1#__DBI2# VSSQ_C12 C12
BI BI BI BI
VSSQ_E1 E1 VSSQ_E1 E1 4
DDBID0_3 D2 DBI0#__DBI3# VSSQ_C14 C14 4
DDBID1_0 D2 DBI0#__DBI3# VSSQ_C14 C14
BI BI
VSSQ_E3 E3 VSSQ_E3 E3 VSSQ_E1 E1 VSSQ_E1 E1
VSSQ_E12 E12 VSSQ_E12 E12 VSSQ_E3 E3 VSSQ_E3 E3
4
RASC0B G3 RAS#__CAS# VSSQ_E14 E14 4
CASC1B G3 RAS#__CAS# VSSQ_E14 E14 VSSQ_E12 E12 VSSQ_E12 E12
IN IN
4
CASC0B L3 CAS#__RAS# VSSQ_F5 F5 4
RASC1B L3 CAS#__RAS# VSSQ_F5 F5 4
RASD0B G3 RAS#__CAS# VSSQ_E14 E14 4
CASD1B G3 RAS#__CAS# VSSQ_E14 E14
IN IN IN IN
VSSQ_F10 F10 VSSQ_F10 F10 4
CASD0B L3 CAS#__RAS# VSSQ_F5 F5 4
RASD1B L3 CAS#__RAS# VSSQ_F5 F5
IN IN
VSSQ_H2 H2 VSSQ_H2 H2 VSSQ_F10 F10 VSSQ_F10 F10
4
CKEC0 J3 CKE# VSSQ_H13 H13 4
CKEC1 J3 CKE# VSSQ_H13 H13 VSSQ_H2 H2 VSSQ_H2 H2
+MVDD IN +MVDD IN +MVDD
4
CLKC0B J11 CK# VSSQ_K2 K2 4
CLKC1B J11 CK# VSSQ_K2 K2 4
CKED0 J3 CKE# VSSQ_H13 H13 4
CKED1 J3 CKE# VSSQ_H13 H13
IN IN +MVDD IN IN
4
CLKC0 J12 CK VSSQ_K13 K13 4
CLKC1 J12 CK VSSQ_K13 K13 4
CLKD0B J11 CK# VSSQ_K2 K2 4
CLKD1B J11 CK# VSSQ_K2 K2
IN IN IN IN
R2400 1 260.4R VSSQ_M5 M5 R25001 2
60.4R
VSSQ_M5 M5 4
CLKD0 J12 CK VSSQ_K13 K13 4
CLKD1 J12 CK VSSQ_K13 K13
R2401 1
IN IN
260.4R VSSQ_M10 M10 R25011 2
60.4R
VSSQ_M10 M10 R2600 1 260.4R
VSSQ_M5 M5 R2700 1 260.4R
VSSQ_M5 M5
4
CSC0B_0 G12 CS#__WE# VSSQ_N1 N1 4
WEC1B G12 CS#__WE# VSSQ_N1 N1 R2601 1 260.4R
VSSQ_M10 M10 R2701 1 260.4R
VSSQ_M10 M10
IN IN
4
WEC0B L12 WE#__CS# VSSQ_N3 N3 4
CSC1B_0 L12 WE#__CS# VSSQ_N3 N3 4
CSD0B_0 G12 CS#__WE# VSSQ_N1 N1 4
WED1B G12 CS#__WE# VSSQ_N1 N1
IN IN IN IN
VSSQ_N12 N12 VSSQ_N12 N12 4
WED0B L12 WE#__CS# VSSQ_N3 N3 4
CSD1B_0 L12 WE#__CS# VSSQ_N3 N3
IN IN
VSSQ_N14 N14 VSSQ_N14 N14 VSSQ_N12 N12 VSSQ_N12 N12
R2402 1 2120R J13 UNNAMED_5_GDDR5_I21_ZQ
ZQ VSSQ_R1 R1 R25021 2120R J13 UNNAMED_5_GDDR5_I43_ZQ
ZQ VSSQ_R1 R1 VSSQ_N14 N14 VSSQ_N14 N14
J10 SEN VSSQ_R3 R3 J10 SEN VSSQ_R3 R3 1
R2602 2120R J13 UNNAMED_5_GDDR5_I193_ZQ
ZQ VSSQ_R1 R1 R2702 1 2
120R J13 UNNAMED_5_GDDR5_I338_ZQ
ZQ VSSQ_R1 R1
VSSQ_R4 R4 VSSQ_R4 R4 J10 SEN VSSQ_R3 R3 J10 SEN VSSQ_R3 R3
B VSSQ_R11 R11 VSSQ_R11 R11 VSSQ_R4 R4 VSSQ_R4 R4 B
2,5
DRAM_RSTC J2 RESET# VSSQ_R12 R12 2,5
DRAM_RSTC J2 RESET# VSSQ_R12 R12 VSSQ_R11 R11 VSSQ_R11 R11
IN IN
J1 MF VSSQ_R14 R14 +MVDD J1 MF VSSQ_R14 R14 2,5
DRAM_RSTD J2 RESET# VSSQ_R12 R12 2,5
DRAM_RSTD J2 RESET# VSSQ_R12 R12
IN IN
VSSQ_V1 V1 VSSQ_V1 V1 J1 MF VSSQ_R14 R14 +MVDD
J1 MF VSSQ_R14 R14
VSSQ_V3 V3 VSSQ_V3 V3 VSSQ_V1 V1 VSSQ_V1 V1
VSSQ_V12 V12 VSSQ_V12 V12 VSSQ_V3 V3 VSSQ_V3 V3
+MVDD R2405 1 2
2.37K
VSSQ_V14 V14 +MVDD
R2505 1 2
2.37K
VSSQ_V14 V14 VSSQ_V12 V12 +MVDD
R2705 1 2
2.37K
VSSQ_V12 V12
R2406 1 2
5.49K A5 Vpp_NC R2506 1 2
5.49K A5 Vpp_NC +MVDD
R2605 1 2 2.37K
VSSQ_V14 V14 R2706 1 2
5.49K
VSSQ_V14 V14
C2401 1uF V5 Vpp_NC1 C2501 1uF V5 Vpp_NC1 R2606 1 2
5.49K A5 Vpp_NC C2701 1uF A5 Vpp_NC
VSS_B5 B5 VSS_B5 B5 C2601 1uF V5 Vpp_NC1 V5 Vpp_NC1
A10 UNNAMED_5_GDDR5_I21_VREFD1
VREFD1 VSS_B10 B10 A10 UNNAMED_5_GDDR5_I43_VREFD1
VREFD1 VSS_B10 B10 VSS_B5 B5 VSS_B5 B5
+MVDD R2407 1 2
2.37K V10 UNNAMED_5_GDDR5_I21_VREFD2
VREFD2 VSS_D10 D10 +MVDD R2507 1 2
2.37K V10 UNNAMED_5_GDDR5_I43_VREFD2
VREFD2 VSS_D10 D10 A10 UNNAMED_5_GDDR5_I193_VREFD1
VREFD1 VSS_B10 B10 A10 UNNAMED_5_GDDR5_I338_VREFD1
VREFD1 VSS_B10 B10
R2408 1 2
5.49K
VSS_G5 G5 R2508 1 2
5.49K
VSS_G5 G5 +MVDD
R2607 1 2 2.37K V10 UNNAMED_5_GDDR5_I193_VREFD2
VREFD2 VSS_D10 D10 +MVDD R2707 1 2
2.37K V10 UNNAMED_5_GDDR5_I338_VREFD2
VREFD2 VSS_D10 D10
C2403 1uF
VSS_G10 G10 C2503 1uF
VSS_G10 G10 R2608 1 2 5.49K
VSS_G5 G5 R2708 1 25.49K
VSS_G5 G5
VSS_H1 H1 VSS_H1 H1 C2603 1uF
VSS_G10 G10 C2703 1uF VSS_G10 G10
+MVDD R2409 1 2
2.37K
VSS_H14 H14 +MVDD R2509 1 2
2.37K
VSS_H14 H14 VSS_H1 H1 VSS_H1 H1
R2410 1 2
5.49K
VSS_K1 K1 R2510 1 2
5.49K
VSS_K1 K1 +MVDD
R2609 1 2
2.37K
VSS_H14 H14 +MVDD R2709 1 22.37K
VSS_H14 H14
C2405 1uF J14 UNNAMED_5_GDDR5_I21_VREFC
VREFC VSS_K14 K14 C2505 1uF J14 UNNAMED_5_GDDR5_I43_VREFC
VREFC VSS_K14 K14 R2610 1 2
5.49K
VSS_K1 K1 R2710 1 25.49K
VSS_K1 K1
VSS_L5 L5 VSS_L5 L5 C2605 1uF J14 UNNAMED_5_GDDR5_I193_VREFC
VREFC VSS_K14 K14 C2705 1uF J14 UNNAMED_5_GDDR5_I338_VREFC
VREFC VSS_K14 K14
VSS_L10 L10 VSS_L10 L10 VSS_L5 L5 VSS_L5 L5
VSS_P10 P10 VSS_P10 P10 VSS_L10 L10 VSS_L10 L10
4
ADBIC0 J4 ABI# VSS_T5 T5 4
ADBIC1 J4 ABI# VSS_T5 T5 VSS_P10 P10 VSS_P10 P10
IN IN
VSS_T10 T10 VSS_T10 T10 4
ADBID0 J4 ABI# VSS_T5 T5 4
ADBID1 J4 ABI# VSS_T5 T5
IN IN
VSS_T10 T10 VSS_T10 T10

+MVDD +MVDD +MVDD


+MVDD
C2410

C2411

C2412

C2413

C2414

C2415

C2416

C2417

C2418

C2419

C2510

C2511

C2512

C2513

C2514

C2515

C2516

C2517

C2518

C2519

C2610

C2611

C2612

C2613

C2614

C2615

C2616

C2617

C2618

C2619

C2710

C2711

C2712

C2713

C2714

C2715

C2716

C2717

C2718

C2719
0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF
10uF

10uF

10uF

10uF

10uF

10uF

10uF

10uF

A A

+MVDD +MVDD
+MVDD +MVDD
C2420

C2421

C2422

C2423

C2424

C2425

C2426

C2427

C2428

C2520

C2521

C2522

C2523

C2524

C2525

C2526

C2527

C2528

C2620

C2621

C2622

C2623

C2624

C2625

C2626

C2627

C2628

C2720

C2721

C2722

C2723

C2724

C2725

C2726

C2727

C2728

Micro-Star International Co., LTD.


<Title>
1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF
10uF

10uF

10uF

10uF

MS-V308
Size Document Number Rev
Custom <Doc> 1.0

Date: Tuesday, October 29, 2013 Sheet 5 of 26

8 7 6 5 4 3 2 1
8 7 6 5 4 3 2 1

(6) HAWAII Memory Channel E&F

U1G U1H

SYMBOL 7 SYMBOL 8

D 7
0 0 DQE0_<0> AC2 DQE0_0 DQE1_0 AJ14 DQE1_<0> 0
7 7
0 DQF0_<0> AL16 DQF0_0 DQF1_0 BK11 DQF1_<0> 0
7 D
DQE0_<0> DQE1_<0> DQF0_<0> DQF1_<0>
7
1 1 DQE0_<1> AC5 DQE0_1 DQE1_1 AG16 DQE1_<1> 1
7 7
1 DQF0_<1> AT16 DQF0_1 DQF1_1 BJ10 DQF1_<1> 1
7
DQE0_<1> DQE1_<1> DQF0_<1> DQF1_<1>
7
2 2 DQE0_<2> AD4 DQE0_2 DQE1_2 AL13 DQE1_<2> 2
7 7
2 DQF0_<2> AP17 DQF0_2 DQF1_2 BP7 DQF1_<2> 2
7
DQE0_<2> DQE1_<2> DQF0_<2> DQF1_<2>
7
3 3 DQE0_<3> AC3 DQE0_3 DQE1_3 AG15 DQE1_<3> 3
7 7
3 DQF0_<3> AL17 DQF0_3 DQF1_3 BK10 DQF1_<3> 3
7
DQE0_<3> DQE1_<3> DQF0_<3> DQF1_<3>
7
4 4 DQE0_<4> AE4 DQE0_4 DQE1_4 AK15 DQE1_<4> 4
7 7
4 DQF0_<4> AT14 DQF0_4 DQF1_4 BK8 DQF1_<4> 4
7
DQE0_<4> DQE1_<4> DQF0_<4> DQF1_<4>
7
5 5 DQE0_<5> AD3 DQE0_5 DQE1_5 AH16 DQE1_<5> 5
7 7
5 DQF0_<5> AN16 DQF0_5 DQF1_5 BH11 DQF1_<5> 5
7
DQE0_<5> DQE1_<5> DQF0_<5> DQF1_<5>
7
6 6 DQE0_<6> AF5 DQE0_6 DQE1_6 AH17 DQE1_<6> 6
7 7
6 DQF0_<6> AU13 DQF0_6 DQF1_6 BP5 DQF1_<6> 6
7
DQE0_<6> DQE1_<6> DQF0_<6> DQF1_<6>
7
7 7 DQE0_<7> AE2 DQE0_7 DQE1_7 AL14 DQE1_<7> 7
7 7
7 DQF0_<7> AR15 DQF0_7 DQF1_7 BL7 DQF1_<7> 7
7
DQE0_<7> DQE1_<7> DQF0_<7> DQF1_<7>
7
8 8 DQE0_<8> AG4 DQE0_8 DQE1_8 AP14 DQE1_<8> 8
7 7
8 DQF0_<8> BC13 DQF0_8 DQF1_8 BG14 DQF1_<8> 8
7
DQE0_<8> DQE1_<8> DQF0_<8> DQF1_<8>
7
9 9 DQE0_<9> AG3 DQE0_9 DQE1_9 AN15 DQE1_<9> 9
7 7
9 DQF0_<9> BC12 DQF0_9 DQF1_9 BH14 DQF1_<9> 9
7
DQE0_<9> DQE1_<9> DQF0_<9> DQF1_<9>
7
10 10 DQE0_<10> AG2 DQE0_10 DQE1_10 AV13 DQE1_<10> 10
7 7
10 DQF0_<10> BD11 DQF0_10 DQF1_10 BK14 DQF1_<10> 10
7
DQE0_<10> DQE1_<10> DQF0_<10> DQF1_<10>
7
11 11 DQE0_<11> AF4 DQE0_11 DQE1_11 AT13 DQE1_<11> 11
7 7
11 DQF0_<11> AV16 DQF0_11 DQF1_11 BK17 DQF1_<11> 11
7
DQE0_<11> DQE1_<11> DQF0_<11> DQF1_<11>
7
12 12 DQE0_<12> AJ2 DQE0_12 DQE1_12 AP13 DQE1_<12> 12
7 7
12 DQF0_<12> AY15 DQF0_12 DQF1_12 BG17 DQF1_<12> 12
7
DQE0_<12> DQE1_<12> DQF0_<12> DQF1_<12>
7
13 13 DQE0_<13> AJ5 DQE0_13 DQE1_13 AT11 DQE1_<13> 13
7 7
13 DQF0_<13> AV14 DQF0_13 DQF1_13 BG16 DQF1_<13> 13
7
DQE0_<13> DQE1_<13> DQF0_<13> DQF1_<13>
7
14 14 DQE0_<14> AJ3 DQE0_14 DQE1_14 AP11 DQE1_<14> 14
7 7
14 DQF0_<14> BA14 DQF0_14 DQF1_14 BH17 DQF1_<14> 14
7
DQE0_<14> DQE1_<14> DQF0_<14> DQF1_<14>
7
15 15 DQE0_<15> AJ4 DQE0_15 DQE1_15 AU12 DQE1_<15> 15
7 7
15 DQF0_<15> AY13 DQF0_15 DQF1_15 BG19 DQF1_<15> 15
7
DQE0_<15> DQE1_<15> DQF0_<15> DQF1_<15>
7
16 16 DQE0_<16> AC10 DQE0_16 DQE1_16 AL11 DQE1_<16> 16
7 7
16 DQF0_<16> AV11 DQF0_16 DQF1_16 BD16 DQF1_<16> 16
7
DQE0_<16> DQE1_<16> DQF0_<16> DQF1_<16>
7
17 17 DQE0_<17> AC7 DQE0_17 DQE1_17 AK12 DQE1_<17> 17
7 7
17 DQF0_<17> BA10 DQF0_17 DQF1_17 BE17 DQF1_<17> 17
7
DQE0_<17> DQE1_<17> DQF0_<17> DQF1_<17>
7
18 18 DQE0_<18> AD9 DQE0_18 DQE1_18 AN10 DQE1_<18> 18
7 7
18 DQF0_<18> BC7 DQF0_18 DQF1_18 BD14 DQF1_<18> 18
7
DQE0_<18> DQE1_<18> DQF0_<18> DQF1_<18>
7
19 19 DQE0_<19> AD7 DQE0_19 DQE1_19 AN9 DQE1_<19> 19
7 7
19 DQF0_<19> BC9 DQF0_19 DQF1_19 BC16 DQF1_<19> 19
7
DQE0_<19> DQE1_<19> DQF0_<19> DQF1_<19>
7
20 20 DQE0_<20> AG9 DQE0_20 DQE1_20 AP8 DQE1_<20> 20
7 7
20 DQF0_<20> AY12 DQF0_20 DQF1_20 BF13 DQF1_<20> 20
7
DQE0_<20> DQE1_<20> DQF0_<20> DQF1_<20>
7
21 21 DQE0_<21> AF8 DQE0_21 DQE1_21 AP7 DQE1_<21> 21
7 7
21 DQF0_<21> BC10 DQF0_21 DQF1_21 BG13 DQF1_<21> 21
7
DQE0_<21> DQE1_<21> DQF0_<21> DQF1_<21>
7
22 22 DQE0_<22> AG10 DQE0_22 DQE1_22 AR10 DQE1_<22> 22
7 7
22 DQF0_<22> AY10 DQF0_22 DQF1_22 BD17 DQF1_<22> 22
7
DQE0_<22> DQE1_<22> DQF0_<22> DQF1_<22>
7
23 23 DQE0_<23> AG7 DQE0_23 DQE1_23 AR9 DQE1_<23> 23
7 7
23 DQF0_<23> BD10 DQF0_23 DQF1_23 BG11 DQF1_<23> 23
7
DQE0_<23> DQE1_<23> DQF0_<23> DQF1_<23>
7
24 24 DQE0_<24> AH10 DQE0_24 DQE1_24 AV10 DQE1_<24> 24
7 7
24 DQF0_<24> BF7 DQF0_24 DQF1_24 BG22 DQF1_<24> 24
7
DQE0_<24> DQE1_<24> DQF0_<24> DQF1_<24>
7
25 25 DQE0_<25> AJ10 DQE0_25 DQE1_25 AT8 DQE1_<25> 25
7 7
25 DQF0_<25> BF9 DQF0_25 DQF1_25 BG21 DQF1_<25> 25
7
DQE0_<25> DQE1_<25> DQF0_<25> DQF1_<25>
7
26 26 DQE0_<26> AJ8 DQE0_26 DQE1_26 AU9 DQE1_<26> 26
7 7
26 DQF0_<26> BM3 DQF0_26 DQF1_26 BK22 DQF1_<26> 26
7
DQE0_<26> DQE1_<26> DQF0_<26> DQF1_<26>
7
27 27 DQE0_<27> AL7 DQE0_27 DQE1_27 AY7 DQE1_<27> 27
7 7
27 DQF0_<27> BK6 DQF0_27 DQF1_27 BG20 DQF1_<27> 27
7
DQE0_<27> DQE1_<27> DQF0_<27> DQF1_<27>
7
28 28 DQE0_<28> AJ7 DQE0_28 DQE1_28 AT10 DQE1_<28> 28
7 7
28 DQF0_<28> BJ7 DQF0_28 DQF1_28 BK20 DQF1_<28> 28
7
DQE0_<28> DQE1_<28> DQF0_<28> DQF1_<28>
7
29 29 DQE0_<29> AL10 DQE0_29 DQE1_29 AU7 DQE1_<29> 29
7 7
29 DQF0_<29> BK3 DQF0_29 DQF1_29 BJ23 DQF1_<29> 29
7
DQE0_<29> DQE1_<29> DQF0_<29> DQF1_<29>
7
30 30 DQE0_<30> AK7 DQE0_30 DQE1_30 AY9 DQE1_<30> 30
7 7
30 DQF0_<30> BG7 DQF0_30 DQF1_30 BH22 DQF1_<30> 30
7
DQE0_<30> DQE1_<30> DQF0_<30> DQF1_<30>
7
31 31 DQE0_<31> AL8 DQE0_31 DQE1_31 AV8 DQE1_<31> 31
7 7
31 DQF0_<31> BG8 DQF0_31 DQF1_31 BH20 DQF1_<31> 31
7
DQE0_<31> DQE1_<31> DQF0_<31> DQF1_<31>
0 MAE0_<0> AN2 MAE0_0 MAE1_0 AU5 MAE1_<0> 0 0 MAF0_<0> BF3 MAF0_0 MAF1_0 BP11 MAF1_<0> 0
MAE0_<0> MAE1_<0> MAF0_<0> MAF1_<0>
1 1 MAE0_<1> AN5 MAE0_1 MAE1_1 AV2 MAE1_<1> 1 1 1 MAF0_<1> BE4 MAF0_1 MAF1_1 BN12 MAF1_<1> 1
MAE0_<1> MAE1_<1> MAF0_<1> MAF1_<1>
2 2 MAE0_<2> AM4 MAE0_2 MAE1_2 AV3 MAE1_<2> 2 2 2 MAF0_<2> BD5 MAF0_2 MAF1_2 BM13 MAF1_<2> 2
MAE0_<2> MAE1_<2> MAF0_<2> MAF1_<2>
C 3 3 MAE0_<3> AN3 MAE0_3 MAE1_3 AV4 MAE1_<3> 3 3 3 MAF0_<3> BE2 MAF0_3 MAF1_3 BR12 MAF1_<3> 3
C
MAE0_<3> MAE1_<3> MAF0_<3> MAF1_<3>
4 4 MAE0_<4> AM2 MAE0_4 MAE1_4 AV5 MAE1_<4> 4 4 4 MAF0_<4> BD3 MAF0_4 MAF1_4 BP13 MAF1_<4> 4
MAE0_<4> MAE1_<4> MAF0_<4> MAF1_<4>
5 5 MAE0_<5> AL5 MAE0_5 MAE1_5 AW2 MAE1_<5> 5 5 5 MAF0_<5> BC4 MAF0_5 MAF1_5 BN14 MAF1_<5> 5
MAE0_<5> MAE1_<5> MAF0_<5> MAF1_<5>
6 6 MAE0_<6> AP5 MAE0_6 MAE1_6 AU2 MAE1_<6> 6 6 6 MAF0_<6> BF4 MAF0_6 MAF1_6 BN11 MAF1_<6> 6
MAE0_<6> MAE1_<6> MAF0_<6> MAF1_<6>
7 7 MAE0_<7> AP3 MAE0_7 MAE1_7 AU4 MAE1_<7> 7 7 7 MAF0_<7> BF2 MAF0_7 MAF1_7 BR11 MAF1_<7> 7
MAE0_<7> MAE1_<7> MAF0_<7> MAF1_<7>
8 8 MAE0_<8> AN4 MAE0_8 MAE1_8 AU3 MAE1_<8> 8 8 8 MAF0_<8> BF5 MAF0_8 MAF1_8 BM11 MAF1_<8> 8
MAE0_<8> MAE1_<8> MAF0_<8> MAF1_<8>
AK3 MAE0_9 MAE1_9 AY2 BB4 MAF0_9 MAF1_9 BN15

7
WCKE0_0 AF3 WCKE0_0 WCKE1_0 AN13 WCKE1_0
7 7
WCKF0_0 AU15 WCKF0_0 WCKF1_0 BK13 WCKF1_0
7
OUT OUT OUT OUT
7
WCKE0B_0 AF2 WCKE0B_0 WCKE1B_0 AN12 WCKE1B_0
7 7
WCKF0B_0 AU16 WCKF0B_0 WCKF1B_0 BJ13 WCKF1B_0
7
OUT OUT OUT OUT

7
WCKE0_1 AH8 WCKE0_1 WCKE1_1 AR7 WCKE1_1
7 7
WCKF0_1 BD7 WCKF0_1 WCKF1_1 BJ19 WCKF1_1
7
OUT OUT OUT OUT
7
WCKE0B_1 AH7 WCKE0B_1 WCKE1B_1 AT7 WCKE1B_1
7 7
WCKF0B_1 BD8 WCKF0B_1 WCKF1B_1 BK19 WCKF1B_1
7
OUT OUT OUT OUT

7
EDCE0_0 AD2 EDCE0_0 EDCE1_0 AJ16 EDCE1_0
7 7
EDCF0_0 AR16 EDCF0_0 EDCF1_0 BM8 EDCF1_0
7
IN IN IN IN
7
EDCE0_1 AH3 EDCE0_1 EDCE1_1 AR13 EDCE1_1
7 7
EDCF0_1 BA13 EDCF0_1 EDCF1_1 BJ16 EDCF1_1
7
IN IN IN IN
7
EDCE0_2 AD10 EDCE0_2 EDCE1_2 AP10 EDCE1_2
7 7
EDCF0_2 BA8 EDCF0_2 EDCF1_2 BF16 EDCF1_2
7
IN IN IN IN
7
EDCE0_3 AK9 EDCE0_3 EDCE1_3 AV7 EDCE1_3
7 7
EDCF0_3 BK1 EDCF0_3 EDCF1_3 BJ21 EDCF1_3
7
IN IN IN IN

7
DDBIE0_0 AD5 DDBIE0_0 DDBIE1_0 AJ17 DDBIE1_0
7 7
DDBIF0_0 AP16 DDBIF0_0 DDBIF1_0 BT7 DDBIF1_0
7
BI BI BI BI
7
DDBIE0_1 AH5 DDBIE0_1 DDBIE1_1 AR12 DDBIE1_1
7 7
DDBIF0_1 BA11 DDBIF0_1 DDBIF1_1 BK16 DDBIF1_1
7
BI BI BI BI
7
DDBIE0_2 AF7 DDBIE0_2 DDBIE1_2 AN7 DDBIE1_2
7 7
DDBIF0_2 BA7 DDBIF0_2 DDBIF1_2 BE14 DDBIF1_2
7
BI BI BI BI
7
DDBIE0_3 AK10 DDBIE0_3 DDBIE1_3 AU10 DDBIE1_3
7 7
DDBIF0_3 BJ5 DDBIF0_3 DDBIF1_3 BK21 DDBIF1_3
7
BI BI BI BI

7
ADBIE0 AP4 ADBIE0 ADBIE1 AT3 ADBIE1
7 7
ADBIF0 BG5 ADBIF0 ADBIF1 BM10 ADBIF1
7
OUT OUT OUT OUT

7
CSE0B_0 AK4 CSE0B_0 CSE1B_0 AY4 CSE1B_0
7 7
CSF0B_0 BB2 CSF0B_0 CSF1B_0 BR15 CSF1B_0
7
OUT OUT OUT OUT
AK2 CSE0B_1 CSE1B_1 BA3 BA5 CSF0B_1 CSF1B_1 BM16

7
CASE0B AP2 CASE0B CASE1B AT5 CASE1B
7 7
CASF0B BG3 CASF0B CASF1B BP10 CASF1B
7
OUT OUT OUT OUT
7
RASE0B AR5 RASE0B RASE1B AR2 RASE1B
7 7
RASF0B BJ3 RASF0B RASF1B BP8 RASF1B
7
OUT OUT OUT OUT
B 7
WEE0B AL3 WEE0B WEE1B AW4 WEE1B
7 7
WEF0B BC2 WEF0B WEF1B BR14 WEF1B
7 B
OUT OUT OUT OUT
+MVDD +MVDD
7
CKEE0 AR3 CKEE0 CKEE1 AR4 CKEE1
7 7
CKEF0 BH4 CKEF0 CKEF1 BN9 CKEF1
7
OUT OUT OUT OUT
1

1
7
CLKE0 AL2 CLKE0 CLKE1 AY3 CLKE1
7 7
CLKF0 BC3 CLKF0 CLKF1 BM14 CLKF1
7
OUT OUT OUT OUT
7
CLKE0B AL4 CLKE0B CLKE1B AY5 CLKE1B
R3641
7 7
CLKF0B BC5 CLKF0B CLKF1B BP14 CLKF1B
7 R3635
OUT OUT 40.2R OUT OUT 40.2R
1 2

1 2
MVREFDE AK17 MVREFD_E
MVREFDF AT17 MVREFD_F

R3642 R3636
100R +MVDD 100R +MVDD
C3642 C3640
1uF 1uF
2

2
1

1
MVREFD/S =0.7* MVREFD/S =0.7*

R3643 R3637
40.2R 40.2R
1 2

1 2
MVREFE AN18 MVREFS_E
MVREFF AV20
HAWAII REV 0.53
HAWAII REV 0.53 R3644
UNNAMED_33_CAP_I231_A
R3638
HAWAII - REV 0.50 100R HAWAII - REV 0.50 100R
C3644 C3641
1uF 1uF
2

2
A A

Micro-Star International Co., LTD.


<Title>
MS-V308
Size Document Number Rev
Custom <Doc> 1.0

Date: Tuesday, October 29, 2013 Sheet 6 of 26

8 7 6 5 4 3 2 1
8 7 6 5 4 3 2 1

(7) GDDR5 Memory Channel E&F

U2800 +MVDD +MVDD +MVDD +MVDD


U2900 U3000 U3100

6
0 0 DQE0_<0> DQE0_<15> M2 DQ31__DQ7 VDDQ_B1 B1 6
0 DQE1_<0> DQE1_<19> M2 DQ31__DQ7 VDDQ_B1 B1 6
0 DQF0_<0> DQF0_<31> M2 DQ31__DQ7 VDDQ_B1 B1 6 DQF1_<0> DQF1_<16> M2 DQ31__DQ7 VDDQ_B1 B1
DQE0_<0> 15 DQE1_<0> 19 DQF0_<0> 31 DQF1_<0> 16

6
1 1 DQE0_<1> DQE0_<14> M4 DQ30__DQ6 VDDQ_B3 B3 6
1 DQE1_<1> DQE1_<21> M4 DQ30__DQ6 VDDQ_B3 B3 6
1 DQF0_<1> DQF0_<25> M4 DQ30__DQ6 VDDQ_B3 B3 6 DQF1_<1> DQF1_<17> M4 DQ30__DQ6 VDDQ_B3 B3
DQE0_<1> 14 DQE1_<1> 21 DQF0_<1> 25 DQF1_<1> 17

6
2 2 DQE0_<2> DQE0_<13> N2 DQ29__DQ5 VDDQ_B12 B12 6
2 DQE1_<2> DQE1_<18> N2 DQ29__DQ5 VDDQ_B12 B12 6
2 DQF0_<2> DQF0_<30> N2 DQ29__DQ5 VDDQ_B12 B12 6 DQF1_<2> DQF1_<19> N2 DQ29__DQ5 VDDQ_B12 B12
DQE0_<2> 13 DQE1_<2> 18 DQF0_<2> 30 DQF1_<2> 19

6
3 3 DQE0_<3> DQE0_<12> N4 DQ28__DQ4 VDDQ_B14 B14 6
3 DQE1_<3> DQE1_<20> N4 DQ28__DQ4 VDDQ_B14 B14 6
3 DQF0_<3> DQF0_<24> N4 DQ28__DQ4 VDDQ_B14 B14 6 DQF1_<3> DQF1_<22> N4 DQ28__DQ4 VDDQ_B14 B14
DQE0_<3> 12 DQE1_<3> 20 DQF0_<3> 24 DQF1_<3> 22

6
4 4 DQE0_<4> DQE0_<8> T2 DQ27__DQ3 VDDQ_D1 D1 6
4 DQE1_<4> DQE1_<16> T2 DQ27__DQ3 VDDQ_D1 D1 6
4 DQF0_<4> DQF0_<27> T2 DQ27__DQ3 VDDQ_D1 D1 6 DQF1_<4> DQF1_<21> T2 DQ27__DQ3 VDDQ_D1 D1
DQE0_<4> 8 DQE1_<4> 16 DQF0_<4> 27 DQF1_<4> 21

6
5 5 DQE0_<5> DQE0_<9> T4 DQ26__DQ2 VDDQ_D3 D3 6
5 DQE1_<5> DQE1_<22> T4 DQ26__DQ2 VDDQ_D3 D3 6
5 DQF0_<5> DQF0_<28> T4 DQ26__DQ2 VDDQ_D3 D3 6 DQF1_<5> DQF1_<18> T4 DQ26__DQ2 VDDQ_D3 D3
DQE0_<5> 9 DQE1_<5> 22 DQF0_<5> 28 DQF1_<5> 18

6
6 6 DQE0_<6> DQE0_<10> V2 DQ25__DQ1 VDDQ_D12 D12 6
6 DQE1_<6> DQE1_<17> V2 DQ25__DQ1 VDDQ_D12 D12 6
6 DQF0_<6> DQF0_<26> V2 DQ25__DQ1 VDDQ_D12 D12 6 DQF1_<6> DQF1_<23> V2 DQ25__DQ1 VDDQ_D12 D12
DQE0_<6> 10 DQE1_<6> 17 DQF0_<6> 26 DQF1_<6> 23

6
7 7 DQE0_<7> DQE0_<11> V4 DQ24__DQ0 VDDQ_D14 D14 6
7 DQE1_<7> DQE1_<23> V4 DQ24__DQ0 VDDQ_D14 D14 6
7 DQF0_<7> DQF0_<29> V4 DQ24__DQ0 VDDQ_D14 D14 6 DQF1_<7> DQF1_<20> V4 DQ24__DQ0 VDDQ_D14 D14
DQE0_<7> 11 DQE1_<7> 23 DQF0_<7> 29 DQF1_<7> 20

6
8 8 DQE0_<8> DQE0_<6> M13 DQ23__DQ15 VDDQ_E5 E5 6
8 DQE1_<8> DQE1_<31> M13 DQ23__DQ15 VDDQ_E5 E5 6
8 DQF0_<8> DQF0_<16> M13 DQ23__DQ15 VDDQ_E5 E5 6 DQF1_<8> DQF1_<28> M13 DQ23__DQ15 VDDQ_E5 E5
DQE0_<8> 6 DQE1_<8> 31 DQF0_<8> 16 DQF1_<8> 28

6
9 9 DQE0_<9> DQE0_<7> M11 DQ22__DQ14 VDDQ_E10 E10 6
9 DQE1_<9> DQE1_<26> M11 DQ22__DQ14 VDDQ_E10 E10 6
9 DQF0_<9> DQF0_<22> M11 DQ22__DQ14 VDDQ_E10 E10 6 DQF1_<9> DQF1_<31> M11 DQ22__DQ14 VDDQ_E10 E10
DQE0_<9> 7 DQE1_<9> 26 DQF0_<9> 22 DQF1_<9> 31

6
10 10 DQE0_<10> DQE0_<5> N13 DQ21__DQ13 VDDQ_F1 F1 6
10 DQE1_<10> DQE1_<24> N13 DQ21__DQ13 VDDQ_F1 F1 6
10 DQF0_<10> DQF0_<20> N13 DQ21__DQ13 VDDQ_F1 F1 6 DQF1_<10>
10 DQF1_<25> N13 DQ21__DQ13 VDDQ_F1 F1
DQE0_<10> 5 DQE1_<10> 24 DQF0_<10> 20 DQF1_<10> 25

D 6
11 11 DQE0_<11> DQE0_<4> N11 DQ20__DQ12 VDDQ_F3 F3 6
11 DQE1_<11> DQE1_<29> N11 DQ20__DQ12 VDDQ_F3 F3 6
11 DQF0_<11> DQF0_<17> N11 DQ20__DQ12 VDDQ_F3 F3 6 DQF1_<11>
11 DQF1_<27> N11 DQ20__DQ12 VDDQ_F3 F3 D
DQE0_<11> 4 DQE1_<11> 29 DQF0_<11> 17 DQF1_<11> 27

6
12 12 DQE0_<12> DQE0_<0> T13 DQ19__DQ11 VDDQ_F12 F12 6
12 DQE1_<12> DQE1_<27> T13 DQ19__DQ11 VDDQ_F12 F12 6
12 DQF0_<12> DQF0_<18> T13 DQ19__DQ11 VDDQ_F12 F12 6 DQF1_<12>
12 DQF1_<26> T13 DQ19__DQ11 VDDQ_F12 F12
DQE0_<12> 0 DQE1_<12> 27 DQF0_<12> 18 DQF1_<12> 26

6
13 13 DQE0_<13> DQE0_<3> T11 DQ18__DQ10 VDDQ_F14 F14 6
13 DQE1_<13> DQE1_<28> T11 DQ18__DQ10 VDDQ_F14 F14 6
13 DQF0_<13> DQF0_<19> T11 DQ18__DQ10 VDDQ_F14 F14 6 DQF1_<13>
13 DQF1_<30> T11 DQ18__DQ10 VDDQ_F14 F14
DQE0_<13> 3 DQE1_<13> 28 DQF0_<13> 19 DQF1_<13> 30

6
14 14 DQE0_<14> DQE0_<2> V13 DQ17__DQ9 VDDQ_G2 G2 6
14 DQE1_<14> DQE1_<30> V13 DQ17__DQ9 VDDQ_G2 G2 6
14 DQF0_<14> DQF0_<21> V13 DQ17__DQ9 VDDQ_G2 G2 6 DQF1_<14>
14 DQF1_<24> V13 DQ17__DQ9 VDDQ_G2 G2
DQE0_<14> 2 DQE1_<14> 30 DQF0_<14> 21 DQF1_<14> 24

6
15 15 DQE0_<15> DQE0_<1> V11 DQ16__DQ8 VDDQ_G13 G13 6
15 DQE1_<15> DQE1_<25> V11 DQ16__DQ8 VDDQ_G13 G13 6
15 DQF0_<15> DQF0_<23> V11 DQ16__DQ8 VDDQ_G13 G13 6 DQF1_<15>
15 DQF1_<29> V11 DQ16__DQ8 VDDQ_G13 G13
DQE0_<15> 1 DQE1_<15> 25 DQF0_<15> 23 DQF1_<15> 29

6
16 16 DQE0_<16> DQE0_<21> F13 DQ15__DQ23 VDDQ_H3 H3 6
16 DQE1_<16> DQE1_<14> F13 DQ15__DQ23 VDDQ_H3 H3 6
16 DQF0_<16> DQF0_<1> F13 DQ15__DQ23 VDDQ_H3 H3 6 DQF1_<16>
16 DQF1_<15> F13 DQ15__DQ23 VDDQ_H3 H3
DQE0_<16> 21 DQE1_<16> 14 DQF0_<16> 1 DQF1_<16> 15

6
17 17 DQE0_<17> DQE0_<20> F11 DQ14__DQ22 VDDQ_H12 H12 6
17 DQE1_<17> DQE1_<9> F11 DQ14__DQ22 VDDQ_H12 H12 6
17 DQF0_<17> DQF0_<6> F11 DQ14__DQ22 VDDQ_H12 H12 6 DQF1_<17>
17 DQF1_<8> F11 DQ14__DQ22 VDDQ_H12 H12
DQE0_<17> 20 DQE1_<17> 9 DQF0_<17> 6 DQF1_<17> 8

6
18 18 DQE0_<18> DQE0_<22> E13 DQ13__DQ21 VDDQ_K3 K3 6
18 DQE1_<18> DQE1_<12> E13 DQ13__DQ21 VDDQ_K3 K3 6
18 DQF0_<18> DQF0_<7> E13 DQ13__DQ21 VDDQ_K3 K3 6 DQF1_<18>
18 DQF1_<12> E13 DQ13__DQ21 VDDQ_K3 K3
DQE0_<18> 22 DQE1_<18> 12 DQF0_<18> 7 DQF1_<18> 12

6
19 19 DQE0_<19> DQE0_<23> E11 DQ12__DQ20 VDDQ_K12 K12 6
19 DQE1_<19> DQE1_<8> E11 DQ12__DQ20 VDDQ_K12 K12 6
19 DQF0_<19> DQF0_<4> E11 DQ12__DQ20 VDDQ_K12 K12 6 DQF1_<19>
19 DQF1_<10> E11 DQ12__DQ20 VDDQ_K12 K12
DQE0_<19> 23 DQE1_<19> 8 DQF0_<19> 4 DQF1_<19> 10

6
20 20 DQE0_<20> DQE0_<19> B13 DQ11__DQ19 VDDQ_L2 L2 6
20 DQE1_<20> DQE1_<15> B13 DQ11__DQ19 VDDQ_L2 L2 6
20 DQF0_<20> DQF0_<5> B13 DQ11__DQ19 VDDQ_L2 L2 6 DQF1_<20>
20 DQF1_<14> B13 DQ11__DQ19 VDDQ_L2 L2
DQE0_<20> 19 DQE1_<20> 15 DQF0_<20> 5 DQF1_<20> 14

6
21 21 DQE0_<21> DQE0_<18> B11 DQ10__DQ18 VDDQ_L13 L13 6
21 DQE1_<21> DQE1_<11> B11 DQ10__DQ18 VDDQ_L13 L13 6
21 DQF0_<21> DQF0_<2> B11 DQ10__DQ18 VDDQ_L13 L13 6 DQF1_<21>
21 DQF1_<9> B11 DQ10__DQ18 VDDQ_L13 L13
DQE0_<21> 18 DQE1_<21> 11 DQF0_<21> 2 DQF1_<21> 9

6
22 22 DQE0_<22> DQE0_<16> A13 DQ9__DQ17 VDDQ_M1 M1 6
22 DQE1_<22> DQE1_<10> A13 DQ9__DQ17 VDDQ_M1 M1 6
22 DQF0_<22> DQF0_<3> A13 DQ9__DQ17 VDDQ_M1 M1 6 DQF1_<22>
22 DQF1_<11> A13 DQ9__DQ17 VDDQ_M1 M1
DQE0_<22> 16 DQE1_<22> 10 DQF0_<22> 3 DQF1_<22> 11

6
23 23 DQE0_<23> DQE0_<17> A11 DQ8__DQ16 VDDQ_M3 M3 6
23 DQE1_<23> DQE1_<13> A11 DQ8__DQ16 VDDQ_M3 M3 6
23 DQF0_<23> DQF0_<0> A11 DQ8__DQ16 VDDQ_M3 M3 6 DQF1_<23>
23 DQF1_<13> A11 DQ8__DQ16 VDDQ_M3 M3
DQE0_<23> 17 DQE1_<23> 13 DQF0_<23> 0 DQF1_<23> 13

6
24 24 DQE0_<24> DQE0_<30> F2 DQ7__DQ31 VDDQ_M12 M12 6
24 DQE1_<24> DQE1_<1> F2 DQ7__DQ31 VDDQ_M12 M12 6
24 DQF0_<24> DQF0_<12> F2 DQ7__DQ31 VDDQ_M12 M12 6 DQF1_<24>
24 DQF1_<6> F2 DQ7__DQ31 VDDQ_M12 M12
DQE0_<24> 30 DQE1_<24> 1 DQF0_<24> 12 DQF1_<24> 6

6
25 25 DQE0_<25> DQE0_<26> F4 DQ6__DQ30 VDDQ_M14 M14 6
25 DQE1_<25> DQE1_<3> F4 DQ6__DQ30 VDDQ_M14 M14 6
25 DQF0_<25> DQF0_<11> F4 DQ6__DQ30 VDDQ_M14 M14 6 DQF1_<25>
25 DQF1_<7> F4 DQ6__DQ30 VDDQ_M14 M14
DQE0_<25> 26 DQE1_<25> 3 DQF0_<25> 11 DQF1_<25> 7

6
26 26 DQE0_<26> DQE0_<29> E2 DQ5__DQ29 VDDQ_N5 N5 6
26 DQE1_<26> DQE1_<6> E2 DQ5__DQ29 VDDQ_N5 N5 6
26 DQF0_<26> DQF0_<15> E2 DQ5__DQ29 VDDQ_N5 N5 6 DQF1_<26>
26 DQF1_<2> E2 DQ5__DQ29 VDDQ_N5 N5
DQE0_<26> 29 DQE1_<26> 6 DQF0_<26> 15 DQF1_<26> 2

6
27 27 DQE0_<27> DQE0_<28> E4 DQ4__DQ28 VDDQ_N10 N10 6
27 DQE1_<27> DQE1_<5> E4 DQ4__DQ28 VDDQ_N10 N10 6
27 DQF0_<27> DQF0_<13> E4 DQ4__DQ28 VDDQ_N10 N10 6 DQF1_<27>
27 DQF1_<4> E4 DQ4__DQ28 VDDQ_N10 N10
DQE0_<27> 28 DQE1_<27> 5 DQF0_<27> 13 DQF1_<27> 4

6
28 28 DQE0_<28> DQE0_<31> B2 DQ3__DQ27 VDDQ_P1 P1 6
28 DQE1_<28> DQE1_<0> B2 DQ3__DQ27 VDDQ_P1 P1 6
28 DQF0_<28> DQF0_<9> B2 DQ3__DQ27 VDDQ_P1 P1 6 DQF1_<28>
28 DQF1_<0> B2 DQ3__DQ27 VDDQ_P1 P1
DQE0_<28> 31 DQE1_<28> 0 DQF0_<28> 9 DQF1_<28> 0

6
29 29 DQE0_<29> DQE0_<25> B4 DQ2__DQ26 VDDQ_P3 P3 6
29 DQE1_<29> DQE1_<7> B4 DQ2__DQ26 VDDQ_P3 P3 6
29 DQF0_<29> DQF0_<14> B4 DQ2__DQ26 VDDQ_P3 P3 6 DQF1_<29>
29 DQF1_<5> B4 DQ2__DQ26 VDDQ_P3 P3
DQE0_<29> 25 DQE1_<29> 7 DQF0_<29> 14 DQF1_<29> 5

6
30 30 DQE0_<30> DQE0_<27> A2 DQ1__DQ25 VDDQ_P12 P12 6
30 DQE1_<30> DQE1_<4> A2 DQ1__DQ25 VDDQ_P12 P12 6
30 DQF0_<30> DQF0_<8> A2 DQ1__DQ25 VDDQ_P12 P12 6 DQF1_<30>
30 DQF1_<1> A2 DQ1__DQ25 VDDQ_P12 P12
DQE0_<30> 27 DQE1_<30> 4 DQF0_<30> 8 DQF1_<30> 1

6
31 31 DQE0_<31> DQE0_<24> A4 DQ0__DQ24 VDDQ_P14 P14 6
31 DQE1_<31> DQE1_<2> A4 DQ0__DQ24 VDDQ_P14 P14 6
31 DQF0_<31> DQF0_<10> A4 DQ0__DQ24 VDDQ_P14 P14 6 DQF1_<31>
31 DQF1_<3> A4 DQ0__DQ24 VDDQ_P14 P14
DQE0_<31> 24 DQE1_<31> 2 DQF0_<31> 10 DQF1_<31> 3

VDDQ_T1 T1 VDDQ_T1 T1 VDDQ_T1 T1 VDDQ_T1 T1


VDDQ_T3 T3 VDDQ_T3 T3 VDDQ_T3 T3 VDDQ_T3 T3
VDDQ_T12 T12 VDDQ_T12 T12 VDDQ_T12 T12 VDDQ_T12 T12
VDDQ_T14 T14 VDDQ_T14 T14 VDDQ_T14 T14 VDDQ_T14 T14
+MVDD +MVDD +MVDD +MVDD
0 MAE0_<0> MAE0_<8> J5 RFU_A12_NC MAE1_<0> MAE1_<8> J5 RFU_A12_NC
0 MAF0_<0> MAF0_<8> J5 RFU_A12_NC MAF1_<0> MAF1_<8> J5 RFU_A12_NC
MAE0_<0> 8 MAE1_<0> 8 MAF0_<0> 8 MAF1_<0> 8
1 1 MAE0_<1> MAE0_<7> K4 A7_A8__A0_A10 VDD_C5 C5 MAE1_<1> MAE1_<0> K4 A7_A8__A0_A10 VDD_C5 C5 1 MAF0_<1> MAF0_<7> K4 A7_A8__A0_A10 VDD_C5 C5 MAF1_<1> MAF1_<0> K4 A7_A8__A0_A10 VDD_C5 C5
MAE0_<1> 7 MAE1_<1> 0 MAF0_<1> 7 MAF1_<1> 0
2 2 MAE0_<2> MAE0_<6> K5 A6_A11__A1_A9 VDD_C10 C10 MAE1_<2> MAE1_<1> K5 A6_A11__A1_A9 VDD_C10 C10 2 MAF0_<2> MAF0_<6> K5 A6_A11__A1_A9 VDD_C10 C10 MAF1_<2> MAF1_<1> K5 A6_A11__A1_A9 VDD_C10 C10
MAE0_<2> 6 MAE1_<2> 1 MAF0_<2> 6 MAF1_<2> 1
3 3 MAE0_<3> MAE0_<5> K10 A5_BA1__A3_BA3 VDD_D11 D11 MAE1_<3> MAE1_<3> K10 A5_BA1__A3_BA3 VDD_D11 D11 3 MAF0_<3> MAF0_<5> K10 A5_BA1__A3_BA3 VDD_D11 D11 MAF1_<3> MAF1_<3> K10 A5_BA1__A3_BA3 VDD_D11 D11
MAE0_<3> 5 MAE1_<3> 3 MAF0_<3> 5 MAF1_<3> 3
4 4 MAE0_<4> MAE0_<4> K11 A4_BA2__A2_BA0 VDD_G1 G1 MAE1_<4> MAE1_<2> K11 A4_BA2__A2_BA0 VDD_G1 G1 4 MAF0_<4> MAF0_<4> K11 A4_BA2__A2_BA0 VDD_G1 G1 MAF1_<4> MAF1_<2> K11 A4_BA2__A2_BA0 VDD_G1 G1
MAE0_<4> 4 MAE1_<4> 2 MAF0_<4> 4 MAF1_<4> 2
5 MAE0_<5> MAE0_<3> H10 A3_BA3__A5_BA1 VDD_G4 G4 MAE1_<5> MAE1_<5> H10 A3_BA3__A5_BA1 VDD_G4 G4 5 MAF0_<5> MAF0_<3> H10 A3_BA3__A5_BA1 VDD_G4 G4 MAF1_<5> MAF1_<5> H10 A3_BA3__A5_BA1 VDD_G4 G4
MAE0_<5> 3 MAE1_<5> 5 MAF0_<5> 3 MAF1_<5> 5
6 MAE0_<6> MAE0_<2> H11 A2_BA0__A4_BA2 VDD_G11 G11 MAE1_<6> MAE1_<4> H11 A2_BA0__A4_BA2 VDD_G11 G11 6 MAF0_<6> MAF0_<2> H11 A2_BA0__A4_BA2 VDD_G11 G11 MAF1_<6> MAF1_<4> H11 A2_BA0__A4_BA2 VDD_G11 G11
MAE0_<6> 2 MAE1_<6> 4 MAF0_<6> 2 MAF1_<6> 4
7 7 MAE0_<7> MAE0_<1> H5 A1_A9__A6_A11 VDD_G14 G14 MAE1_<7> MAE1_<6> H5 A1_A9__A6_A11 VDD_G14 G14 7 MAF0_<7> MAF0_<1> H5 A1_A9__A6_A11 VDD_G14 G14 MAF1_<7> MAF1_<6> H5 A1_A9__A6_A11 VDD_G14 G14
MAE0_<7> 1 MAE1_<7> 6 MAF0_<7> 1 MAF1_<7> 6
8 8 MAE0_<8> MAE0_<0> H4 A0_A10__A7_A8 VDD_L1 L1 MAE1_<8> MAE1_<7> H4 A0_A10__A7_A8 VDD_L1 L1 8 MAF0_<8> MAF0_<0> H4 A0_A10__A7_A8 VDD_L1 L1 MAF1_<8> MAF1_<7> H4 A0_A10__A7_A8 VDD_L1 L1
MAE0_<8> 0 MAE1_<8> 7 MAF0_<8> 0 MAF1_<8> 7

VDD_L4 L4 VDD_L4 L4 VDD_L4 L4 VDD_L4 L4


C VDD_L11 L11 VDD_L11 L11 VDD_L11 L11 VDD_L11 L11 C
VDD_L14 L14 VDD_L14 L14 VDD_L14 L14 VDD_L14 L14
6
WCKE0_1 D4 WCK01__WCK23 VDD_P11 P11 6
WCKE1_0 D4 WCK01__WCK23 VDD_P11 P11 6
WCKF0_0 D4 WCK01__WCK23 VDD_P11 P11 6
WCKF1_0 D4 WCK01__WCK23 VDD_P11 P11
IN IN IN IN
6
WCKE0B_1 D5 WCK01#__WCK23# VDD_R5 R5 6
WCKE1B_0 D5 WCK01#__WCK23# VDD_R5 R5 6
WCKF0B_0 D5 WCK01#__WCK23# VDD_R5 R5 6
WCKF1B_0 D5 WCK01#__WCK23# VDD_R5 R5
IN IN IN IN
VDD_R10 R10 VDD_R10 R10 VDD_R10 R10 VDD_R10 R10
6
WCKE0_0 P4 WCK23__WCK01 6
WCKE1_1 P4 WCK23__WCK01 6
WCKF0_1 P4 WCK23__WCK01 6
WCKF1_1 P4 WCK23__WCK01
IN IN IN IN
6
WCKE0B_0 P5 WCK23#__WCK01# 6
WCKE1B_1 P5 WCK23#__WCK01# 6
WCKF0B_1 P5 WCK23#__WCK01# 6
WCKF1B_1 P5 WCK23#__WCK01#
IN IN IN IN
VSSQ_A1 A1 VSSQ_A1 A1 VSSQ_A1 A1 VSSQ_A1 A1
6
EDCE0_1 R2 EDC3__EDC0 VSSQ_A3 A3 6
EDCE1_2 R2 EDC3__EDC0 VSSQ_A3 A3 6
EDCF0_3 R2 EDC3__EDC0 VSSQ_A3 A3 6
EDCF1_2 R2 EDC3__EDC0 VSSQ_A3 A3
OUT OUT OUT OUT
6
EDCE0_0 R13 EDC2__EDC1 VSSQ_A12 A12 6
EDCE1_3 R13 EDC2__EDC1 VSSQ_A12 A12 6
EDCF0_2 R13 EDC2__EDC1 VSSQ_A12 A12 6
EDCF1_3 R13 EDC2__EDC1 VSSQ_A12 A12
OUT OUT OUT OUT
6
EDCE0_2 C13 EDC1__EDC2 VSSQ_A14 A14 6
EDCE1_1 C13 EDC1__EDC2 VSSQ_A14 A14 6
EDCF0_0 C13 EDC1__EDC2 VSSQ_A14 A14 6
EDCF1_1 C13 EDC1__EDC2 VSSQ_A14 A14
OUT OUT OUT OUT
6
EDCE0_3 C2 EDC0__EDC3 VSSQ_C1 C1 6
EDCE1_0 C2 EDC0__EDC3 VSSQ_C1 C1 6
EDCF0_1 C2 EDC0__EDC3 VSSQ_C1 C1 6
EDCF1_0 C2 EDC0__EDC3 VSSQ_C1 C1
OUT OUT OUT OUT
VSSQ_C3 C3 VSSQ_C3 C3 VSSQ_C3 C3 VSSQ_C3 C3
6
DDBIE0_1 P2 DBI3#__DBI0# VSSQ_C4 C4 6
DDBIE1_2 P2 DBI3#__DBI0# VSSQ_C4 C4 6
DDBIF0_3 P2 DBI3#__DBI0# VSSQ_C4 C4 6
DDBIF1_2 P2 DBI3#__DBI0# VSSQ_C4 C4
BI BI BI BI
6
DDBIE0_0 P13 DBI2#__DBI1# VSSQ_C11 C11 6
DDBIE1_3 P13 DBI2#__DBI1# VSSQ_C11 C11 6
DDBIF0_2 P13 DBI2#__DBI1# VSSQ_C11 C11 6
DDBIF1_3 P13 DBI2#__DBI1# VSSQ_C11 C11
BI BI BI BI
6
DDBIE0_2 D13 DBI1#__DBI2# VSSQ_C12 C12 6
DDBIE1_1 D13 DBI1#__DBI2# VSSQ_C12 C12 6
DDBIF0_0 D13 DBI1#__DBI2# VSSQ_C12 C12 6
DDBIF1_1 D13 DBI1#__DBI2# VSSQ_C12 C12
BI BI BI BI
6
DDBIE0_3 D2 DBI0#__DBI3# VSSQ_C14 C14 6
DDBIE1_0 D2 DBI0#__DBI3# VSSQ_C14 C14 6
DDBIF0_1 D2 DBI0#__DBI3# VSSQ_C14 C14 6
DDBIF1_0 D2 DBI0#__DBI3# VSSQ_C14 C14
BI BI BI BI
VSSQ_E1 E1 VSSQ_E1 E1 VSSQ_E1 E1 VSSQ_E1 E1
VSSQ_E3 E3 VSSQ_E3 E3 VSSQ_E3 E3 VSSQ_E3 E3
VSSQ_E12 E12 VSSQ_E12 E12 VSSQ_E12 E12 VSSQ_E12 E12
6
RASE0B G3 RAS#__CAS# VSSQ_E14 E14 6
CASE1B G3 RAS#__CAS# VSSQ_E14 E14 6
RASF0B G3 RAS#__CAS# VSSQ_E14 E14 6
CASF1B G3 RAS#__CAS# VSSQ_E14 E14
IN IN IN IN
6
CASE0B L3 CAS#__RAS# VSSQ_F5 F5 6
RASE1B L3 CAS#__RAS# VSSQ_F5 F5 6
CASF0B L3 CAS#__RAS# VSSQ_F5 F5 6
RASF1B L3 CAS#__RAS# VSSQ_F5 F5
IN IN IN IN
VSSQ_F10 F10 VSSQ_F10 F10 VSSQ_F10 F10 VSSQ_F10 F10
VSSQ_H2 H2 VSSQ_H2 H2 VSSQ_H2 H2 VSSQ_H2 H2
6
CKEE0 J3 CKE# VSSQ_H13 H13 6
CKEE1 J3 CKE# VSSQ_H13 H13 6
CKEF0 J3 CKE# VSSQ_H13 H13 6
CKEF1 J3 CKE# VSSQ_H13 H13
IN +MVDD IN +MVDD IN +MVDD IN
6
CLKE0B J11 CK# VSSQ_K2 K2 6
CLKE1B J11 CK# VSSQ_K2 K2 6
CLKF0B J11 CK# VSSQ_K2 K2 6
CLKF1B J11 CK# VSSQ_K2 K2
+MVDD IN IN IN IN
6
CLKE0 J12 CK VSSQ_K13 K13 6
CLKE1 J12 CK VSSQ_K13 K13 6
CLKF0 J12 CK VSSQ_K13 K13 6
CLKF1 J12 CK VSSQ_K13 K13
IN IN IN IN
1
R2800 260.4R VSSQ_M5 M5 1
R2900 260.4R VSSQ_M5 M5 R3000 1 260.4R VSSQ_M5 M5 R31001 260.4R VSSQ_M5 M5
R28011 260.4R VSSQ_M10 M10 1
R2901 260.4R VSSQ_M10 M10 R3001 1 260.4R VSSQ_M10 M10 R31011 260.4R VSSQ_M10 M10
6
CSE0B_0 G12 CS#__WE# VSSQ_N1 N1 6
WEE1B G12 CS#__WE# VSSQ_N1 N1 6
CSF0B_0 G12 CS#__WE# VSSQ_N1 N1 6
WEF1B G12 CS#__WE# VSSQ_N1 N1
IN IN IN IN
6
WEE0B L12 WE#__CS# VSSQ_N3 N3 6
CSE1B_0 L12 WE#__CS# VSSQ_N3 N3 6
WEF0B L12 WE#__CS# VSSQ_N3 N3 6
CSF1B_0 L12 WE#__CS# VSSQ_N3 N3
IN IN IN IN
VSSQ_N12 N12 VSSQ_N12 N12 VSSQ_N12 N12 VSSQ_N12 N12
VSSQ_N14 N14 VSSQ_N14 N14 VSSQ_N14 N14 VSSQ_N14 N14
R2802 1 2120R J13 UNNAMED_7_GDDR5_I424_ZQ
ZQ VSSQ_R1 R1 R2902 1 2120R J13 UNNAMED_7_GDDR5_I468_ZQ
ZQ VSSQ_R1 R1 R3002 1 2120R J13 UNNAMED_7_GDDR5_I269_ZQ
ZQ VSSQ_R1 R1 R3102 1 2120R J13 UNNAMED_7_GDDR5_I301_ZQ
ZQ VSSQ_R1 R1
J10 SEN VSSQ_R3 R3 J10 SEN VSSQ_R3 R3 J10 SEN VSSQ_R3 R3 J10 SEN VSSQ_R3 R3
VSSQ_R4 R4 VSSQ_R4 R4 VSSQ_R4 R4 VSSQ_R4 R4
B VSSQ_R11 R11 VSSQ_R11 R11 VSSQ_R11 R11 VSSQ_R11 R11 B
2,7
DRAM_RSTE J2 RESET# VSSQ_R12 R12 2,7
DRAM_RSTE J2 RESET# VSSQ_R12 R12 2,7
DRAM_RSTF J2 RESET# VSSQ_R12 R12 2,7
DRAM_RSTF J2 RESET# VSSQ_R12 R12
IN IN IN IN
J1 MF VSSQ_R14 R14 +MVDD J1 MF VSSQ_R14 R14 J1 MF VSSQ_R14 R14 +MVDD
J1 MF VSSQ_R14 R14
VSSQ_V1 V1 VSSQ_V1 V1 VSSQ_V1 V1 VSSQ_V1 V1
VSSQ_V3 V3 VSSQ_V3 V3 VSSQ_V3 V3 VSSQ_V3 V3
VSSQ_V12 V12 VSSQ_V12 V12 VSSQ_V12 V12 VSSQ_V12 V12
+MVDD R2805 1 2
2.37K
VSSQ_V14 V14 +MVDD
R2905 1 2 2.37K
VSSQ_V14 V14 +MVDD R3005 1 22.37K
VSSQ_V14 V14 +MVDD
R3105 1 22.37K
VSSQ_V14 V14
R2806 1 2 5.49K A5 Vpp_NC R2906 1 2 5.49K A5 Vpp_NC R3006 1 25.49K A5 Vpp_NC R3106 1 25.49K A5 Vpp_NC
C2801 1uF V5 Vpp_NC1 C2901 1uF V5 Vpp_NC1 C3001 1uF V5 Vpp_NC1 C3101 1uF V5 Vpp_NC1
VSS_B5 B5 VSS_B5 B5 VSS_B5 B5 VSS_B5 B5
A10 UNNAMED_7_GDDR5_I424_VREFD1
VREFD1 VSS_B10 B10 A10 UNNAMED_7_GDDR5_I468_VREFD1
VREFD1 VSS_B10 B10 A10 UNNAMED_7_GDDR5_I269_VREFD1
VREFD1 VSS_B10 B10 A10 UNNAMED_7_GDDR5_I301_VREFD1
VREFD1 VSS_B10 B10
+MVDD R2807 1 2
2.37K V10 UNNAMED_7_GDDR5_I424_VREFD2
VREFD2 VSS_D10 D10 +MVDD
R2907 1 2 2.37K V10 UNNAMED_7_GDDR5_I468_VREFD2
VREFD2 VSS_D10 D10 +MVDD R3007 1 22.37K V10 UNNAMED_7_GDDR5_I269_VREFD2
VREFD2 VSS_D10 D10 +MVDD
R3107 1 22.37K V10 UNNAMED_7_GDDR5_I301_VREFD2
VREFD2 VSS_D10 D10
R2808 1 2
5.49K
VSS_G5 G5 R2908 1 2 5.49K
VSS_G5 G5 R3008 1 25.49K
VSS_G5 G5 R3108 1 25.49K
VSS_G5 G5
C2803 1uF
VSS_G10 G10 C2903 1uF
VSS_G10 G10 C3003 1uF
VSS_G10 G10 C3103 1uF
VSS_G10 G10
VSS_H1 H1 VSS_H1 H1 VSS_H1 H1 VSS_H1 H1
+MVDD R2809 1 2
2.37K
VSS_H14 H14 +MVDD
R2909 1 2 2.37K
VSS_H14 H14 +MVDD R3009 1 22.37K
VSS_H14 H14 +MVDD R3109 1 22.37K
VSS_H14 H14
R2810 1 2
5.49K
VSS_K1 K1 R2910 1 2 5.49K
VSS_K1 K1 R3010 1 25.49K
VSS_K1 K1 R3110 1 25.49K
VSS_K1 K1
C2805 1uF J14 UNNAMED_7_GDDR5_I424_VREFC
VREFC VSS_K14 K14 C2905 1uF J14 UNNAMED_7_GDDR5_I468_VREFC
VREFC VSS_K14 K14 C3005 1uF J14 UNNAMED_7_GDDR5_I269_VREFC
VREFC VSS_K14 K14 C3105 1uF J14 UNNAMED_7_GDDR5_I301_VREFC
VREFC VSS_K14 K14
VSS_L5 L5 VSS_L5 L5 VSS_L5 L5 VSS_L5 L5
VSS_L10 L10 VSS_L10 L10 VSS_L10 L10 VSS_L10 L10
VSS_P10 P10 VSS_P10 P10 VSS_P10 P10 VSS_P10 P10
6
ADBIE0 J4 ABI# VSS_T5 T5 6
ADBIE1 J4 ABI# VSS_T5 T5 6
ADBIF0 J4 ABI# VSS_T5 T5 6
ADBIF1 J4 ABI# VSS_T5 T5
IN IN IN IN
VSS_T10 T10 VSS_T10 T10 VSS_T10 T10 VSS_T10 T10

+MVDD +MVDD +MVDD +MVDD


C2810

C2811

C2812

C2813

C2814

C2815

C2816

C2817

C2818

C2819

C2910

C2911

C2912

C2913

C2914

C2915

C2916

C2917

C2918

C2919

C3010

C3011

C3012

C3013

C3014

C3015

C3016

C3017

C3018

C3019

C3110

C3111

C3112

C3113

C3114

C3115

C3116

C3117

C3118

C3119
0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF
10uF

10uF

10uF

10uF

10uF

10uF

A A
10uF

10uF

+MVDD +MVDD +MVDD +MVDD


C2820

C2821

C2822

C2823

C2824

C2825

C2826

C2827

C2828

C3029
C2920

C2921

C2922

C2923

C2924

C2925

C2926

C2927

C2928

C3020

C3021

C3022

C3023

C3024

C3025

C3026

C3027

C3028

C3120

C3121

C3122

C3123

C3124

C3125

C3126

C3127

C3128

Micro-Star International Co., LTD.


<Title>
1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF
10uF

10uF

10uF

10uF

10uF

MS-V308
Size Document Number Rev
Custom <Doc> 1.0

Date: Tuesday, October 29, 2013 Sheet 7 of 26

8 7 6 5 4 3 2 1
8 7 6 5 4 3 2 1

(6) HAWAII Memory Channel G&H

U1I U1J
SYMBOL 9 SYMBOL 10

D 9
0 DQG0_<0> BA19 DQG0_0 DQG1_0 BM30 DQG1_<0> 0
9 9
0 DQH0_<0> BD33 DQH0_0 DQH1_0 AY31 DQH1_<0> 0
9 D
DQG0_<0> DQG1_<0> DQH0_<0> DQH1_<0>
9
1 DQG0_<1> BE20 DQG0_1 DQG1_1 BR28 DQG1_<1> 1
9 9
1 DQH0_<1> BE33 DQH0_1 DQH1_1 BA35 DQH1_<1> 1
9
DQG0_<1> DQG1_<1> DQH0_<1> DQH1_<1>
9
2 DQG0_<2> BD19 DQG0_2 DQG1_2 BP28 DQG1_<2> 2
9 9
2 DQH0_<2> BD34 DQH0_2 DQH1_2 BA31 DQH1_<2> 2
9
DQG0_<2> DQG1_<2> DQH0_<2> DQH1_<2>
9
3 DQG0_<3> BA17 DQG0_3 DQG1_3 BM29 DQG1_<3> 3
9 9
3 DQH0_<3> BF34 DQH0_3 DQH1_3 BB35 DQH1_<3> 3
9
DQG0_<3> DQG1_<3> DQH0_<3> DQH1_<3>
9
4 DQG0_<4> BD20 DQG0_4 DQG1_4 BR30 DQG1_<4> 4
9 9
4 DQH0_<4> BC36 DQH0_4 DQH1_4 BB33 DQH1_<4> 4
9
DQG0_<4> DQG1_<4> DQH0_<4> DQH1_<4>
9
5 DQG0_<5> BF19 DQG0_5 DQG1_5 BN28 DQG1_<5> 5
9 9
5 DQH0_<5> BD36 DQH0_5 DQH1_5 BA33 DQH1_<5> 5
9
DQG0_<5> DQG1_<5> DQH0_<5> DQH1_<5>
9
6 DQG0_<6> AV17 DQG0_6 DQG1_6 BN31 DQG1_<6> 6
9 9
6 DQH0_<6> BD37 DQH0_6 DQH1_6 AY36 DQH1_<6> 6
9
DQG0_<6> DQG1_<6> DQH0_<6> DQH1_<6>
9
7 DQG0_<7> AY16 DQG0_7 DQG1_7 BP30 DQG1_<7> 7
9 9
7 DQH0_<7> BE37 DQH0_7 DQH1_7 BA36 DQH1_<7> 7
9
DQG0_<7> DQG1_<7> DQH0_<7> DQH1_<7>
9
8 DQG0_<8> BE24 DQG0_8 DQG1_8 BM31 DQG1_<8> 8
9 9
8 DQH0_<8> BA27 DQH0_8 DQH1_8 BB40 DQH1_<8> 8
9
DQG0_<8> DQG1_<8> DQH0_<8> DQH1_<8>
9
9 DQG0_<9> BG26 DQG0_9 DQG1_9 BP34 DQG1_<9> 9
9 9
9 DQH0_<9> BB27 DQH0_9 DQH1_9 BE43 DQH1_<9> 9
9
DQG0_<9> DQG1_<9> DQH0_<9> DQH1_<9>
9
10 DQG0_<10> BF21 DQG0_10 DQG1_10 BR34 DQG1_<10> 10
9 9
10 DQH0_<10> BA28 DQH0_10 DQH1_10 BG43 DQH1_<10> 10
9
DQG0_<10> DQG1_<10> DQH0_<10> DQH1_<10>
9
11 DQG0_<11> BD22 DQG0_11 DQG1_11 BP33 DQG1_<11> 11
9 9
11 DQH0_<11> BC28 DQH0_11 DQH1_11 BD40 DQH1_<11> 11
9
DQG0_<11> DQG1_<11> DQH0_<11> DQH1_<11>
9
12 DQG0_<12> BD24 DQG0_12 DQG1_12 BN33 DQG1_<12> 12
9 9
12 DQH0_<12> AY29 DQH0_12 DQH1_12 BG44 DQH1_<12> 12
9
DQG0_<12> DQG1_<12> DQH0_<12> DQH1_<12>
9
13 DQG0_<13> BE22 DQG0_13 DQG1_13 BR32 DQG1_<13> 13
9 9
13 DQH0_<13> BA29 DQH0_13 DQH1_13 BC38 DQH1_<13> 13
9
DQG0_<13> DQG1_<13> DQH0_<13> DQH1_<13>
9
14 DQG0_<14> BF23 DQG0_14 DQG1_14 BM34 DQG1_<14> 14
9 9
14 DQH0_<14> BA30 DQH0_14 DQH1_14 BF44 DQH1_<14> 14
9
DQG0_<14> DQG1_<14> DQH0_<14> DQH1_<14>
9
15 DQG0_<15> BF26 DQG0_15 DQG1_15 BN32 DQG1_<15> 15
9 9
15 DQH0_<15> BB30 DQH0_15 DQH1_15 BA38 DQH1_<15> 15
9
DQG0_<15> DQG1_<15> DQH0_<15> DQH1_<15>
9
16 DQG0_<16> AY23 DQG0_16 DQG1_16 BJ26 DQG1_<16> 16
9 9
16 DQH0_<16> BG35 DQH0_16 DQH1_16 BF38 DQH1_<16> 16
9
DQG0_<16> DQG1_<16> DQH0_<16> DQH1_<16>
9
17 DQG0_<17> BA20 DQG0_17 DQG1_17 BK23 DQG1_<17> 17
9 9
17 DQH0_<17> BG33 DQH0_17 DQH1_17 BH43 DQH1_<17> 17
9
DQG0_<17> DQG1_<17> DQH0_<17> DQH1_<17>
9
18 DQG0_<18> AY21 DQG0_18 DQG1_18 BG23 DQG1_<18> 18
9 9
18 DQH0_<18> BK34 DQH0_18 DQH1_18 BD38 DQH1_<18> 18
9
DQG0_<18> DQG1_<18> DQH0_<18> DQH1_<18>
9
19 DQG0_<19> BA24 DQG0_19 DQG1_19 BK24 DQG1_<19> 19
9 9
19 DQH0_<19> BH33 DQH0_19 DQH1_19 BG41 DQH1_<19> 19
9
DQG0_<19> DQG1_<19> DQH0_<19> DQH1_<19>
9
20 DQG0_<20> BA21 DQG0_20 DQG1_20 BH27 DQG1_<20> 20
9 9
20 DQH0_<20> BK33 DQH0_20 DQH1_20 BK43 DQH1_<20> 20
9
DQG0_<20> DQG1_<20> DQH0_<20> DQH1_<20>
9
21 DQG0_<21> BB24 DQG0_21 DQG1_21 BH24 DQG1_<21> 21
9 9
21 DQH0_<21> BH35 DQH0_21 DQH1_21 BF41 DQH1_<21> 21
9
DQG0_<21> DQG1_<21> DQH0_<21> DQH1_<21>
9
22 DQG0_<22> BA23 DQG0_22 DQG1_22 BK27 DQG1_<22> 22
9 9
22 DQH0_<22> BK35 DQH0_22 DQH1_22 BE40 DQH1_<22> 22
9
DQG0_<22> DQG1_<22> DQH0_<22> DQH1_<22>
9
23 DQG0_<23> BB20 DQG0_23 DQG1_23 BG27 DQG1_<23> 23
9 9
23 DQH0_<23> BF31 DQH0_23 DQH1_23 BG40 DQH1_<23> 23
9
DQG0_<23> DQG1_<23> DQH0_<23> DQH1_<23>
9
24 DQG0_<24> BD30 DQG0_24 DQG1_24 BJ29 DQG1_<24> 24
9 9
24 DQH0_<24> BJ38 DQH0_24 DQH1_24 BN48 DQH1_<24> 24
9
DQG0_<24> DQG1_<24> DQH0_<24> DQH1_<24>
9
25 DQG0_<25> BA26 DQG0_25 DQG1_25 BK29 DQG1_<25> 25
9 9
25 DQH0_<25> BG36 DQH0_25 DQH1_25 BK46 DQH1_<25> 25
9
DQG0_<25> DQG1_<25> DQH0_<25> DQH1_<25>
9
26 DQG0_<26> BF29 DQG0_26 DQG1_26 BG28 DQG1_<26> 26
9 9
26 DQH0_<26> BK40 DQH0_26 DQH1_26 BP52 DQH1_<26> 26
9
DQG0_<26> DQG1_<26> DQH0_<26> DQH1_<26>
9
27 DQG0_<27> BD27 DQG0_27 DQG1_27 BK30 DQG1_<27> 27
9 9
27 DQH0_<27> BF36 DQH0_27 DQH1_27 BL50 DQH1_<27> 27
9
DQG0_<27> DQG1_<27> DQH0_<27> DQH1_<27>
9
28 DQG0_<28> BD29 DQG0_28 DQG1_28 BJ31 DQG1_<28> 28
9 9
28 DQH0_<28> BH40 DQH0_28 DQH1_28 BP50 DQH1_<28> 28
9
DQG0_<28> DQG1_<28> DQH0_<28> DQH1_<28>
9
29 DQG0_<29> AY26 DQG0_29 DQG1_29 BG31 DQG1_<29> 29
9 9
29 DQH0_<29> BK37 DQH0_29 DQH1_29 BK49 DQH1_<29> 29
9
DQG0_<29> DQG1_<29> DQH0_<29> DQH1_<29>
9
30 DQG0_<30> BE27 DQG0_30 DQG1_30 BK31 DQG1_<30> 30
9 9
30 DQH0_<30> BG38 DQH0_30 DQH1_30 BP49 DQH1_<30> 30
9
DQG0_<30> DQG1_<30> DQH0_<30> DQH1_<30>
9
31 DQG0_<31> BE30 DQG0_31 DQG1_31 BG29 DQG1_<31> 31
9 9
31 DQH0_<31> BH37 DQH0_31 DQH1_31 BK47 DQH1_<31> 31
9
DQG0_<31> DQG1_<31> DQH0_<31> DQH1_<31>
0 MAG0_<0> BM20 MAG0_0 MAG1_0 BM24 MAG1_<0> 0 0 MAH0_<0> BR38 MAH0_0 MAH1_0 BM43 MAH1_<0> 0
MAG0_<0> MAG1_<0> MAH0_<0> MAH1_<0>
1 MAG0_<1> BR19 MAG0_1 MAG1_1 BP24 MAG1_<1> 1 1 MAH0_<1> BM37 MAH0_1 MAH1_1 BR43 MAH1_<1> 1
MAG0_<1> MAG1_<1> MAH0_<1> MAH1_<1>
2 MAG0_<2> BP19 MAG0_2 MAG1_2 BR25 MAG1_<2> 2 2 MAH0_<2> BN37 MAH0_2 MAH1_2 BP44 MAH1_<2> 2
MAG0_<2> MAG1_<2> MAH0_<2> MAH1_<2>
C 3 MAG0_<3> BN19 MAG0_3 MAG1_3 BN25 MAG1_<3> 3 3 MAH0_<3> BP37 MAH0_3 MAH1_3 BN43 MAH1_<3> 3
C
MAG0_<3> MAG1_<3> MAH0_<3> MAH1_<3>
4 MAG0_<4> BM19 MAG0_4 MAG1_4 BM26 MAG1_<4> 4 4 MAH0_<4> BR37 MAH0_4 MAH1_4 BM44 MAH1_<4> 4
MAG0_<4> MAG1_<4> MAH0_<4> MAH1_<4>
5 MAG0_<5> BR18 MAG0_5 MAG1_5 BP26 MAG1_<5> 5 5 MAH0_<5> BM36 MAH0_5 MAH1_5 BR45 MAH1_<5> 5
MAG0_<5> MAG1_<5> MAH0_<5> MAH1_<5>
6 MAG0_<6> BR20 MAG0_6 MAG1_6 BP23 MAG1_<6> 6 6 MAH0_<6> BM38 MAH0_6 MAH1_6 BR42 MAH1_<6> 6
MAG0_<6> MAG1_<6> MAH0_<6> MAH1_<6>
7 MAG0_<7> BN20 MAG0_7 MAG1_7 BN24 MAG1_<7> 7 7 MAH0_<7> BP38 MAH0_7 MAH1_7 BN42 MAH1_<7> 7
MAG0_<7> MAG1_<7> MAH0_<7> MAH1_<7>
8 MAG0_<8> BP20 MAG0_8 MAG1_8 BR24 MAG1_<8> 8 8 MAH0_<8> BN38 MAH0_8 MAH1_8 BP43 MAH1_<8> 8
MAG0_<8> MAG1_<8> MAH0_<8> MAH1_<8>
BR17 MAG0_9 MAG1_9 BN27 BM35 MAH0_9 MAH1_9 BN46

9
WCKG0_0 BD21 WCKG0_0 WCKG1_0 BR31 WCKG1_0
9 9
WCKH0_0 BD31 WCKH0_0 WCKH1_0 BB37 WCKH1_0
9
OUT OUT OUT OUT
9
WCKG0B_0 BC21 WCKG0B_0 WCKG1B_0 BP31 WCKG1B_0
9 9
WCKH0B_0 BC31 WCKH0B_0 WCKH1B_0 BA37 WCKH1B_0
9
OUT OUT OUT OUT

9
WCKG0_1 BD26 WCKG0_1 WCKG1_1 BJ28 WCKG1_1
9 9
WCKH0_1 BJ36 WCKH0_1 WCKH1_1 BK44 WCKH1_1
9
OUT OUT OUT OUT
9
WCKG0B_1 BC26 WCKG0B_1 WCKG1B_1 BK28 WCKG1B_1
9 9
WCKH0B_1 BK36 WCKH0B_1 WCKH1B_1 BJ44 WCKH1B_1
9
OUT OUT OUT OUT

9
EDCG0_0 BB17 EDCG0_0 EDCG1_0 BP29 EDCG1_0
9 9
EDCH0_0 BD35 EDCH0_0 EDCH1_0 BA34 EDCH1_0
9
IN IN IN IN
9
EDCG0_1 BC23 EDCG0_1 EDCG1_1 BM33 EDCG1_1
9 9
EDCH0_1 BC29 EDCH0_1 EDCH1_1 BD41 EDCH1_1
9
IN IN IN IN
9
EDCG0_2 BA22 EDCG0_2 EDCG1_2 BG24 EDCG1_2
9 9
EDCH0_2 BG34 EDCH0_2 EDCH1_2 BJ41 EDCH1_2
9
IN IN IN IN
9
EDCG0_3 BD28 EDCG0_3 EDCG1_3 BH30 EDCG1_3
9 9
EDCH0_3 BK38 EDCH0_3 EDCH1_3 BT50 EDCH1_3
9
IN IN IN IN

9
DDBIG0_0 BC19 DDBIG0_0 DDBIG1_0 BN30 DDBIG1_0
9 9
DDBIH0_0 BE35 DDBIH0_0 DDBIH1_0 BC34 DDBIH1_0
9
BI BI BI BI
9
DDBIG0_1 BD23 DDBIG0_1 DDBIG1_1 BR33 DDBIG1_1
9 9
DDBIH0_1 AY28 DDBIH0_1 DDBIH1_1 BC41 DDBIH1_1
9
BI BI BI BI
9
DDBIG0_2 BB22 DDBIG0_2 DDBIG1_2 BK26 DDBIG1_2
9 9
DDBIH0_2 BJ34 DDBIH0_2 DDBIH1_2 BK41 DDBIH1_2
9
BI BI BI BI
9
DDBIG0_3 BF28 DDBIG0_3 DDBIG1_3 BG30 DDBIG1_3
9 9
DDBIH0_3 BG37 DDBIH0_3 DDBIH1_3 BM49 DDBIH1_3
9
BI BI BI BI

9
ADBIG0 BN22 ADBIG0 ADBIG1 BR23 ADBIG1
9 9
ADBIH0 BN39 ADBIH0 ADBIH1 BP41 ADBIH1
9
OUT OUT OUT OUT

9
CSG0B_0 BN17 CSG0B_0 CSG1B_0 BR27 CSG1B_0
9 9
CSH0B_0 BP35 CSH0B_0 CSH1B_0 BP47 CSH1B_0
9
OUT OUT OUT OUT
BP16 CSG0B_1 CSG1B_1 BM28 BN34 CSH0B_1 CSH1B_1 BM47

9
CASG0B BP21 CASG0B CASG1B BM23 CASG1B
9 9
CASH0B BR39 CASH0B CASH1B BM41 CASH1B
9
OUT OUT OUT OUT
9
RASG0B BM22 RASG0B RASG1B BP22 RASG1B
9 9
RASH0B BM40 RASH0B RASH1B BR40 RASH1B
9
OUT OUT OUT OUT
B 9
WEG0B BN18 WEG0B WEG1B BN26 WEG1B
9 9
WEH0B BP36 WEH0B WEH1B BN45 WEH1B
9 B
OUT OUT OUT OUT
+MVDD +MVDD
9
CKEG0 BR22 CKEG0 CKEG1 BN23 CKEG1
9 9
CKEH0 BP40 CKEH0 CKEH1 BN40 CKEH1
9
OUT OUT OUT OUT
1

1
9
CLKG0 BM17 CLKG0 CLKG1 BR26 CLKG1
9 9
CLKH0 BR35 CLKH0 CLKH1 BP46 CLKH1
9
OUT OUT OUT OUT
9
CLKG0B BP17 CLKG0B CLKG1B BP27 CLKG1B
R3655
9 9
CLKH0B BN35 CLKH0B CLKH1B BR46 CLKH1B
9 R3651
OUT OUT 40.2R OUT OUT 40.2R
1 2

1 2
MVREFDG AW22 MVREFD_G
MVREFDH AW30 MVREFD_H

R3656 R3652
100R +MVDD 100R +MVDD
C3645 C3647
1uF 1uF
2

2
1

1
MVREFD/S =0.7* MVREFD/S =0.7*

R3657 R3653
40.2R 40.2R
1 2

1 2
MVREFG AV24 MVREFS_G
MVREFH AW33 MVREFS_H

HAWAII REV 0.53 R3658 HAWAII REV 0.53 R3654


100R HAWAII - REV 0.50 100R
HAWAII - REV 0.50 C3646 C3648
1uF 1uF
2

2
A A

Micro-Star International Co., LTD.


<Title>
MS-V308
Size Document Number Rev
Custom <Doc> 1.0

Date: Tuesday, October 29, 2013 Sheet 8 of 26

8 7 6 5 4 3 2 1
8 7 6 5 4 3 2 1

(9) GDDR5 Memory Channel G&H

+MVDD +MVDD +MVDD +MVDD


U3200 U3300 U3400 U3500

8
0 DQG0_<0> DQG0_<9> M2 DQ31__DQ7 VDDQ_B1 B1 8 DQG1_<0> DQG1_<16> M2 DQ31__DQ7 VDDQ_B1 B1 8
0 DQH0_<0> DQH0_<7> M2 DQ31__DQ7 VDDQ_B1 B1 8
0 DQH1_<0> DQH1_<3> M2 DQ31__DQ7 VDDQ_B1 B1
DQG0_<0> 9 DQG1_<0> 16 DQH0_<0> 7 DQH1_<0> 3

8
1 DQG0_<1> DQG0_<15> M4 DQ30__DQ6 VDDQ_B3 B3 8 DQG1_<1> DQG1_<23> M4 DQ30__DQ6 VDDQ_B3 B3 8
1 DQH0_<1> DQH0_<6> M4 DQ30__DQ6 VDDQ_B3 B3 8
1 DQH1_<1> DQH1_<6> M4 DQ30__DQ6 VDDQ_B3 B3
DQG0_<1> 15 DQG1_<1> 23 DQH0_<1> 6 DQH1_<1> 6

8
2 DQG0_<2> DQG0_<8> N2 DQ29__DQ5 VDDQ_B12 B12 8 DQG1_<2> DQG1_<20> N2 DQ29__DQ5 VDDQ_B12 B12 8
2 DQH0_<2> DQH0_<1> N2 DQ29__DQ5 VDDQ_B12 B12 8
2 DQH1_<2> DQH1_<1> N2 DQ29__DQ5 VDDQ_B12 B12
DQG0_<2> 8 DQG1_<2> 20 DQH0_<2> 1 DQH1_<2> 1

8
3 DQG0_<3> DQG0_<12> N4 DQ28__DQ4 VDDQ_B14 B14 8 DQG1_<3> DQG1_<22> N4 DQ28__DQ4 VDDQ_B14 B14 8
3 DQH0_<3> DQH0_<4> N4 DQ28__DQ4 VDDQ_B14 B14 8
3 DQH1_<3> DQH1_<7> N4 DQ28__DQ4 VDDQ_B14 B14
DQG0_<3> 12 DQG1_<3> 22 DQH0_<3> 4 DQH1_<3> 7

8
4 DQG0_<4> DQG0_<14> T2 DQ27__DQ3 VDDQ_D1 D1 8 DQG1_<4> DQG1_<19> T2 DQ27__DQ3 VDDQ_D1 D1 8
4 DQH0_<4> DQH0_<5> T2 DQ27__DQ3 VDDQ_D1 D1 8
4 DQH1_<4> DQH1_<4> T2 DQ27__DQ3 VDDQ_D1 D1
DQG0_<4> 14 DQG1_<4> 19 DQH0_<4> 5 DQH1_<4> 4

8
5 DQG0_<5> DQG0_<13> T4 DQ26__DQ2 VDDQ_D3 D3 8 DQG1_<5> DQG1_<21> T4 DQ26__DQ2 VDDQ_D3 D3 8
5 DQH0_<5> DQH0_<0> T4 DQ26__DQ2 VDDQ_D3 D3 8
5 DQH1_<5> DQH1_<5> T4 DQ26__DQ2 VDDQ_D3 D3
DQG0_<5> 13 DQG1_<5> 21 DQH0_<5> 0 DQH1_<5> 5

8
6 DQG0_<6> DQG0_<11> V2 DQ25__DQ1 VDDQ_D12 D12 8 DQG1_<6> DQG1_<17> V2 DQ25__DQ1 VDDQ_D12 D12 8
6 DQH0_<6> DQH0_<2> V2 DQ25__DQ1 VDDQ_D12 D12 8
6 DQH1_<6> DQH1_<2> V2 DQ25__DQ1 VDDQ_D12 D12
DQG0_<6> 11 DQG1_<6> 17 DQH0_<6> 2 DQH1_<6> 2

8
7 DQG0_<7> DQG0_<10> V4 DQ24__DQ0 VDDQ_D14 D14 8 DQG1_<7> DQG1_<18> V4 DQ24__DQ0 VDDQ_D14 D14 8
7 DQH0_<7> DQH0_<3> V4 DQ24__DQ0 VDDQ_D14 D14 8
7 DQH1_<7> DQH1_<0> V4 DQ24__DQ0 VDDQ_D14 D14
DQG0_<7> 10 DQG1_<7> 18 DQH0_<7> 3 DQH1_<7> 0

8
8 DQG0_<8> DQG0_<4> M13 DQ23__DQ15 VDDQ_E5 E5 8 DQG1_<8> DQG1_<27> M13 DQ23__DQ15 VDDQ_E5 E5 8
8 DQH0_<8> DQH0_<9> M13 DQ23__DQ15 VDDQ_E5 E5 8
8 DQH1_<8> DQH1_<12> M13 DQ23__DQ15 VDDQ_E5 E5
DQG0_<8> 4 DQG1_<8> 27 DQH0_<8> 9 DQH1_<8> 12

8
9 DQG0_<9> DQG0_<1> M11 DQ22__DQ14 VDDQ_E10 E10 8 DQG1_<9> DQG1_<25> M11 DQ22__DQ14 VDDQ_E10 E10 8
9 DQH0_<9> DQH0_<8> M11 DQ22__DQ14 VDDQ_E10 E10 8
9 DQH1_<9> DQH1_<10> M11 DQ22__DQ14 VDDQ_E10 E10
DQG0_<9> 1 DQG1_<9> 25 DQH0_<9> 8 DQH1_<9> 10

D 8
10 DQG0_<10> DQG0_<5> N13 DQ21__DQ13 VDDQ_F1 F1 8 DQG1_<10> DQG1_<29> N13 DQ21__DQ13 VDDQ_F1 F1 8
10 DQH0_<10> DQH0_<10> N13 DQ21__DQ13 VDDQ_F1 F1 8
10 DQH1_<10> DQH1_<14> N13 DQ21__DQ13 VDDQ_F1 F1 D
DQG0_<10> 5 DQG1_<10> 29 DQH0_<10> 10 DQH1_<10> 14

8
11 DQG0_<11> DQG0_<2> N11 DQ20__DQ12 VDDQ_F3 F3 8 DQG1_<11> DQG1_<24> N11 DQ20__DQ12 VDDQ_F3 F3 8
11 DQH0_<11> DQH0_<11> N11 DQ20__DQ12 VDDQ_F3 F3 8
11 DQH1_<11> DQH1_<9> N11 DQ20__DQ12 VDDQ_F3 F3
DQG0_<11> 2 DQG1_<11> 24 DQH0_<11> 11 DQH1_<11> 9

8
12 DQG0_<12> DQG0_<3> T13 DQ19__DQ11 VDDQ_F12 F12 8 DQG1_<12> DQG1_<28> T13 DQ19__DQ11 VDDQ_F12 F12 8
12 DQH0_<12> DQH0_<13> T13 DQ19__DQ11 VDDQ_F12 F12 8
12 DQH1_<12> DQH1_<11> T13 DQ19__DQ11 VDDQ_F12 F12
DQG0_<12> 3 DQG1_<12> 28 DQH0_<12> 13 DQH1_<12> 11

8
13 DQG0_<13> DQG0_<6> T11 DQ18__DQ10 VDDQ_F14 F14 8 DQG1_<13> DQG1_<31> T11 DQ18__DQ10 VDDQ_F14 F14 8
13 DQH0_<13> DQH0_<15> T11 DQ18__DQ10 VDDQ_F14 F14 8
13 DQH1_<13> DQH1_<8> T11 DQ18__DQ10 VDDQ_F14 F14
DQG0_<13> 6 DQG1_<13> 31 DQH0_<13> 15 DQH1_<13> 8

8
14 DQG0_<14> DQG0_<7> V13 DQ17__DQ9 VDDQ_G2 G2 8 DQG1_<14> DQG1_<30> V13 DQ17__DQ9 VDDQ_G2 G2 8
14 DQH0_<14> DQH0_<12> V13 DQ17__DQ9 VDDQ_G2 G2 8
14 DQH1_<14> DQH1_<15> V13 DQ17__DQ9 VDDQ_G2 G2
DQG0_<14> 7 DQG1_<14> 30 DQH0_<14> 12 DQH1_<14> 15

8
15 DQG0_<15> DQG0_<0> V11 DQ16__DQ8 VDDQ_G13 G13 8 DQG1_<15> DQG1_<26> V11 DQ16__DQ8 VDDQ_G13 G13 8
15 DQH0_<15> DQH0_<14> V11 DQ16__DQ8 VDDQ_G13 G13 8
15 DQH1_<15> DQH1_<13> V11 DQ16__DQ8 VDDQ_G13 G13
DQG0_<15> 0 DQG1_<15> 26 DQH0_<15> 14 DQH1_<15> 13

8
16 DQG0_<16> DQG0_<20> F13 DQ15__DQ23 VDDQ_H3 H3 8 DQG1_<16> DQG1_<14> F13 DQ15__DQ23 VDDQ_H3 H3 8
16 DQH0_<16> DQH0_<18> F13 DQ15__DQ23 VDDQ_H3 H3 8
16 DQH1_<16> DQH1_<31> F13 DQ15__DQ23 VDDQ_H3 H3
DQG0_<16> 20 DQG1_<16> 14 DQH0_<16> 18 DQH1_<16> 31

8
17 DQG0_<17> DQG0_<16> F11 DQ14__DQ22 VDDQ_H12 H12 8 DQG1_<17> DQG1_<8> F11 DQ14__DQ22 VDDQ_H12 H12 8
17 DQH0_<17> DQH0_<16> F11 DQ14__DQ22 VDDQ_H12 H12 8
17 DQH1_<17> DQH1_<25> F11 DQ14__DQ22 VDDQ_H12 H12
DQG0_<17> 16 DQG1_<17> 8 DQH0_<17> 16 DQH1_<17> 25

8
18 DQG0_<18> DQG0_<18> E13 DQ13__DQ21 VDDQ_K3 K3 8 DQG1_<18> DQG1_<10> E13 DQ13__DQ21 VDDQ_K3 K3 8
18 DQH0_<18> DQH0_<20> E13 DQ13__DQ21 VDDQ_K3 K3 8
18 DQH1_<18> DQH1_<24> E13 DQ13__DQ21 VDDQ_K3 K3
DQG0_<18> 18 DQG1_<18> 10 DQH0_<18> 20 DQH1_<18> 24

8
19 DQG0_<19> DQG0_<22> E11 DQ12__DQ20 VDDQ_K12 K12 8 DQG1_<19> DQG1_<13> E11 DQ12__DQ20 VDDQ_K12 K12 8
19 DQH0_<19> DQH0_<21> E11 DQ12__DQ20 VDDQ_K12 K12 8
19 DQH1_<19> DQH1_<30> E11 DQ12__DQ20 VDDQ_K12 K12
DQG0_<19> 22 DQG1_<19> 13 DQH0_<19> 21 DQH1_<19> 30

8
20 DQG0_<20> DQG0_<23> B13 DQ11__DQ19 VDDQ_L2 L2 8 DQG1_<20> DQG1_<12> B13 DQ11__DQ19 VDDQ_L2 L2 8
20 DQH0_<20> DQH0_<17> B13 DQ11__DQ19 VDDQ_L2 L2 8
20 DQH1_<20> DQH1_<26> B13 DQ11__DQ19 VDDQ_L2 L2
DQG0_<20> 23 DQG1_<20> 12 DQH0_<20> 17 DQH1_<20> 26

8
21 DQG0_<21> DQG0_<19> B11 DQ10__DQ18 VDDQ_L13 L13 8 DQG1_<21> DQG1_<11> B11 DQ10__DQ18 VDDQ_L13 L13 8
21 DQH0_<21> DQH0_<19> B11 DQ10__DQ18 VDDQ_L13 L13 8
21 DQH1_<21> DQH1_<29> B11 DQ10__DQ18 VDDQ_L13 L13
DQG0_<21> 19 DQG1_<21> 11 DQH0_<21> 19 DQH1_<21> 29

8
22 DQG0_<22> DQG0_<17> A13 DQ9__DQ17 VDDQ_M1 M1 8 DQG1_<22> DQG1_<9> A13 DQ9__DQ17 VDDQ_M1 M1 8
22 DQH0_<22> DQH0_<23> A13 DQ9__DQ17 VDDQ_M1 M1 8
22 DQH1_<22> DQH1_<27> A13 DQ9__DQ17 VDDQ_M1 M1
DQG0_<22> 17 DQG1_<22> 9 DQH0_<22> 23 DQH1_<22> 27

8
23 DQG0_<23> DQG0_<21> A11 DQ8__DQ16 VDDQ_M3 M3 8 DQG1_<23> DQG1_<15> A11 DQ8__DQ16 VDDQ_M3 M3 8
23 DQH0_<23> DQH0_<22> A11 DQ8__DQ16 VDDQ_M3 M3 8
23 DQH1_<23> DQH1_<28> A11 DQ8__DQ16 VDDQ_M3 M3
DQG0_<23> 21 DQG1_<23> 15 DQH0_<23> 22 DQH1_<23> 28

8
24 DQG0_<24> DQG0_<27> F2 DQ7__DQ31 VDDQ_M12 M12 8 DQG1_<24> DQG1_<7> F2 DQ7__DQ31 VDDQ_M12 M12 8
24 DQH0_<24> DQH0_<31> F2 DQ7__DQ31 VDDQ_M12 M12 8
24 DQH1_<24> DQH1_<18> F2 DQ7__DQ31 VDDQ_M12 M12
DQG0_<24> 27 DQG1_<24> 7 DQH0_<24> 31 DQH1_<24> 18

8
25 DQG0_<25> DQG0_<25> F4 DQ6__DQ30 VDDQ_M14 M14 8 DQG1_<25> DQG1_<6> F4 DQ6__DQ30 VDDQ_M14 M14 8
25 DQH0_<25> DQH0_<27> F4 DQ6__DQ30 VDDQ_M14 M14 8
25 DQH1_<25> DQH1_<16> F4 DQ6__DQ30 VDDQ_M14 M14
DQG0_<25> 25 DQG1_<25> 6 DQH0_<25> 27 DQH1_<25> 16

8
26 DQG0_<26> DQG0_<30> E2 DQ5__DQ29 VDDQ_N5 N5 8 DQG1_<26> DQG1_<5> E2 DQ5__DQ29 VDDQ_N5 N5 8
26 DQH0_<26> DQH0_<29> E2 DQ5__DQ29 VDDQ_N5 N5 8
26 DQH1_<26> DQH1_<22> E2 DQ5__DQ29 VDDQ_N5 N5
DQG0_<26> 30 DQG1_<26> 5 DQH0_<26> 29 DQH1_<26> 22

8
27 DQG0_<27> DQG0_<29> E4 DQ4__DQ28 VDDQ_N10 N10 8 DQG1_<27> DQG1_<2> E4 DQ4__DQ28 VDDQ_N10 N10 8
27 DQH0_<27> DQH0_<25> E4 DQ4__DQ28 VDDQ_N10 N10 8
27 DQH1_<27> DQH1_<23> E4 DQ4__DQ28 VDDQ_N10 N10
DQG0_<27> 29 DQG1_<27> 2 DQH0_<27> 25 DQH1_<27> 23

8
28 DQG0_<28> DQG0_<26> B2 DQ3__DQ27 VDDQ_P1 P1 8 DQG1_<28> DQG1_<0> B2 DQ3__DQ27 VDDQ_P1 P1 8
28 DQH0_<28> DQH0_<30> B2 DQ3__DQ27 VDDQ_P1 P1 8
28 DQH1_<28> DQH1_<20> B2 DQ3__DQ27 VDDQ_P1 P1
DQG0_<28> 26 DQG1_<28> 0 DQH0_<28> 30 DQH1_<28> 20

8
29 DQG0_<29> DQG0_<28> B4 DQ2__DQ26 VDDQ_P3 P3 8 DQG1_<29> DQG1_<1> B4 DQ2__DQ26 VDDQ_P3 P3 8
29 DQH0_<29> DQH0_<24> B4 DQ2__DQ26 VDDQ_P3 P3 8
29 DQH1_<29> DQH1_<17> B4 DQ2__DQ26 VDDQ_P3 P3
DQG0_<29> 28 DQG1_<29> 1 DQH0_<29> 24 DQH1_<29> 17

8
30 DQG0_<30> DQG0_<31> A2 DQ1__DQ25 VDDQ_P12 P12 8 DQG1_<30> DQG1_<3> A2 DQ1__DQ25 VDDQ_P12 P12 8
30 DQH0_<30> DQH0_<26> A2 DQ1__DQ25 VDDQ_P12 P12 8
30 DQH1_<30> DQH1_<19> A2 DQ1__DQ25 VDDQ_P12 P12
DQG0_<30> 31 DQG1_<30> 3 DQH0_<30> 26 DQH1_<30> 19

8
31 DQG0_<31> DQG0_<24> A4 DQ0__DQ24 VDDQ_P14 P14 8 DQG1_<31> DQG1_<4> A4 DQ0__DQ24 VDDQ_P14 P14 8
31 DQH0_<31> DQH0_<28> A4 DQ0__DQ24 VDDQ_P14 P14 8
31 DQH1_<31> DQH1_<21> A4 DQ0__DQ24 VDDQ_P14 P14
DQG0_<31> 24 DQG1_<31> 4 DQH0_<31> 28 DQH1_<31> 21

VDDQ_T1 T1 VDDQ_T1 T1 VDDQ_T1 T1 VDDQ_T1 T1


VDDQ_T3 T3 VDDQ_T3 T3 VDDQ_T3 T3 VDDQ_T3 T3
VDDQ_T12 T12 VDDQ_T12 T12 VDDQ_T12 T12 VDDQ_T12 T12
VDDQ_T14 T14 VDDQ_T14 T14 VDDQ_T14 T14 VDDQ_T14 T14
+MVDD +MVDD +MVDD +MVDD
0 MAG0_<0> MAG0_<8> J5 RFU_A12_NC MAG1_<0> MAG1_<8> J5 RFU_A12_NC
0 MAH0_<0> MAH0_<8> J5 RFU_A12_NC
0 MAH1_<0> MAH1_<8> J5 RFU_A12_NC
MAG0_<0> 8 MAG1_<0> 8 MAH0_<0> 8 MAH1_<0> 8
1 MAG0_<1> MAG0_<7> K4 A7_A8__A0_A10 VDD_C5 C5 MAG1_<1> MAG1_<0> K4 A7_A8__A0_A10 VDD_C5 C5 1 MAH0_<1> MAH0_<7> K4 A7_A8__A0_A10 VDD_C5 C5 1 MAH1_<1> MAH1_<0> K4 A7_A8__A0_A10 VDD_C5 C5
MAG0_<1> 7 MAG1_<1> 0 MAH0_<1> 7 MAH1_<1> 0
2 MAG0_<2> MAG0_<6> K5 A6_A11__A1_A9 VDD_C10 C10 MAG1_<2> MAG1_<1> K5 A6_A11__A1_A9 VDD_C10 C10 2 MAH0_<2> MAH0_<6> K5 A6_A11__A1_A9 VDD_C10 C10 2 MAH1_<2> MAH1_<1> K5 A6_A11__A1_A9 VDD_C10 C10
MAG0_<2> 6 MAG1_<2> 1 MAH0_<2> 6 MAH1_<2> 1
3 MAG0_<3> MAG0_<5> K10 A5_BA1__A3_BA3 VDD_D11 D11 MAG1_<3> MAG1_<3> K10 A5_BA1__A3_BA3 VDD_D11 D11 3 MAH0_<3> MAH0_<5> K10 A5_BA1__A3_BA3 VDD_D11 D11 3 MAH1_<3> MAH1_<3> K10 A5_BA1__A3_BA3 VDD_D11 D11
MAG0_<3> 5 MAG1_<3> 3 MAH0_<3> 5 MAH1_<3> 3
4 MAG0_<4> MAG0_<4> K11 A4_BA2__A2_BA0 VDD_G1 G1 MAG1_<4> MAG1_<2> K11 A4_BA2__A2_BA0 VDD_G1 G1 4 MAH0_<4> MAH0_<4> K11 A4_BA2__A2_BA0 VDD_G1 G1 4 MAH1_<4> MAH1_<2> K11 A4_BA2__A2_BA0 VDD_G1 G1
MAG0_<4> 4 MAG1_<4> 2 MAH0_<4> 4 MAH1_<4> 2
5 MAG0_<5> MAG0_<3> H10 A3_BA3__A5_BA1 VDD_G4 G4 MAG1_<5> MAG1_<5> H10 A3_BA3__A5_BA1 VDD_G4 G4 5 MAH0_<5> MAH0_<3> H10 A3_BA3__A5_BA1 VDD_G4 G4 5 MAH1_<5> MAH1_<5> H10 A3_BA3__A5_BA1 VDD_G4 G4
MAG0_<5> 3 MAG1_<5> 5 MAH0_<5> 3 MAH1_<5> 5
6 MAG0_<6> MAG0_<2> H11 A2_BA0__A4_BA2 VDD_G11 G11 MAG1_<6> MAG1_<4> H11 A2_BA0__A4_BA2 VDD_G11 G11 6 MAH0_<6> MAH0_<2> H11 A2_BA0__A4_BA2 VDD_G11 G11 6 MAH1_<6> MAH1_<4> H11 A2_BA0__A4_BA2 VDD_G11 G11
MAG0_<6> 2 MAG1_<6> 4 MAH0_<6> 2 MAH1_<6> 4
7 MAG0_<7> MAG0_<1> H5 A1_A9__A6_A11 VDD_G14 G14 MAG1_<7> MAG1_<6> H5 A1_A9__A6_A11 VDD_G14 G14 7 MAH0_<7> MAH0_<1> H5 A1_A9__A6_A11 VDD_G14 G14 7 MAH1_<7> MAH1_<6> H5 A1_A9__A6_A11 VDD_G14 G14
MAG0_<7> 1 MAG1_<7> 6 MAH0_<7> 1 MAH1_<7> 6
8 MAG0_<8> MAG0_<0> H4 A0_A10__A7_A8 VDD_L1 L1 MAG1_<8> MAG1_<7> H4 A0_A10__A7_A8 VDD_L1 L1 8 MAH0_<8> MAH0_<0> H4 A0_A10__A7_A8 VDD_L1 L1 8 MAH1_<8> MAH1_<7> H4 A0_A10__A7_A8 VDD_L1 L1
MAG0_<8> 0 MAG1_<8> 7 MAH0_<8> 0 MAH1_<8> 7

C VDD_L4 L4 VDD_L4 L4 VDD_L4 L4 VDD_L4 L4 C


VDD_L11 L11 VDD_L11 L11 VDD_L11 L11 VDD_L11 L11
VDD_L14 L14 VDD_L14 L14 VDD_L14 L14 VDD_L14 L14
8
WCKG0_1 D4 WCK01__WCK23 VDD_P11 P11 8
WCKG1_0 D4 WCK01__WCK23 VDD_P11 P11 8
WCKH0_1 D4 WCK01__WCK23 VDD_P11 P11 8
WCKH1_1 D4 WCK01__WCK23 VDD_P11 P11
IN IN IN IN
8
WCKG0B_1 D5 WCK01#__WCK23# VDD_R5 R5 8
WCKG1B_0 D5 WCK01#__WCK23# VDD_R5 R5 8
WCKH0B_1 D5 WCK01#__WCK23# VDD_R5 R5 8
WCKH1B_1 D5 WCK01#__WCK23# VDD_R5 R5
IN IN IN IN
VDD_R10 R10 VDD_R10 R10 VDD_R10 R10 VDD_R10 R10
8
WCKG0_0 P4 WCK23__WCK01 8
WCKG1_1 P4 WCK23__WCK01 8
WCKH0_0 P4 WCK23__WCK01 8
WCKH1_0 P4 WCK23__WCK01
IN IN IN IN
8
WCKG0B_0 P5 WCK23#__WCK01# 8
WCKG1B_1 P5 WCK23#__WCK01# 8
WCKH0B_0 P5 WCK23#__WCK01# 8
WCKH1B_0 P5 WCK23#__WCK01#
IN IN IN IN
VSSQ_A1 A1 VSSQ_A1 A1 VSSQ_A1 A1 VSSQ_A1 A1
8
EDCG0_1 R2 EDC3__EDC0 VSSQ_A3 A3 8
EDCG1_2 R2 EDC3__EDC0 VSSQ_A3 A3 8
EDCH0_0 R2 EDC3__EDC0 VSSQ_A3 A3 8
EDCH1_0 R2 EDC3__EDC0 VSSQ_A3 A3
OUT OUT OUT OUT
8
EDCG0_0 R13 EDC2__EDC1 VSSQ_A12 A12 8
EDCG1_3 R13 EDC2__EDC1 VSSQ_A12 A12 8
EDCH0_1 R13 EDC2__EDC1 VSSQ_A12 A12 8
EDCH1_1 R13 EDC2__EDC1 VSSQ_A12 A12
OUT OUT OUT OUT
8
EDCG0_2 C13 EDC1__EDC2 VSSQ_A14 A14 8
EDCG1_1 C13 EDC1__EDC2 VSSQ_A14 A14 8
EDCH0_2 C13 EDC1__EDC2 VSSQ_A14 A14 8
EDCH1_3 C13 EDC1__EDC2 VSSQ_A14 A14
OUT OUT OUT OUT
8
EDCG0_3 C2 EDC0__EDC3 VSSQ_C1 C1 8
EDCG1_0 C2 EDC0__EDC3 VSSQ_C1 C1 8
EDCH0_3 C2 EDC0__EDC3 VSSQ_C1 C1 8
EDCH1_2 C2 EDC0__EDC3 VSSQ_C1 C1
OUT OUT OUT OUT
VSSQ_C3 C3 VSSQ_C3 C3 VSSQ_C3 C3 VSSQ_C3 C3
8
DDBIG0_1 P2 DBI3#__DBI0# VSSQ_C4 C4 8
DDBIG1_2 P2 DBI3#__DBI0# VSSQ_C4 C4 8
DDBIH0_0 P2 DBI3#__DBI0# VSSQ_C4 C4 8
DDBIH1_0 P2 DBI3#__DBI0# VSSQ_C4 C4
BI BI BI BI
8
DDBIG0_0 P13 DBI2#__DBI1# VSSQ_C11 C11 8
DDBIG1_3 P13 DBI2#__DBI1# VSSQ_C11 C11 8
DDBIH0_1 P13 DBI2#__DBI1# VSSQ_C11 C11 8
DDBIH1_1 P13 DBI2#__DBI1# VSSQ_C11 C11
BI BI BI BI
8
DDBIG0_2 D13 DBI1#__DBI2# VSSQ_C12 C12 8
DDBIG1_1 D13 DBI1#__DBI2# VSSQ_C12 C12 8
DDBIH0_2 D13 DBI1#__DBI2# VSSQ_C12 C12 8
DDBIH1_3 D13 DBI1#__DBI2# VSSQ_C12 C12
BI BI BI BI
8
DDBIG0_3 D2 DBI0#__DBI3# VSSQ_C14 C14 8
DDBIG1_0 D2 DBI0#__DBI3# VSSQ_C14 C14 8
DDBIH0_3 D2 DBI0#__DBI3# VSSQ_C14 C14 8
DDBIH1_2 D2 DBI0#__DBI3# VSSQ_C14 C14
BI BI BI BI
VSSQ_E1 E1 VSSQ_E1 E1 VSSQ_E1 E1 VSSQ_E1 E1
VSSQ_E3 E3 VSSQ_E3 E3 VSSQ_E3 E3 VSSQ_E3 E3
VSSQ_E12 E12 VSSQ_E12 E12 VSSQ_E12 E12 VSSQ_E12 E12
8
RASG0B G3 RAS#__CAS# VSSQ_E14 E14 8
CASG1B G3 RAS#__CAS# VSSQ_E14 E14 8
RASH0B G3 RAS#__CAS# VSSQ_E14 E14 8
CASH1B G3 RAS#__CAS# VSSQ_E14 E14
IN IN IN IN
8
CASG0B L3 CAS#__RAS# VSSQ_F5 F5 8
RASG1B L3 CAS#__RAS# VSSQ_F5 F5 8
CASH0B L3 CAS#__RAS# VSSQ_F5 F5 8
RASH1B L3 CAS#__RAS# VSSQ_F5 F5
IN IN IN IN
VSSQ_F10 F10 VSSQ_F10 F10 VSSQ_F10 F10 VSSQ_F10 F10
VSSQ_H2 H2 VSSQ_H2 H2 VSSQ_H2 H2 VSSQ_H2 H2
8
CKEG0 J3 CKE# VSSQ_H13 H13 8
CKEG1 J3 CKE# VSSQ_H13 H13 8
CKEH0 J3 CKE# VSSQ_H13 H13 8
CKEH1 J3 CKE# VSSQ_H13 H13
IN +MVDD IN +MVDD IN +MVDD IN
8
CLKG0B J11 CK# VSSQ_K2 K2 8
CLKG1B J11 CK# VSSQ_K2 K2 8
CLKH0B J11 CK# VSSQ_K2 K2 8
CLKH1B J11 CK# VSSQ_K2 K2
+MVDD IN IN IN IN
8
CLKG0 J12 CK VSSQ_K13 K13 8
CLKG1 J12 CK VSSQ_K13 K13 8
CLKH0 J12 CK VSSQ_K13 K13 8
CLKH1 J12 CK VSSQ_K13 K13
IN IN IN IN
1
R3686 260.4R VSSQ_M5 M5 1
R3659 260.4R VSSQ_M5 M5 R3668 1 260.4R VSSQ_M5 M5 1
R3677 260.4R VSSQ_M5 M5
1
R3687 260.4R VSSQ_M10 M10 1
R3660 260.4R VSSQ_M10 M10 R3669 1 260.4R VSSQ_M10 M10 1
R3678 260.4R VSSQ_M10 M10
8
CSG0B_0 G12 CS#__WE# VSSQ_N1 N1 8
WEG1B G12 CS#__WE# VSSQ_N1 N1 8
CSH0B_0 G12 CS#__WE# VSSQ_N1 N1 8
WEH1B G12 CS#__WE# VSSQ_N1 N1
IN IN IN IN
8
WEG0B L12 WE#__CS# VSSQ_N3 N3 8
CSG1B_0 L12 WE#__CS# VSSQ_N3 N3 8
WEH0B L12 WE#__CS# VSSQ_N3 N3 8
CSH1B_0 L12 WE#__CS# VSSQ_N3 N3
IN IN IN IN
VSSQ_N12 N12 VSSQ_N12 N12 VSSQ_N12 N12 VSSQ_N12 N12
VSSQ_N14 N14 VSSQ_N14 N14 VSSQ_N14 N14 VSSQ_N14 N14
R3688 1 2120R J13 UNNAMED_9_GDDR5_I41_ZQ
ZQ VSSQ_R1 R1 R3661 1 2120R J13 UNNAMED_9_GDDR5_I173_ZQ
ZQ VSSQ_R1 R1 R3670 1 2120R J13 UNNAMED_9_GDDR5_I226_ZQ
ZQ VSSQ_R1 R1 R3679 1 2120R J13 UNNAMED_9_GDDR5_I457_ZQ
ZQ VSSQ_R1 R1
J10 SEN VSSQ_R3 R3 J10 SEN VSSQ_R3 R3 J10 SEN VSSQ_R3 R3 J10 SEN VSSQ_R3 R3
B VSSQ_R4 R4 VSSQ_R4 R4 VSSQ_R4 R4 VSSQ_R4 R4 B
VSSQ_R11 R11 VSSQ_R11 R11 VSSQ_R11 R11 VSSQ_R11 R11
2,9
DRAM_RSTG J2 RESET# VSSQ_R12 R12 2,9
DRAM_RSTG J2 RESET# VSSQ_R12 R12 2,9
DRAM_RSTH J2 RESET# VSSQ_R12 R12 2,9
DRAM_RSTH J2 RESET# VSSQ_R12 R12
IN IN IN IN
J1 MF VSSQ_R14 R14 +MVDD J1 MF VSSQ_R14 R14 J1 MF VSSQ_R14 R14 +MVDD
J1 MF VSSQ_R14 R14
VSSQ_V1 V1 VSSQ_V1 V1 VSSQ_V1 V1 VSSQ_V1 V1
VSSQ_V3 V3 VSSQ_V3 V3 VSSQ_V3 V3 VSSQ_V3 V3
VSSQ_V12 V12 VSSQ_V12 V12 VSSQ_V12 V12 VSSQ_V12 V12
+MVDD R3689 1 2
2.37K
VSSQ_V14 V14 +MVDD
R3662 1 2 2.37K
VSSQ_V14 V14 +MVDD R3671 1 22.37K
VSSQ_V14 V14 +MVDD
R3680 1 22.37K
VSSQ_V14 V14
R3690 1 2 5.49K A5 Vpp_NC R3663 1 2 5.49K A5 Vpp_NC R3672 1 25.49K A5 Vpp_NC R3681 1 25.49K A5 Vpp_NC
C3735 1uF V5 Vpp_NC1 C3668 1uF V5 Vpp_NC1 C3690 1uF V5 Vpp_NC1 C3713 1uF V5 Vpp_NC1
VSS_B5 B5 VSS_B5 B5 VSS_B5 B5 VSS_B5 B5
A10 UNNAMED_9_GDDR5_I41_VREFD1
VREFD1 VSS_B10 B10 A10 UNNAMED_9_GDDR5_I173_VREFD1
VREFD1 VSS_B10 B10 A10 UNNAMED_9_GDDR5_I226_VREFD1
VREFD1 VSS_B10 B10 A10 UNNAMED_9_GDDR5_I457_VREFD1
VREFD1 VSS_B10 B10
+MVDD R3691 1 2
2.37K V10 UNNAMED_9_GDDR5_I41_VREFD2
VREFD2 VSS_D10 D10 +MVDD
R3664 1 2 2.37K V10 UNNAMED_9_GDDR5_I173_VREFD2
VREFD2 VSS_D10 D10 +MVDD R3673 1 22.37K V10 UNNAMED_9_GDDR5_I226_VREFD2
VREFD2 VSS_D10 D10 +MVDD
R3682 1 22.37K V10 UNNAMED_9_GDDR5_I457_VREFD2
VREFD2 VSS_D10 D10
R3692 1 2
5.49K
VSS_G5 G5 R3665 1 2 5.49K
VSS_G5 G5 R3674 1 25.49K
VSS_G5 G5 R3683 1 25.49K
VSS_G5 G5
C3736 1uF
VSS_G10 G10 C3669 1uF
VSS_G10 G10 C3691 1uF
VSS_G10 G10 C3714 1uF
VSS_G10 G10
VSS_H1 H1 VSS_H1 H1 VSS_H1 H1 VSS_H1 H1
+MVDD R3693 1 2
2.37K
VSS_H14 H14 +MVDD
R3666 1 2 2.37K
VSS_H14 H14 +MVDD R3675 1 22.37K
VSS_H14 H14 +MVDD R3684 1 22.37K
VSS_H14 H14
R3694 1 2
5.49K
VSS_K1 K1 R3667 1 2 5.49K
VSS_K1 K1 R3676 1 25.49K
VSS_K1 K1 R3685 1 25.49K
VSS_K1 K1
C3737 1uF J14 UNNAMED_9_GDDR5_I41_VREFC
VREFC VSS_K14 K14 C3670 1uF J14 UNNAMED_9_GDDR5_I173_VREFC
VREFC VSS_K14 K14 C3692 1uF J14 UNNAMED_9_GDDR5_I226_VREFC
VREFC VSS_K14 K14 C3715 1uF J14 UNNAMED_9_GDDR5_I457_VREFC
VREFC VSS_K14 K14
VSS_L5 L5 VSS_L5 L5 VSS_L5 L5 VSS_L5 L5
VSS_L10 L10 VSS_L10 L10 VSS_L10 L10 VSS_L10 L10
VSS_P10 P10 VSS_P10 P10 VSS_P10 P10 VSS_P10 P10
8
ADBIG0 J4 ABI# VSS_T5 T5 8
ADBIG1 J4 ABI# VSS_T5 T5 8
ADBIH0 J4 ABI# VSS_T5 T5 8
ADBIH1 J4 ABI# VSS_T5 T5
IN IN IN IN
VSS_T10 T10 VSS_T10 T10 VSS_T10 T10 VSS_T10 T10

+MVDD +MVDD +MVDD +MVDD


C3649

C3650

C3651

C3652

C3653

C3654

C3655

C3656

C3657

C3658

C3671

C3672

C3673

C3674

C3675

C3676

C3677

C3678

C3679

C3680

C3693

C3694

C3695

C3696

C3697

C3698

C3699

C3700

C3701

C3702

C3716

C3717

C3718

C3719

C3720

C3721

C3722

C3723

C3724

C3725

A A
0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF

0.1uF
10uF

10uF

10uF

10uF

10uF

10uF
10uF

10uF

+MVDD +MVDD +MVDD +MVDD


C3659

C3660

C3661

C3662

C3663

C3664

C3665

C3666

C3667

C3712
C3681

C3682

C3683

C3684

C3685

C3686

C3687

C3688

C3689

C3703

C3704

C3705

C3706

C3707

C3708

C3709

C3710

C3711

C3726

C3727

C3728

C3729

C3730

C3731

C3732

C3733

C3734

Micro-Star International Co., LTD.


<Title>
MS-V308
1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF
10uF

10uF

10uF

10uF

10uF

Size Document Number Rev


Custom <Doc> 1.0

Date: Tuesday, October 29, 2013 Sheet 9 of 26

8 7 6 5 4 3 2 1
8 7 6 5 4 3 2 1

(10) HAWAII GPIO/CF/CLKs


U1K

+3.3V_BUS
SYMBOL 11

AK40 VDD_GPIO33 GPIO_0_PWRCNTL_4 Y43 GPIO_0


TP11
AJ41 VDD_GPIO33 GPIO_1 AR39 GPIO_1
TP12
C1 C2 C3 AH40 VDD_GPIO33 GPIO_2 AV46 GPIO_2
TP13
1uF 0.1uF 0.1uF
10V
AH41 VDD_GPIO33 GPIO_3 BA48
+1.8V
GPIO_4 BA47 Unprotected FLASH

+3.3V_BUS +3.3V_BUS
AL38 VDD_GPIO18 GPIO_5_REG_HOT AA43 GPIO_5_REG_HOT
19,20
IN U3 BIOS1
AN38 VDD_GPIO18 GPIO_6_TACH W44 GPIO_6_TACH
15
IN
C4 C5 C6 AK38 VDD_GPIO18 GPIO_7_BL_ENABLE AH42 GPIO_7
TP10 3 WP VDD 8
1uF 1uF 0.1uF
AL39 VDD_GPIO18 GPIO_8_ROMSO AT44 GPIO_8 RP1A 1 8 33R GPIO_8_R 2 SO HOLD 7 BIOS
GPIO_9_ROMSI AU44 GPIO_9 RP1B 2 7 33R GPIO_9_R 5 SI

D GPIO_10_ROMSCK AT45 GPIO_10 RP1C 3 6 33R GPIO_10_R 6 SCK C7


D
0.1uF
+1.8V R15 3.24K 1% PS_0 AN45 PS_0 GPIO_11 AD44 R14 2 10R PR_FLASH_CE 1 CE GND 4
+3.3V_BUS
R16 5.62K 1% GPIO_12 AD43
C16 1 2 0.68uF 4V GPIO_13 AC44 VDDC_ICRIT
20
Pm25LD010C-SCE
IN
GPIO_14_HPD2 AU43 HPD2
12 Function Table
IN +3.3V_BUS +3.3V_BUS +3.3V_BUS
+1.8V R17 8.45K 1% PS_1 AN44 PS_1 GPIO_15_PWRCNTL_0 AA42 GPIO_15_MVDD_VID0
23
OUT
R18 2K 1% GPIO_16_8P_DETECT AB44 R23 L(S) B0 to A
C18 0.1uF GPIO_17_THERMAL_INT W43 GPIO_17_THERMAL_INT
15
100K
IN
GPIO_18_HPD3 AR45 HPD3
12 H(S) B1 to A
IN

2
Protected FLASH
+1.8V R19 4.53K 1% PS_2 AN43 PS_2 GPIO_19_CTF U45 GPIO_19_CTF
15 C15
OUT 0.1uF +3.3V_BUS
R20 4.99K 1% GPIO_20_PWRCNTL_1 AA41 GPIO_20_MVDD_VID1
23 R26 R27
GPIO_30_FLASH_WP
OUT U4 10K 10K U2 BIOS2
C20 1 2 0.01uF 25V GPIO_21 AC43 GPIO_21_FLASH_SEL R24 3.32K
GPIO_22_ROMCSB AU45 GPIO_22 RP1D 4 5 33R 4 UNNAMED_10_NC7SB3157_I243_A
A VCC 5 R25 10K 3 WP VDD 8

1
+1.8V R21 3.4K 1% PS_3 AL43 PS_3 2 SO HOLD 7 BIOS
R22 100K 1% GPIO_29 AD41 FLASH_SEL_R 6 S B0 3 5 SI
C22 1 2 0.082uF 16V GPIO_30 AD42 GPIO_30_FLASH_WP 6 SCK C12
0.1uF
2 GND B1 1 SR_FLASH_CE 1 CE GND 4

3
+1.8V R28 3.4K 1% PS_4 AL44 RSVD GPIO_28_FDO Y44 GPIO_28_FDO
15
OUT

3
R29 100K 1% HPD1 AR43 HPD1
11 NC7SB3157 Pm25LD010C-SCE
IN

10K 5%
C99 1 2 0.082uF 16V

R48
AB41 VDDC_VDDCI_SVT
GPIO_SVT
VDDC_VDDCI_SVC IN 19
GPIO_SVC AC42UNNAMED_10_HAWAII_I345_GPIOSVC
R42 0R
19 SW1
OUT

M4
M3

M2
M1
GPIO_SVD AC41UNNAMED_10_HAWAII_I345_GPIOSVD R43 0R VDDC_VDDCI_SVD
OUT 19
TP1 AU53 GENERICA
UNNAMED_10_CAP_I273_A

C14 GENERICB AG41


0.1uF
GENERICC AR41 GENERICC

GENERICD AV45 GENERICD

GENERICE_HPD4 AP45
GENERICF_HPD5 AP44
T43 RSVD GENERICG_HPD6 AT43 HPD6
13
IN
T44 RSVD

AP39 RSVD RSVD AR44 CEC


TP14
AN41 RSVD
AN40 RSVD

C AB42 RSVD
C
AB43 RSVD
Place all components on the bottom side. VDDC_VDDCI_REG_SCL
SCL Y41 SCL R30 0R
19,20
VDDC_VDDCI_REG_SDA OUT
R54 0R U41 UNNAMED_10_HAWAII_I345_TEST6
TEST6 SDA Y42 SDA R31 0R
19,20
BI
HAWAII REV 0.53
HAWAII - REV 0.50
R50 1 210K +3.3V_BUS
+1.8V R51 1
U1L 210K
Lower Cable Card Edge
SYMBOL 12
AV37 VDD_DVP DVPDATA_0 BF46 DVPDATA_0
TP15
AW37 VDD_DVP DVPDATA_1 BD46 DVPDATA_1
TP16
C8 C9 C10 C11 AW38 VDD_DVP DVPDATA_2 BC44 DVPDATA_2
TP17
10uF 4.7uF 1uF 1uF
4V
AU38 VDD_DVP DVPDATA_3 BC45 DVPDATA_3
TP18
DVPDATA_4 BC46 DVPDATA_4
TP19
DVPDATA_5 BA43 DVPDATA_5
TP20
DVPDATA_6 BA44 DVPDATA_6
TP21
DVPDATA_7 BA45 DVPDATA_7
TP22
DVPDATA_8 BA46 DVPDATA_8
TP23
DVPDATA_9 AY44 DVPDATA_9
TP24
DVPDATA_10 AY45 DVPDATA_10
TP25
DVPDATA_11 AY46 DVPDATA_11
TP26

DVPCLK BC43 DVOCLK


TP27
+3.3V_BUS
DVPCNTL_2 BD43 DVPCNTL_2
TP28
DVPCNTL_1 AV44 DVPCNTL_1
TP29

TP2
SWAP_LOCK_A AK44 SWAPLOCKA DVPCNTL_0 AY43 DVPCNTL_0
TP31
TP3
SWAP_LOCK_B AK43 SWAPLOCKB
GPIO_2
TP32
GENERICD
TP33
10K
TP4
GENLK_CLK AH44 GENLK_CLK
R56 TP5
GENLK_VSYNC AH43 GENLK_VSYNC
DNI 5%
CLKREQB
1
R55 0R AK41 UNNAMED_10_HAWAII_I346_CLKREQB
CLKREQB DVPDATA_12 AY40 DVPDATA_12
TP34
OUT
DVPDATA_13 AV39 DVPDATA_13
TP35
DVPDATA_14 AV40 DVPDATA_14
TP36
+1.8V
DVPDATA_15 AV41 DVPDATA_15
TP37
+3.3V_BUS PIN BASED STRAPS
DVPDATA_16 AV42 DVPDATA_16
TP38
R34 1 2221R VREFG BJ47 VREFG DVPDATA_17 AU39 DVPDATA_17
TP39
R35 1 2110R AU40 DVPDATA_18
B DVPDATA_18 TP40 B
C19 0.1uF
DVPDATA_19 AU41 DVPDATA_19
TP41
DVPDATA_20 AT39 DVPDATA_20
TP42 AUD[1:0] (AUD[1]= HSYNC , AUD[0]= VSYNC) )

DVPDATA_21 AT40 DVPDATA_21


TP43 00 - No audio function;
01 - Audio for DisplayPort only;
DVPDATA_22 AT41 DVPDATA_22
TP44 R8 1 210K VSYNC
15
OUT 10 - Audio for DisplayPort and HDMI if dongle is
DVPDATA_23 AT42 DVPDATA_23
TP45 MR8 1 210K detected;
R9 1 210K HSYNC
11 - Audio for both DisplayPort and HDMI.
15
OUT
DVPCNTL_MVP_1 AY41 DVP_MVP_CNTL_1
TP46 MR9 1 210K HDMI must only be enabled on systems that are
legally entitled. It is the responsibility of the system
DVPCNTL_MVP_0 AY42 DVP_MVP_CNTL_0
TP47
designer to ensure that the system is entitled to
support this feature.

GPIO_1
TP48
Please pay attention to the grounding TEST_PG AF41
UNNAMED_10_HAWAII_I346_TESTPG GENERICC
TP49
strategies for these filter capacitors to
1

maintain a close loop for current.


HAWAII REV 0.53
R47
HAWAII - REV 0.50 0R
5%
2

U1M
SYMBOL 13
XO_IN2 AV53 XO_IN2
24
IN

XO_IN AV52 XO_IN


24
IN
C51 20pF
1

XTALIN

R49
1

0R
5%
+1.8V XTALIN AU52 R52
1 2

1M UNNAMED_10_CRYSTAL4PNC_I293_P1
2

B5 1 2 120R +SPLL_PVDD AR42 SPLL_PVDD


2

Y2
27.000MHz

A XTALOUT AV55 A
3
4

C29 C30 C31


XTALOUT_R 20pF
10uF 1uF 0.1uF XTALOUT R53 0R C52
+0.95V AP41 SPLL_PVSS

B6 1 2 120R +SPLL_VDDC AP40 SPLL_VDDC PLL_ANALOG_IN AT50 PLL_ANALOGIN


TP30
PLL_ANALOG_OUT AT49 PLL_ANALOGOUT R46 16.2K 1%

C32 C33 DNI


1uF 0.1uF

route 50ohms single-ended/100ohms diff


+1.8V
and keep short

B7 2 1 220R +MPV18 H1 AP43 CLKTESTA 0.1uF CLKTESTA_C R44 1 251.1R


J4
MPLL_PVDD

MPLL_PVDD
CLKTESTA C34
Micro-Star International Co., LTD.
C35 C36 C37 C38 C39 C40 C41
H3 MPLL_PVDD CLKTESTB AP42 CLKTESTB C42 0.1uF CLKTESTB_C R45 1 251.1R <Title>
4.7uF 4.7uF
10uF 10uF 10uF
4V 4V
1uF 0.1uF

HAWAII REV 0.53


MS-V308
HAWAII - REV 0.50
Size Document Number Rev
Custom <Doc> 1.0

Date: Tuesday, October 29, 2013 Sheet 10 of 26

8 7 6 5 4 3 2 1
8 7 6 5 4 3 2 1

(11) HAWAII TMDPAB dDVI

U1S

SYMBOL 19 +5V_VESA
J1900B

D DPAB_CALR ABTX2P D
1% AY49 DPAB_CALR TX2P_DPA0P BA54 DPA_TX2P 1
C1720 2 0.1uF 6.3V B2 TMDS_Data2+ +5V_POWER B14
R1700 150R R1720
ABTX2P 499R 1%
+1.8V
TX2M_DPA0N BB55 DPA_TX2N 1
C1721 2 0.1uF 6.3V ABTX2N
ABTX2N B1 TMDS_Data2- C1740
R1721 499R 1% 1uF
16V
AY50 DP_VDDR#1 TX1P_DPA1P BB53 DPA_TX1P 1
C1722 2 0.1uF 6.3V ABTX1P B10 TMDS_Data1+
BA50 DP_VDDR#2 R1722 499R 1%

C23 C24 C1700 C1701 C1702 C1703 C1704 C1705 AV49 DP_VDDR#3 TX1M_DPA1N BC52 DPA_TX1N 1
C1723 2 0.1uF 6.3V ABTX1N B9 TMDS_Data1-
C25
10uF 0.1uF 0.1uF 1uF 1uF 1uF 1uF 10uF 10uF BD50 R1723 499R 1%
DP_VDDR#4 ABTX1N
10V 6.3V 6.3V 6.3V 6.3V 6.3V 6.3V
BC49 DP_VDDR#5 TX0P_DPA2P BC54 DPA_TX0P 1
C1724 2 0.1uF 6.3V ABTX0P B18 TMDS_Data0+
AV50 DP_VDDR#6 R1724
ABTX0P 499R 1%
BC50 DP_VDDR#7 TX0M_DPA2N BC55 DPA_TX0N 1
C1725 2 0.1uF 6.3V ABTX0N
ABTX0N B17 TMDS_Data0-
BA49 DP_VDDR#8 R1725 499R 1%
AU50 DP_VDDR#9 TXCAP_DPA3P BC53 DPA_TXCP 1
C1726 2 0.1uF 6.3V ABTXCP
ABTXCP B23 TMDS_Clock+
R1726 499R 1%
TXCAM_DPA3N BD52 DPA_TXCN 1
C1727 2 0.1uF 6.3V ABTXCN B24 TMDS_Clock-
R1727 499R 1%
ABTX5P B21 TMDS_Data5+
+0.95V R1728 499R 1%
ABTX5N B20 TMDS_Data5-
AN48 DP_VDDC#1 DDCAUX3P BC48 DDC3CLK_HDMI
12
R1729 499R 1%
OUT ABTX4P
AN47 DP_VDDC#2 B5 TMDS_Data4+
C1710 C1711 C1712 C1713 C1714 C1715 AT46 DP_VDDC#3 DDCAUX3N BC47 DDC3DAT_HDMI
12
R1730
ABTX4P 499R 1%
1uF 1uF 1uF 1uF 10uF 10uF
BI ABTX4N
6.3V 6.3V 6.3V 6.3V 6.3V 6.3V
AT47 DP_VDDC#4 B4 TMDS_Data4-
AR47 DP_VDDC#5 R1731 499R 1%
AR48 DP_VDDC#6
ABTX3P B13 TMDS_Data3+
AP47 DP_VDDC#7 R1732 499R 1%
AP48 DP_VDDC#8
ABTX3N B12 TMDS_Data3-
AT48 DP_VDDC#9 R1733 499R 1%

DPAB_GND

3
DVI_EN 1 Q1700 DDC4CLK_DVI B6
C 12,13 IN DDC_Clock C
2N7002
DDC4DAT_DVI B7 DDC_Data

2
TX5P_DPB0P AV54 DPB_TX5P 1
C1728 2 0.1uF 6.3V ABTX5P

TX5M_DPB0N AW55 DPB_TX5N 1


C1729 2 0.1uF 6.3V ABTX5N

TX4P_DPB1P AW53 DPB_TX4P 1


C1730 2 0.1uF 6.3V TMDS_Data0/5_Shield B19
TMDS_Data1/3_Shield B11
TX4M_DPB1N AY52 DPB_TX4N 1
C1731 2 0.1uF
ABTX4N 6.3V B8 NC TMDS_Data2/4_Shield B3
TMDS_Clock_Shield B22
TX3P_DPB2P AY54 DPB_TX3P 1
C1732 2 0.1uF 6.3V B16 Hot_Plug_Detect GND_(for_+5V) B15

TX3M_DPB2N AY55 DPB_TX3N 1


C1733 2 0.1uF 6.3V ABTX3N

+5V_VESA
STACKED_DVI-D
TXCBP_DPB3P AY53
STACKED DVI - TOP
TXCBM_DPB3N BA52

1
R1701 R1702
2.2K 2.2K
5% 5%

2
DDCAUX4P AY48

DDCAUX4N AY47 DDC4DAT_DVI

HAWAII REV 0.53


HAWAII - REV 0.50

+3.3V_BUS

3
Q1701 1 R1703
UNNAMED_11_NPN_I242_B
20K 5% HPD_AB_DVI
MMBT3904
HPD1 R1704 10K 5%
10 OUT

2
B B

OPTIONAL ESD PROTECTION DIODES

D1700 2 1 ESD5V3U1U-02LRH DNI


ABTX2P

D1701 2 1 ESD5V3U1U-02LRH DNI


ABTX2N

D1702 2 1 ESD5V3U1U-02LRH DNI


ABTX1P

D1703 2 1 ESD5V3U1U-02LRH DNI


ABTX1N

D1704 2 1 ESD5V3U1U-02LRH DNI


ABTX0P

D1705 2 1 ESD5V3U1U-02LRH DNI


ABTX0N

D1706 2 1 ESD5V3U1U-02LRH DNI


ABTXCP

D1707 2 1 ESD5V3U1U-02LRH DNI


ABTXCN

D1708 2 1 ESD5V3U1U-02LRH DNI


ABTX5P

D1709 2 1 ESD5V3U1U-02LRH DNI


ABTX5N

D1710 2 1 ESD5V3U1U-02LRH DNI


ABTX4P

D1711 2 1 ESD5V3U1U-02LRH DNI


ABTX4N

D1712 2 1 ESD5V3U1U-02LRH DNI


ABTX3P

D1713 2 1 ESD5V3U1U-02LRH DNI


ABTX3N

A D1714 2 1 ESD5V3U1U-02LRH DNI


DDC4CLK_DVI
A
D1715 2 1 ESD5V3U1U-02LRH DNI
DDC4DAT_DVI

Micro-Star International Co., LTD.


<Title>
MS-V308
Size Document Number Rev
Custom <Doc> 1.0

Date: Tuesday, October 29, 2013 Sheet 11 of 26

8 7 6 5 4 3 2 1
8 7 6 5 4 3 2 1

(12) HAWAII TMDPCD DP HDMI


+3.3V_BUS
F1800
+3.3V_DP 1 2

+3.3V_DP
1.1A
C1840 C1841 6V
22uF 100uF
6.3V 6.3V
DNI

U1T

SYMBOL 20
J1800
R1800 150R 1% DPCD_CALR BD49 DPCD_CALR TX2P_DPC0P BG54 DPC_C0P 1
C1820 2 0.1uF 6.3V DPC_0P
D DPC_0P 1 D
BH53 DPC_C0N 1
C1821 2 0.1uF 6.3V DPC_0N 2 ML_LANE_0P X1
TX2M_DPC0N
DPC_0N 3 GND-1 X1 X2
BJ54 DPC_C1P 1
C1822 2 0.1uF 6.3V DPC_1P DPC_1P 4 ML_LANE_0N X2 X3
TX1P_DPC1P
5 ML_LANE_1P X3 X4
BK54 DPC_C1N 1
C1823 2 0.1uF 6.3V DPC_1N DPC_1N 6 GND-2 X4 MEC1
TX1M_DPC1N
DPC_2P 7 ML_LANE_1N MEC1
BJ52 DPC_C2P 1
C1824 2 0.1uF 6.3V DPC_2P 8 ML_LANE_2P
TX0P_DPC2P
DPC_2N 9 GND-3
BK51 DPC_C2N 1
C1825 2 0.1uF 6.3V DPC_2N DPC_3P 10 ML_LANE_2N
TX0M_DPC2N
11 ML_LANE_3P
BK56 DPC_C3P 1
C1826 2 0.1uF 6.3V DPC_3P DPC_3N 12 GND-4
TXCCP_DPC3P
DONGLE_DET_DP 13 ML_LANE_3N
BM54 DPC_C3N 1
C1827 2 0.1uF 6.3V DPC_3N CONFIG2_DP 14 CONFIG 1
TXCCM_DPC3N
AUX1P_DPC 15 CONFIG 2
BG50 AUX1P 1
C1828 2 0.1uF 6.3V AUX1P_DPC 16 AUX_CHP
AUX1P
R1801 100K 5% AUX1N_DPC 17 GND-7
BG49 AUX1N 1
C1829 2 0.1uF 6.3V AUX1N_DPC HPD_DP 18 AUX_CHN
AUX1N AUX1N_DPC

R1802 100K 5% 19 HOT PLUG DETECT


+3.3V_BUS
BF49 DDC1CLK 2 3 +12V_BUS +12V_BUS
20 RETURN DP_PWR
DDC1CLK AUX1P_DPC

+3.3V_BUS DP_PWR
BF50 DDC1DAT Q1802 2 3
DDC1DATA

3
2N7002 DISPO20PSM_BLACK-HF-13

1
Q1803
R1807 R1808
Q1801 1 R1803
N28404577 20K 5% HPD_DP
2N7002 10K 10K
MMBT3904

1
5% 5%
AUX1_BYPASS_EN HPD2 R1804 10K 5%
10 OUT

3 2

2
N50484859

1 DONGLE_DET_DP

3
1 Q1805 R1805 1M 5%

2
2N7002
Q1804

2
MMBT3904 R1806 5.1M 5% CONFIG2_DP

BD54 DPD_TX2P 1 2 0.1uF BTX2P


C TX5P_DPD0P C1870 6.3V BTX2P

R1870 499R 1% +5V_VESA


C
TX5M_DPD0N BE55 DPD_TX2N 1
C1871 2 0.1uF 6.3V BTX2N
BTX2N
R1871 499R 1%

TX4P_DPD1P BE53 DPD_TX1P 1


C1872 2 0.1uF 6.3V BTX1P
BTX1P
R1872 499R 1%
C1880
TX4M_DPD1N BF52 DPD_TX1N 1
C1873 2 0.1uF 6.3V BTX1N
BTX1N 1uF
R1873 499R 1% 6.3V
TX3P_DPD2P BF54 DPD_TX0P 1
C1874 2 0.1uF 6.3V BTX0P
BTX0P
R1874 499R 1%

TX3M_DPD2N BF55 DPD_TX0N 1


C1875 2 0.1uF 6.3V BTX0N
BTX0N
R1875 499R 1%
TXCDP_DPD3P BF53 DPD_TXCP 1
C1876 2 0.1uF 6.3V BTXCP
BTXCP J1850
R1876 499R 1% HPD_HDMI 19
BG52 DPD_TXCN 1
C1877 2 0.1uF 6.3V BTXCN 18 HP_DET
TXCDM_DPD3N BTXCN

R1877 499R 1%
+5V_VESA
17 +5V
BF47 GND X1
AUX2N
DPD_GND DDC3DAT_HDMI 16 SHELL1
SDA

3
AUX2P BF48 DDC3CLK_HDMI 15 X2
SCL SHELL2

1
DVI_EN 1 Q1850
11,13 IN
DDC2CLK BD48 2N7002 R1851 R1852 14 MEC1
2.2K 2.2K 13 NC MEC1

2
5% 5% CE Remote
DDC2DATA BD47

2
DDC3CLK_HDMI BTXCN 12
11 IN CK-
11
HAWAII REV 0.53 DDC3DAT_HDMI BTXCP 10 CK_Shield
11 BI CK+
HAWAII - REV 0.50
+3.3V_BUS
BTX0N 9
8 D0-
D0_Shield

3
BTX0P 7
Q1851 1 R1853
N62218298
20K 5% HPD_HDMI D0+ MEC2
BTX1N 6 MEC2
MMBT3904
HPD3 R1854 10K 5% 5 D1- X3
10 OUT

2
BTX1P 4 D1_Shield SHELL3
D1+ X4
BTX2N 3 SHELL4
2 D2-
B 1 D2_Shield B
BTX2P
D2+
HDMI19PSM_BLACK-RH-5
HDMI_S19_16
COMMON
VVVV30701S
MJ1800 N5Y-19M0800-C67

OPTIONAL ESD PROTECTION DIODES


DPC_0P 1 ML_Lane_0p DP_PWR 20 +3.3V_DP

DPC_0N 3 ML_Lane_0n

D1800 D1850
DPC_1P 4 ML_Lane_1p
D Y4 D Y4
DPC_0P 5 6 DPC_0P BTX2P 5 6 BTX2P
C Y3 C Y3
DPC_0N 4 7 DPC_0N BTX2N 4 7 BTX2N DPC_1N 6 ML_Lane_1n
GND GND1 GND GND1
3 8 3 8
B Y2 B Y2
DPC_1P 2 9 DPC_1P BTX1P 2 9 BTX1P DPC_2P 7 ML_Lane_2p
A Y1 A Y1
DPC_1N 1 10 DPC_1N BTX1N 1 10 BTX1N
DPC_2N 9 ML_Lane_2n CHANGE HDMI/DP FOOTPRINT
RCLAMP0524P DNI RCLAMP0524P DNI
DPC_3P 10 ML_Lane_3p

D1801 D1851
DPC_3N 12 ML_Lane_3n
D Y4 D Y4
DPC_2P 5 6 DPC_2P BTX0P 5 6 BTX0P
C Y3 C Y3
DPC_2N 4 7 DPC_2N BTX0N 4 7 BTX0N AUX1P_DPC 15 AUX_CHp
GND GND1 GND GND1
3 8 3 8
B Y2 B Y2
DPC_3P 2 9 DPC_3P BTXCP 2 9 BTXCP AUX1N_DPC 17 AUX_CHn
A Y1 A Y1
DPC_3N 1 10 DPC_3N BTXCN 1 10 BTXCN

RCLAMP0524P DNI RCLAMP0524P DNI

PWR_RTN 19
G1 G1
HPD_DP 18 Hot_Det G2 G2
D1802 2 1 ESD5V3U1U-02LRH DNI
AUX1P_DPC D1852 2 1 ESD5V3U1U-02LRH DNI
DDC3CLK_HDMI
G3 G3
G4 G4
A D1803 2 1 ESD5V3U1U-02LRH DNI
AUX1N_DPC D1853 2 1 ESD5V3U1U-02LRH DNI
DDC3DAT_HDMI
GND_0 2 A
GND_1 5
D1804 2 1 ESD5V3U1U-02LRH DNI
DONGLE_DET_DP DONGLE_DET_DP 13 CONFIG 1 GND_2 8
GND_3 11
CONFIG2_DP 14 CONFIG 2 GND_6 16

DP_W/GASKET

OVERLAP WITH J1800


Micro-Star International Co., LTD.
<Title>
MS-V308
Size Document Number Rev
Custom <Doc> 1.0

Date: Friday, November 01, 2013 Sheet 12 of 26

8 7 6 5 4 3 2 1
8 7 6 5 4 3 2 1

(13) HAWAII TMDPEF DVI

U1U

J1900A +5V_VESA
SYMBOL 21

DPEF_CALR AL42 AP53 DPE_TX2P 1 2 0.1uF EFTX2P A2 A14


D R1900 150R 1% DPEF_CALR TX2P_DPE0P C1920 6.3V
R1920 499R 1%
TMDS_Data2+ +5V_POWER D
TX2M_DPE0N AR52 DPE_TX2N 1
C1921 2 0.1uF 6.3V EFTX2N A1 TMDS_Data2- C1940
R1921
EFTX2N 499R 1% 1uF
16V
TX1P_DPE1P AR54 DPE_TX1P 1
C1922 2 0.1uF 6.3V EFTX1P A10 TMDS_Data1+
R1922 499R 1%
TX1M_DPE1N AR55 DPE_TX1N 1
C1923 2 0.1uF 6.3V EFTX1N A9 TMDS_Data1-
R1923
EFTX1N 499R 1%
TX0P_DPE2P AR53 DPE_TX0P 1
C1924 2 0.1uF 6.3V EFTX0P
EFTX0P A18 TMDS_Data0+
R1924 499R 1%

TX0M_DPE2N AT52 DPE_TX0N 1


C1925 2 0.1uF 6.3V EFTX0N A17 TMDS_Data0-
R1925
EFTX0N 499R 1%
TXCEP_DPE3P AT54 DPE_TXCP 1
C1926 2 0.1uF 6.3V EFTXCP A23 TMDS_Clock+
R1926
EFTXCP 499R 1%
TXCEM_DPE3N AU55 DPE_TXCN 1
C1927 2 0.1uF 6.3V EFTXCN A24 TMDS_Clock-
R1927 499R 1%
EFTX5P A21 TMDS_Data5+
R1928 499R 1%
EFTX5N A20 TMDS_Data5-
DDCAUX5P AV48 R1929 499R 1%
EFTX4P A5 TMDS_Data4+
DDCAUX5N AV47 R1930
EFTX4P 499R 1%
EFTX4N A4 TMDS_Data4-
R1931 499R 1%
EFTX3P A13 TMDS_Data3+
R1932 499R 1%
EFTX3N A12 TMDS_Data3-
R1933 499R 1%
+12V_BUS
DPEF_GND

1
R1905
100K
5%

3
2
DVI_EN 1 Q1900 DDC6CLK_DVI A6 DDC_Clock
11,12 OUT
C 2N7002
DDC6DAT_DVI C
C1905 A7 DDC_Data

2
AM53 DPF_TX5P 1
C1928 2 0.1uF 6.3V 0.1uF
TX5P_DPF0P EFTX5P
16V

TX5M_DPF0N AN52 DPF_TX5N 1


C1929 2 0.1uF 6.3V EFTX5N

TX4P_DPF1P AN54 DPF_TX4P 1


C1930 2 0.1uF 6.3V TMDS_Data0/5_Shield A19
TMDS_Data1/3_Shield A11
TX4M_DPF1N AN55 DPF_TX4N 1
C1931 2 0.1uF
EFTX4N 6.3V A8 NC TMDS_Data2/4_Shield A3
TMDS_Clock_Shield A22
TX3P_DPF2P AN53 DPF_TX3P 1
C1932 2 0.1uF 6.3V A16 Hot_Plug_Detect GND_(for_+5V) A15

TX3M_DPF2N AP52 DPF_TX3N 1


C1933 2 0.1uF 6.3V EFTX3N

+5V_VESA
STACKED_DVI-D
TXCFP_DPF3P AP54
STACKED DVI - BOTTOM
TXCFM_DPF3N AP55

1
R1901 R1902
2.2K 2.2K
5% 5%

2
DDCAUX6P AU48

DDCAUX6N AU47 MJ1900

HAWAII REV 0.53 +3.3V_BUS EFTX2N 1 TMDS Data2-


HAWAII - REV 0.50
EFTX2P 2 TMDS Data2+

3
3 TMDS Data2/4 Shield
Q1901 1 R1903
UNNAMED_13_NPN_I96_B
20K 5% HPD_EF_DVI EFTX4N 4 TMDS Data4-
MMBT3904
EFTX4P 5 TMDS Data4+
HPD6 R1904 10K 5% DDC6CLK_DVI 6 DDC Clock
10 OUT

2
DDC6DAT_DVI 7 DDC Data
8 NC
EFTX1N 9 TMDS Data1-
EFTX1P 10 TMDS Data1+
11 TMDS Data1/3 Shield
+5V_VESA
B EFTX3N 12 TMDS Data3- B
EFTX3P 13 TMDS Data3+
+5V_VESA 14 +5V Power
15 GND (for +5V)
HPD_EF_DVI 16 Hot Plug Detect
EFTX0N 17 TMDS Data0-
OPTIONAL ESD PROTECTION DIODES EFTX0P 18 TMDS Data0+
19 TMDS Data0/5 Shield
D1900 2 1 ESD5V3U1U-02LRH DNI
EFTX2P EFTX5N 20 TMDS Data5-
EFTX5P 21 TMDS Data5+
D1901 2 1 ESD5V3U1U-02LRH DNI
EFTX2N 22 TMDS Clock Shield
EFTXCP 23 TMDS Clock+
D1902 2 1 ESD5V3U1U-02LRH DNI
EFTX1P EFTXCN 24 TMDS Clock-

D1903 2 1 ESD5V3U1U-02LRH DNI


EFTX1N
25 CASE
D1904 2 1 ESD5V3U1U-02LRH DNI
EFTX0P 26 CASE
27 CASE
D1905 2 1 ESD5V3U1U-02LRH DNI
EFTX0N 28 CASE
29 CASE
D1906 2 1 ESD5V3U1U-02LRH DNI
EFTXCP 30 CASE

D1907 2 1 ESD5V3U1U-02LRH DNI


EFTXCN
DVI-D_BLK
D1908 2 1 ESD5V3U1U-02LRH DNI
EFTX5P

SINGLE DVI OPTION


D1909 2 1 ESD5V3U1U-02LRH DNI
EFTX5N

D1910 2 1 ESD5V3U1U-02LRH DNI


EFTX4P

D1911 2 1 ESD5V3U1U-02LRH DNI


EFTX4N

D1912 2 1 ESD5V3U1U-02LRH DNI


EFTX3P

D1913 2 1 ESD5V3U1U-02LRH DNI


EFTX3N

A A
D1914 2 1 ESD5V3U1U-02LRH DNI
DDC6CLK_DVI

D1915 2 1 ESD5V3U1U-02LRH DNI


DDC6DAT_DVI

Micro-Star International Co., LTD.


<Title>
MS-V308
Size Document Number Rev
Custom <Doc> 1.0

Date: Tuesday, October 29, 2013 Sheet 13 of 26

8 7 6 5 4 3 2 1
9 8 7 6 5 4 3 2 1

(14) HAWAII POWER U1Q


U1R

+VDDC +VDDC +MVDD SYMBOL 17 +VDDCI


U1P SYMBOL 18
U1O

SYMBOL 16 AG20 VDDC VDDC AK34


SYMBOL 15
BF14 VSS VSS BF11 AF38 VDDC VDDC AK33 BL46 VMEMIO VDDCI AT19
BJ22 VSS VSS BJ20 BF10 VSS VSS BT10 AF36 VDDC VDDC AK30 BL43 VMEMIO VDDCI AL19
BJ17 VSS VSS BJ14 BT21 VSS VSS BT19 AF35 VDDC VDDC AK29 BL40 VMEMIO VDDCI AJ19
BJ11 VSS VSS BJ8 BT22 VSS VSS BF8 AF32 VDDC VDDC AK27 BL38 VMEMIO VDDCI AH19

C1200

C1201

C1202

C1203

C1204

C1205

C1206

C1207

C1208

C1209

C1270

C1271

C1272

C1273

C1274

C1400

C1402

C1403

C1404

C1300

C1301

C1302

C1303

C1304

C1305

C1306

C1307

C1308

C1309
BJ1 VSS VSS BH51 M41 VSS VSS M38 AF31 VDDC VDDC AK26 BL35 VMEMIO VDDCI AF19
BH47 VSS VSS BH44 M36 VSS VSS M34 AM21 VDDC VDDC AK23 BL33 VMEMIO VDDCI Y19
BH41 BH38 M31 M28 AF23 AK20 47uF 47uF 47uF 47uF 47uF BL31 AC19
VSS VSS VSS VSS VDDC VDDC 4V 4V 4V 4V 4V VMEMIO VDDCI
BH36 BH34 BC33 M26 AU25 AJ37 BL26 AA19

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF
VSS VSS VSS VSS VDDC VDDC VMEMIO VDDCI
BH31 VSS VSS BH29 M23 VSS VSS M21 AF22 VDDC VDDC AJ36 BL24 VMEMIO VDDCI AD18
BH28 VSS VSS BH26 M19 VSS VSS M16 AF21 VDDC VDDC AJ35 BL22 VMEMIO VDDCI W36
E BH23 VSS VSS BH21 M13 VSS VSS M6 AE37 VDDC VDDC AJ34 BL19 VMEMIO VDDCI W29 E
BH19 VSS VSS BH16 BE51 VSS VSS BT24 AE36 VDDC VDDC AJ33 BL17 VMEMIO VDDCI AV23
BH13 VSS VSS BH10 BE44 VSS VSS BE41 AT36 VDDC VDDC AJ30 BL14 VMEMIO VDDCI W34
BH6 VSS VSS BG56 BE38 VSS VSS BE36 AT35 VDDC VDDC AJ29 BL11 VMEMIO VDDCI W31
BG48 VSS VSS BG46 BE34 VSS VSS BE31 AR34 VDDC VDDC AJ28 BF27 VMEMIO VDDCI W28

C1275

C1276

C1277

C1278

C1279
BG9 VSS VSS BG1 BE29 VSS VSS BE28 AE26 VDDC VDDC AJ27 BL28 VMEMIO VDDCI AK18
BF56 VSS VSS BF51 BE26 VSS VSS BE21 AE25 VDDC VDDC AJ24 BF40 VMEMIO VDDCI W23

C1210

C1211

C1212

C1213

C1214

C1215

C1216

C1217

C1218

C1219

C1410

C1411

C1412

C1415

C1416

C1417

C1418

C1419

C1310

C1311

C1312

C1313

C1314

C1315

C1316

C1317

C1318

C1319
BH46 BF43 BE19 BE16 AE22 AJ23 47uF 47uF 47uF 47uF 47uF BF37 W26
VSS VSS VSS VSS VDDC VDDC 4V 4V 4V 4V 4V VMEMIO VDDCI
BT11 VSS VSS BF1 BE13 VSS VSS BE6 AE21 VDDC VDDC AJ22 BF35 VMEMIO VDDCI AV28
M29 VSS VSS BE23 BD56 VSS VSS BD45 AE20 VDDC VDDC AJ21 BF33 VMEMIO VDDCI AV29
BC51 BC11 BD12 BD9 AA39 AJ20 BF30 AV31

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF
VSS VSS VSS VSS VDDC VDDC VMEMIO VDDCI
L56 VSS VSS BA42 BD1 VSS VSS BC56 AD39 VDDC VDDC AH39 BF24 VMEMIO VDDCI AV26
L49 VSS VSS L1 BC40 VSS VSS BC37 AT29 VDDC VDDC AH38 BF22 VMEMIO VDDCI AV36
K5 VSS VSS AT38 BC30 VSS VSS BC27 AT24 VDDC VDDC AH37 BF20 VMEMIO VDDCI AW35
AW29 VSS VSS AW28 BC24 VSS VSS BC22 AL26 VDDC VDDC AH36 BF17 VMEMIO VDDCI AP19
AW26 VSS VSS AW23 BC20 VSS VSS BC17 AD34 VDDC VDDC AH35 BF6 VMEMIO

C1280

C1281

C1282

C1283

C1284
AW21 VSS VSS AW19 BC14 VSS VSS BT8 AD33 VDDC VDDC AH34 BC6 VMEMIO
AW6 VSS VSS BT27 BC8 VSS VSS BC1 AD30 VDDC VDDC AH33 BB38 VMEMIO
AV56 F30 BB51 BB41 AD29 AH30 47uF 47uF 47uF 47uF 47uF BB36
VSS VSS VSS VSS VDDC VDDC 4V 4V 4V 4V 4V VMEMIO
AV51 VSS VSS J44 BC35 VSS VSS BB16 AD28 VDDC VDDC AH29 U11 VMEMIO

C1220

C1221

C1222

C1223

C1224

C1225

C1226

C1227

C1228

C1229

C1420

C1421

C1422

C1423

C1424

C1425

C1426

C1427

C1428

C1429

C1320

C1321

C1322

C1323

C1324
AR38 VSS VSS AV35 BJ24 VSS VSS BB6 AD25 VDDC VDDC AL31 U6 VMEMIO
AV33 VSS VSS AV27 BA56 VSS VSS BA15 AD24 VDDC VDDC AH27 R38 VMEMIO
AV22 VSS VSS AV18 BA12 VSS VSS BA9 AD21 VDDC VDDC AH24 R36 VMEMIO
AV12 AV9 BA1 AY56 AR22 AH23 R34

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF
VSS VSS VSS VSS VDDC VDDC VMEMIO
AV1 VSS VSS AU56 AY51 VSS VSS BA40 AC38 VDDC VDDC AH22 R31 VMEMIO
AU54 VSS VSS J41 AY37 VSS VSS AY35 AC35 VDDC VDDC AA26 R28 VMEMIO
E29 VSS VSS BT47 AY34 VSS VSS AY33 AC34 VDDC VDDC AH21 R26 VMEMIO

C1285

C1286

C1287

C1288

C1289
F27 VSS VSS AU42 AY30 VSS VSS AY24 AC31 VDDC VDDC AH20 R23 VMEMIO
AY38 VSS VSS J38 AY22 VSS VSS AY20 AC30 VDDC VDDC AR25 BB34 VMEMIO
AU35 AU34 L47 L46 AC29 AN36 47uF 47uF 47uF 47uF 47uF R21
VSS VSS VSS VSS VDDC VDDC 4V 4V 4V 4V 4V VMEMIO
AU31 VSS VSS F39 L43 VSS VSS L14 AC28 VDDC VDDC AG34 AT15 VMEMIO
AU26 VSS VSS AT56 L11 VSS VSS L8 AR29 VDDC VDDC AG31 BB31 VMEMIO
AU22 VSS VSS AD23 K56 VSS VSS K48 AC24 VDDC VDDC AG30 BB29 VMEMIO

C1230

C1231

C1232

C1233

C1234

C1235

C1236

C1237

C1238

C1239

C1430

C1431

C1432

C1433

C1434

C1435

C1436

C1437

C1438

C1439

C1325

C1326

C1327

C1328

C1329

C1330

C1331

C1332
AE23 VSS VSS BT5 K11 VSS VSS K9 AC23 VDDC VDDC AG29 BB28 VMEMIO
N56 VSS VSS BT33 BJ46 VSS VSS AY19 AC20 VDDC VDDC AG28 F11 VMEMIO
AU51 VSS VSS BT41 AY17 VSS VSS K1 AP23 VDDC VDDC AG27 R19 VMEMIO
BT43 BT37 J51 AY14 AB39 AT21 BB26

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF
D VSS VSS VSS VSS VDDC VDDC VMEMIO D

22uF

22uF

22uF

22uF

22uF

22uF

22uF

22uF
AU17 VSS VSS AU14 AY8 VSS VSS J47 AB36 VDDC VDDC AM20 BB23 VMEMIO

C1290

C1291

C1292

C1293

C1294
AU8 VSS VSS AU6 BJ43 VSS VSS F32 AP29 VDDC VDDC AG22 P51 VMEMIO
J36 VSS VSS AU1 AY1 VSS VSS AW51 AM32 VDDC VDDC AU33 BB21 VMEMIO
BT23 BP54 AW36 BT13 AB31 AT28 47uF 47uF 47uF 47uF 47uF AY6
VSS VSS VSS VSS VDDC VDDC 4V 4V 4V 4V 4V VMEMIO
AT37 VSS VSS AD26 AW31 VSS VSS AC45 AB30 VDDC VDDC AU28 P6 VMEMIO
AE51 VSS VSS BM1 AC39 VSS VSS BT29 AB29 VDDC VDDC AU27 AV15 VMEMIO
BT17 VSS VSS AT33 BJ37 VSS VSS BJ40 AB28 VDDC VDDC AU30 AV6 VMEMIO
AT30 VSS VSS AT27 BT28 VSS VSS BJ27 AB27 VDDC VDDC AU29 L51 VMEMIO
A35 VSS VSS BT44 AC17 VSS VSS AC12 AB26 VDDC VDDC AT32 AU11 VMEMIO

C1240

C1241

C1242

C1243

C1244

C1245

C1246

C1247

C1440

C1441

C1442

C1443

C1444

C1445

C1447

C1448

C1449
AT23 VSS VSS AT22 AC9 VSS VSS AC6 AB23 VDDC VDDC AU37 AR11 VMEMIO
AT18 VSS VSS AT12 AC1 VSS VSS AP21 AM31 VDDC VDDC AP34 L40 VMEMIO
AT9 VSS VSS C54 BL32 VSS VSS BL30 AN27 VDDC VDDC AU36 AR6 VMEMIO
BT14 AR46 BL23 AB48 AA38 AU32 AP15
1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF
VSS VSS VSS VSS VDDC VDDC VMEMIO
AJ31 VSS VSS AH9 AP20 VSS VSS F25 AA37 VDDC L37 VMEMIO
BT20 VSS VSS AR40 AB37 VSS VSS AK24 AA36 VDDC L35 VMEMIO
AR37 VSS VSS U40 AB34 VSS VSS AB33 AA33 VDDC AN11 VMEMIO
BT40 VSS VSS AR33 AB25 VSS VSS J10 AT20 VDDC L33 VMEMIO
BT34 VSS VSS AR24 AB24 VSS VSS A17 AA32 VDDC L30 VMEMIO
J34 VSS VSS AR23 BL39 VSS VSS AB20 AA31 VDDC AN6 VMEMIO
J31 VSS VSS AR20 AF29 VSS VSS AB17 AA29 VDDC L27 VMEMIO
A24 VSS VSS A52 AE6 VSS VSS F34 AA28 VDDC AL15 VMEMIO
A41 BT16 AB14 AB8 AL30 AL6

C1451

C1452

C1453

C1454

C1455

C1456

C1457

C1458

C1459
VSS VSS VSS VSS VDDC VMEMIO
C1250

C1251

C1252

C1253

C1254

C1255

C1256

C1257

C1258

C1259

C1450
AR8 VSS VSS AJ26 AB1 VSS VSS BL9 AA25 VDDC AK11 VMEMIO
AR1 VSS VSS AP56 F12 VSS VSS E5 AA22 VDDC L24 VMEMIO
AP51 VSS VSS BJ50 AA50 VSS VSS AA35 AA21 VDDC L22 VMEMIO
BJ30 AR32 AA34 AA30 Y39 L20
1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF
VSS VSS VSS VSS VDDC VMEMIO U1W
AP36 VSS VSS AL1 AA27 VSS VSS AP18 AN32 VDDC L17 VMEMIO
AK48 VSS VSS AK45 A16 VSS VSS N1 AN29 VDDC L6 VMEMIO SYMBOL 23
+VDDC
A38 VSS VSS A49 AF1 VSS VSS M51 AN28 VDDC F46 VMEMIO
AK39 VSS VSS AK36 N48 VSS VSS AA23 Y38 VDDC F43 VMEMIO AR30 VDDC VSS AD19
AK32 VSS VSS AK31 AB38 VSS VSS AA20 Y37 VDDC F40 VMEMIO AM35 VDDC VSS N45
AU49 VSS VSS AP46 BT38 VSS VSS F9 Y34 VDDC F38 VMEMIO AT25 VDDC VSS AC18
BT36 VSS VSS AK21 AA18 VSS VSS AP9 Y33 VDDC F35 VMEMIO AB35 VDDC VSS E52
AK19 VSS VSS J29 AA12 VSS VSS AA9 Y32 VDDC F33 VMEMIO AR28 VDDC VSS BT52
A27 VSS VSS A46 J6 VSS VSS Y56 Y30 VDDC AJ15 VMEMIO AR26 VDDC VSS AD51
C1260

C1261

C1262

C1263

C1264

C1265

C1266

C1267

C1268

C1269

C1460

C1461

C1462

C1463

C1464

C1465

C1466

C1467
C A33 VSS VSS AK8 Y51 VSS VSS H56 Y29 VDDC AJ6 VMEMIO AP28 VDDC VSS AK16 C
AK6 VSS VSS AK5 Y48 VSS VSS Y47 Y27 VDDC AH15 VMEMIO AG24 VDDC VSS AP31
AK1 VSS VSS AR36 AP6 VSS VSS Y40 Y25 VDDC AG11 VMEMIO AE33 VDDC VSS AP32
AJ1 AJ45 Y36 Y35 Y24 BB19 AD37 AT1
1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF

1uF VSS

22uF

22uF

22uF

22uF

22uF

22uF

22uF

22uF
VSS VSS VSS VSS VDDC VMEMIO VDDC
U44 VSS VSS AJ38 BL25 VSS VSS Y31 Y21 VDDC AF15 VMEMIO AT26 VDDC VSS AC21
J28 VSS VSS AJ32 Y23 VSS VSS Y22 AF6 VMEMIO AG35 VDDC VSS AD27
AJ25 VSS VSS A30 Y17 VSS VSS AP1 AD11 VMEMIO AC27 VDDC VSS AR14
BP3 VSS VSS AJ18 BL48 VSS VSS Y14 FB_VDDC AJ39 FB_VDDC OUT 19,20 AD6 VMEMIO AL21 VDDC VSS N9
AJ12 VSS VSS AJ9 Y8 VSS VSS Y6 FB_VSSC AJ40 FB_VSSC OUT 19,20 AC15 VMEMIO AF27 VDDC VSS AD17
A34 VSS VSS AK25 Y1 VSS VSS W56 AB11 VMEMIO AG37 VDDC VSS AE35
AG45 VSS VSS A43 W51 VSS VSS W48 AB6 VMEMIO AH28 VDDC VSS AK42
A44 VSS VSS AH31 W46 VSS VSS W45 AA15 VMEMIO AP37 VDDC VSS AR51
BM56 VSS VSS J26 W42 VSS VSS AN56 Y11 VMEMIO AG23 VDDC VSS AD56
HAWAII REV 0.53
AH26 VSS VSS AH25 W39 VSS VSS H49 W15 VMEMIO AB22 VDDC VSS AD22
HAWAII - REV 0.50
AH18 VSS VSS BM52 W35 VSS VSS H46 W6 VMEMIO AB32 VDDC VSS AB45
A36 VSS VSS AK14 W33 VSS VSS W22 U51 VMEMIO AP30 VDDC VSS AR17
AV43 VSS VSS C3 H40 VSS VSS H37 AY11 VMEMIO AD38 VDDC VSS AF9
F37 VSS VSS AG51 H35 VSS VSS H33 F31 VMEMIO Y28 VDDC VSS AD14
AJ56 VSS VSS AG25 H30 VSS VSS H27 F26 VMEMIO AM37 VDDC VSS AD31
AH12 VSS VSS BL12 H24 VSS VSS H22 F24 VMEMIO AL25 VDDC VSS AG48
AG36 VSS VSS AH32 H20 VSS VSS H17 F22 VMEMIO AM36 VDDC VSS P1
AG33 VSS VSS AG32 W12 VSS VSS W9 F19 VMEMIO AR35 VDDC VSS AR19
J23 VSS VSS AG26 AN51 VSS VSS A21 F17 VMEMIO AL22 VDDC VSS AE24
A23 VSS VSS BM27 W1 VSS VSS H14 F14 VMEMIO FB_VDDCI AW34 FB_VDDCI AP27 VDDC VSS AH56
OUT 19
BM46 VSS VSS A47 V51 VSS VSS V38 F28 VMEMIO FB_VSSCI AV34 AR21 VDDC VSS AC36
A40 VSS VSS AG21 V36 VSS VSS V34 FB_VSSCI Y20 VDDC VSS AC33
OUT 19
AG19 VSS VSS AG5 V29 VSS VSS V28 AR27 VDDC VSS AR56
F23 VSS VSS BJ49 V26 VSS VSS AN46 AP33 VDDC VSS AE30
AG17 VSS VSS AG14 V23 VSS VSS V21 AK28 VDDC VSS F18
BT49 VSS VSS AG8 V19 VSS VSS A19 HAWAII REV 0.53 AM24 VDDC VSS BT35
J21 VSS VSS AG6 V6 VSS VSS U49 HAWAII - REV 0.50 AK35 VDDC VSS AP38
N12 VSS VSS AH1 U46 VSS VSS U43 AL34 VDDC VSS BT26
A31 VSS VSS A37 A14 VSS VSS AN42 AF26 VDDC VSS AG40
F42 VSS VSS AF56 U37 VSS VSS A13 AL35 VDDC VSS BJ35
AG1 VSS VSS BT31 A11 VSS VSS A10 AK22 VDDC VSS AU19
AF45 VSS VSS AF37 U35 VSS VSS U33 AM25 VDDC VSS A3
B J19 VSS VSS AF34 U30 VSS VSS U27 AP26 VDDC VSS A54 B
AP35 VSS VSS AP12 U24 VSS VSS U22 AT31 VDDC VSS C56
BM21 VSS VSS AF33 U20 VSS VSS U17 AU23 VDDC VSS C1
AY27 VSS VSS A29 U14 VSS VSS AN39 AU20 VDDC VSS BP1
AU21 VSS VSS AF28 U8 VSS VSS U1 AU24 VDDC VSS BT3
AF25 VSS VSS BT46 T48 VSS VSS T45 AK37 VDDC VSS BP56
AF24 VSS VSS E21 T42 VSS VSS A5 AP22 VDDC VSS BT54
AF39 VSS VSS AF20 T15 VSS VSS T12 AN20 VDDC
AF18 VSS VSS J16 T9 VSS VSS T1 AN33 VDDC
AF12 VSS VSS E56 AN35 VSS VSS AN34 AD20 VDDC
Y26 VSS VSS AB56 R41 VSS VSS R29 AR31 VDDC
AC25 VSS VSS AB51 R16 VSS VSS R6 AN23 VDDC
AE34 VSS VSS AE31 P56 VSS VSS P49 AN24 VDDC
AH48 VSS VSS AT34 P46 VSS VSS P44 AN37 VDDC
AJ51 VSS VSS AE29 P43 VSS VSS AN31 AL36 VDDC
E36 VSS VSS AE28 BJ33 VSS VSS P37 AE32 VDDC
AE27 VSS VSS A26 P35 VSS VSS H11
AA1 VSS VSS BL20 H8 VSS VSS G54
REV 0.53
BL27 VSS VSS BM5 F48 VSS VSS F45
AF30 VSS VSS AB21 P33 VSS VSS P30 HAWAII - REV 0.50
AD48 VSS VSS F20 P27 VSS VSS P24
M44 VSS VSS AD40 P22 VSS VSS P20
AD36 VSS VSS AD35 P17 VSS VSS P14
AP25 VSS VSS AD32 P11 VSS VSS P8
A28 VSS VSS BL42 AN30 VSS VSS BL45
AA56 VSS VSS AB55 BL34 VSS VSS BL18
AA24 VSS VSS F15 BL15 VSS VSS AN26
BJ56 VSS VSS BT30 AN25 VSS VSS AN22
AP24 VSS VSS AD8 AN21 VSS VSS AN19
AD1 VSS VSS J13 AN17 VSS VSS AN14
AC37 VSS VSS A22 AN8 VSS VSS AM34
A20 VSS VSS AC32 AM33 VSS VSS AM30
AC26 VSS VSS E1 AM29 VSS VSS AM28
AC22 VSS VSS W24 AM27 VSS VSS AM26
H43 VSS VSS W18 AM23 VSS VSS AM22
V31 VSS VSS U56 AM6 VSS VSS AL54
A T56 VSS VSS A8 AL51 VSS VSS AL48 A
R51 VSS VSS P40 AL45 VSS VSS AL37
AM51 VSS VSS AU46 AL33 VSS VSS AL32
AL56 VSS VSS BL37 AL29 VSS VSS AL28
AL24 VSS VSS AN1 AL27 VSS VSS AL23
AL20 VSS VSS AL18
AL12 VSS VSS AL9

HAWAII REV 0.53 HAWAII REV 0.53


HAWAII - REV 0.50
HAWAII - REV 0.50
Micro-Star International Co., LTD.
<Title>
MS-V30814ci203
Size Document Number Rev
Custom <Doc> 1.0

Date: Tuesday, October 29, 2013 Sheet 14 of 26

9 8 7 6 5 4 3 2 1
9 8 7 6 5 4 3 2 1

+3.3V_BUS
(15) HAWAII THERMAL MECH

1
R4007 R4008
10K 10K
5% 5%

2
SYMBOL 14

BP_0 AL41 RSVD


BP_1 AL40 RSVD

E +3.3V_BUS
U1N
E
USE Q203 2020002300G, R200, R249, D1719

AND C3609 FOR CHEAPER FAN CONTROLLER.

C200 C201 C202 REQUIRES BIG CHANGE IN FAN TABLE.


10uF 1uF 100pF

+3.3V_BUS

1
+3.3V_BUS TCRIT R200 1 20R CTF_GATE2
R251 R252
10K 10K

2
1
U200 +12V_EXT_A +12V_EXT_B +12V_BUS_B
R201 HSYNC AR50 HSYNC
10
2.61K IN
1 TCRIT SMBCLK 10 DDC6CLK_R R202 2 1100R DDCVGACLK
U1V
W40 DDCVGACLK

2
+1.8V
SYMBOL 22 2 VDD SMBDAT 9 DDC6_DATA_R R203 2 1100R DDCVGADATA W41 DDCVGADATA

1
B201 1 2 120R +TSVDD AP50 TSVDD DPLUS AG38 GPU_DPLUS 3 D+ TACH 8 GPIO_6_TACH NB200 MB200 B200
220R 220R 220R
2
C203 1
0.0022uF 50V
AG39 GPU_DMINUS 4 7 THERMINT R204 2 10R GPIO_17_THERMAL_INT AR49 VSYNC
C204 C205 DMINUS D- ALERT VSYNC
OUT 10 IN 10

2
1uF 0.1uF 20K
R237 +3.3V_BUS
LM_PWM 5 PWN GND 6 REV 0.53 FANOUT_P

1
AP49 TSVSS TS_A AF40
UNNAMED_15_HAWAII_I414_TSA
TP201
11 THMPAD MC200 R240
10uF 20K
Y55 RSVD#12 16V
AA54 RSVD#7 LM96163CISD

1
AA52 RSVD#6
Y53 RSVD#10 1 UNNAMED_15_MOSP_I248_G
R213
Y54 0R
RSVD#11 Q211
AO3415L

2
D D

1
HAWAII REV 0.53 +3.3V_BUS +3.3V_BUS +12V_BUS_B

3
HAWAII - REV 0.50 R205 R243
0R HAWAII - REV 0.50 20K

1
2

2
R206 R207 R208
5.1K 10K 10K
J200

2
PWM_FAN 4
10
GPIO_6_TACH R209 1 21K 3 UNNAMED_15_CON04_I128_P3

OUT

3
FANOUT_P_Q 2

1
PWM_B R210 1 21K 1 UNNAMED_15_NPN_I98_B
Q200 MC201 1

3
MMBT3904
R211 1 2
3.83K
10 IN
GPIO_28_FDO R212 10K PWM 1 Q201 HEADER_1X4_SHROUDED

2
MMBT3904 100uF

2
For 4-WIRE FAN ONLY 16V

2
3

1
UNNAMED_15_NPN_I195_C

1,15,16 IN
PERST#_BUF R214 10K PERST#_BUF_R 1 Q203 R215
MMBT3904 0R

2
R216

3
2.61K UNNAMED_15_NPN_I345_C
+3.3V_BUS
CTF_OUT R246
1 2 20K 1 UNNAMED_15_NPN_I345_B

Q212
5%

2
MMBT3904

5%
2

R272

20K
To maximize fan output

1
3
during CTF trigger.

3
R220 2 11K 1 UNNAMED_15_NPN_I53_B
Q204

3
R250 Q213
Critial Temperature Fault MMBT3904 1,16
PX_EN 1 2 20K 1 UNNAMED_15_NPN_I358_B

IN MMBT3904
Q218
5% 1

2
+3.3V_BUS MMBT3904

2
C CTF By-pass C208 C

2
1 2
For one time CTF use R223 47k.
+3.3V_BUS +3.3V_BUS 0.1uF

3
6.3V UNNAMED_15_NPN_I348_C
For resetable CTF use R223 2k.
R274 Q217
1 2 20K 1 UNNAMED_15_NPN_I348_B
1

MMBT3904
5%

1
+3.3V_BUS
R227

2
20K U201
R218
2

0R 1 8
A VCC
2

Q207 5%
1 UNNAMED_15_PNP_I140_B
MMBT3906
TCRIT R228 1 20R VDDC_OE
OUT 16,19 2 B Rext/Cext 7 UNNAMED_15_74VC1G123_I336_REXT

2
PERST#_BUF MR218 0R 3 UNNAMED_15_74VC1G123_I336_CLRN
CLR Cext 6 1 2 C210 5% R249
1

3
5% 4 GND Q 5 UNNAMED_15_74VC1G123_I336_Q 1M
3

Q209 10uF 6.3V


R229 R230 1 21K 1 UNNAMED_15_NPN_I50_B

20K MMBT3904 BAV99


SN74LVC1G123DCT

3
CTF_GATE2 CTF_OUT
3 2

2
Place close to its CTLR 1 2
1

CTF_DIS 1 Q210 R232


D203
MMBT3904 20K TCRIT MR228 1 20R INPUT_RAILS_UP
OUT 1,16,17
2

3
TAHITI_FANSINK TAHITI_FANSINK TAHITI_FANSINK TAHITI_FANSINK
HS201A HS201B HS201C HS201D
MR2301 21K 1 MQ209
UNNAMED_15_NPN_I235_B

MMBT3904
10 IN
GPIO_19_CTF R233 1 24.7K R234 1 247K 2
2

R235 C209
100K 0.01uF
25V
1
1

1
2
3
4
5
6
7
8

9
10
11
12
13
14
15
16

17
18
19
20
21
22
23
24

25
26
27
28
29
30
31
32
D202 3 R238
UNNAMED_15_DIODESERIES_I241_COM
2 12.2K
BAT54S
1

B R239 B
2

PERST#_BUF 1K
1,15,16 IN
2

MT207 MT208 MT209 MT210 MT211 MT212 MT213 MT214

MEC1 HDMISCREW
FM1 FM4 FM9 FM11 SK200
COMMON COMMON PCB1
XXXV30701S XXXV30701S XXXV30701S XXXV30701S AMD
PCB
APPLY APPLY APPLY APPLY
PCB(109-C576xx-00) 1 1 8 1 8 1 8 1 8 1 8 1 8 1 8 8
NA NA NA NA NA
OPT OPT OPT OPT MEC_SCREW NA NA NA

2
3
4
5
6
7

2
3
4
5
6
7

2
3
4
5
6
7

2
3
4
5
6
7

2
3
4
5
6
7

2
3
4
5
6
7

2
3
4
5
6
7

2
3
4
5
6
7
MEC_SCREW
MEC2
F_PAD_X F_PAD_X F_PAD_X F_PAD_X
COMMON
FM5 FM8 FM10 FM12

XXXV30701S XXXV30701S XXXV30701S XXXV30701S NA


APPLY APPLY APPLY APPLY MEC_SCREW J11 J12 J13 J14 MT201 MT202 MT203 MT204 MT205

3 1 3 1
OPT OPT OPT OPT MEC3 4 2 4 2
BKT200
X_PIN1*2 X_PIN1*2 impedence impedence
COMMON
F_PAD_X F_PAD_X F_PAD_X F_PAD_X
BRACKET
GND GND GND GND DUAL 1 1 8 1 8 1 8 8
GND GND

2
3
4
5
6
7
8
9
A NA NA NA NA A

2
3
4
5
6
7

2
3
4
5
6
7

2
3
4
5
6
7

2
3
4
5
6
7
MEC_SCREW TOP BOT TOP BOT
MEM DATA Signal end MEM DATA Signal end Diffenential_Memory Clock PEX_PCIE Signal 802005610BG
MEM to GPU :0.109mm/ 48ohm MEM to GPU :0.109mm/ 48ohm trace width=0.122mm / 80ohm trace width=0.114mm / 85ohm
MEC4
Air Gap:0.128mm Air Gap:0.156mm

COMMON

MEC_SCREW
Micro-Star International Co., LTD.
<Title>
MS-V308
Size Document Number Rev
Custom <Doc> 1.0

Date: Friday, November 01, 2013 Sheet 15 of 26

9 8 7 6 5 4 3 2 1
9 8 7 6 5 4 3 2 1

(16) POWER MGMNT

ML1002
1 2
+12V_BUS +12V_BUS_B +3.3V_BUS
E 0.47uH
L1002
+3.3V_BUS E
1 2
1.0uH

2
R1010
+3.3V_BUS 10K 10K
R1050

1
1
+12V_BUS_B
VDDC_OE
INPUT_RAILS_UP
OUT 15,16,19
R1003
5.11K OUT 1,15,17

3
2

3
R1004 1 Q1000
11.3K MMBT3904
UNNAMED_16_CAP_I9_A

1,15 IN
PX_EN R1034 10K 1 UNNAMED_16_NPN_I186_B
Q1032

3
MMBT3904
C1005

2
0.1uF
1 UNNAMED_16_NPN_I15_B
Q1001 UNNAMED_16_NPN_I186_E

2
MMBT3904
R1035

1
+12V_EXT_A UNNAMED_16_NPN_I15_E
10K

2
R1000 0R R1005

1
+12V_EXT_A_CON +12V_EXT_A 1K

1
R1001 0R

2
J1000
R1006
11.3K
+12V 1 1
L1000 2 0.47uH

1
+12V 2

2
+12V 3 C1000 1 UNNAMED_16_NPN_I16_B
Q1002
1,15,16 IN
PERST#_BUF R1036 10K 1 UNNAMED_16_NPN_I202_B
Q1033 R1038
47pF MMBT3904 MMBT3904 0R

2
C1001

2
10uF
R1007 R1037
1K 10K

GND 4

1
GND 6

Sense 5 6P_SENSE_A

D POWER_HEADER C1002
47pF
BUS 12V and AUX A Power up Seq D

+12V_BUS_B

2
+3.3V_BUS
R1023
10K

1
1

3
R1024 1 Q1020
2.32K MMBT3904

3
UNNAMED_16_CAP_I13_A

2
1 UNNAMED_16_NPN_I23_B
Q1021 C1026
MMBT3904 0.1uF

R1020 0R 1 +12V_EXT_B UNNAMED_16_NPN_I23_E

2
+12V_EXT_B_CON +12V_EXT_B
R1025
1K

1
J1001
R1021 0R
2

C +12V 1 1
L1001 2 0.47uH R1026
C
11.3K
+12V 2

3
+12V 3 C1022

2
47pF
1 UNNAMED_16_NPN_I24_B
Q1022
MMBT3904
C1021 1
10uF

2
R1027
1K
GND 5
GND 7
2

GND 8

SENSE_1 6
SENSE_2 4 8P_SENSE_B R1022 1 20R SENSE_B

POWER_HEADER 6P_SENSE_B MR1022 1 20R C1003 BUS 3.3V and 12V AUX B 6PIN/8PIN Power up Seq
47pF
+3.3V_BUS

MJ1001

+12V 1

5
+12V 2 U1000B
+12V 3
C1004
0.1uF
6.3V

NC7SZ08P5X

3
GND 4
GND 6

Sense 5 NC7SZ08P5X
PERST#_BUF 2

POWER UP SEQUENCING
1,15,16 IN VDDC_VDDCI_PWROK
POWER_HEADER 4 19
OUT
1
U1000A
B B

+12V_EXT_B
+3.3V_BUS
+3.3V_BUS +12V_BUS +3.3V_BUS
$CDS_IMAGE|clipboard_4.jpg|1486|563 $CDS_IMAGE|clipboard_3.jpg|1486|569

1
R1013
MVDD_EN
OUT 23
1

1
33.2K
1%
R362 R364 R359 R1012

2
1

1
33.2K 0.95V_EN 10K 10K 10K
1% OUT 18 5% 5% 5%
1

3
R354 R1011
2

2
10K 3.32K 1
R355 5% 1% Q356
3

33.2K VDDC_OE MMBT3904


OUT 15,16,19
2

2
1%
1 Q353
UNNAMED_16_NPN_I341_C
2

2
3
MMBT3904
+0.95V
3

VDDC_PWR_GOOD R1047
UNNAMED_16_NPN_I287_C

19 1 2 1 UNNAMED_16_NPN_I341_B
Q355
IN
2
3

R363 MMBT3904
1 2 1 UNNAMED_16_NPN_I325_B
Q354 1 UNNAMED_16_NPN_I296_B
Q351 C1006 27.4K 1%

1
MMBT3904 0.1uF
17 IN
1.8V_POWERGOOD 1 Q352 18.2K 1%

2
1

MMBT3904 MMBT3904
PLACE ON THE BACK R1014
2

49.9K
C1007 R360
2

1%
0.22uF 100K GND

PLACE ON THE BACK

2
10V 1%
GND
2

A A

Micro-Star International Co., LTD.


<Title>
MS-V308
Size Document Number Rev
Custom <Doc> 1.0

Date: Tuesday, October 29, 2013 Sheet 16 of 26

9 8 7 6 5 4 3 2 1
8 7 6 5 4 3 2 1

D D

C C

1.8V_POWERGOOD
+5V_VR OUT 16
+3.3V_BUS

Regulators for +5V, and +5V_VESA


U350 +1.8V
INPUT_RAILS_UP 8
EN GND 1
1,15,16 IN +12V_BUS +5V_VR
POK7 2 UNNAMED_1_I81_FB
FB
+5V_VESA 150mA
VCNTL 6 VOUT 3 U400
5
VIN VOUT 4 MC78M05CDT F400

1
9
VIN 1 IN OUT 3 1 2
C354
R1 R352 C360
1uF 15K 0.01uF 200mA
C352 APL5915KAI C400 TAB 4 C401 24V C402

GND
10V 1% 16V

1
22uF 1uF 1uF 1uF

2
6.3V
R351

2
1K
1% C356 C357 C358 C359
0.1uF 4.7uF 22uF 22uF

2
10V 16V 6.3V 6.3V

1
R2 R353
12K
1%

2
FB = 0.8V REF
VOUT = 0.8V x (1 + R1/R2)

B B

A A

Micro-Star International Co., LTD.


<Title>
MS-V308
Size Document Number Rev
Custom <Doc> 1.0

Date: Tuesday, October 29, 2013 Sheet 17 of 26

8 7 6 5 4 3 2 1
8 7 6 5 4 3 2 1

0.95V

+3.3V_BUS
D D

C301 C302
22uF 22uF
16V 16V

1206 1206
+12V_BUS 1.8mm 1.8mm

1
R303
0R D300
1%
0603 BAT54KFILM

2
SOD523

2 1

1
R307
0R
1%

2
D301
0.95V_VIN_FILT 1N4148W 0.95V_BOOT
SOD123
Q300
C43
2 1 0.22uF FDMS7608S
C 16V
0603 POWER56
C
U300 0.8mm
+0.95V
NCP1587
C305
SO-8 L300
10uF 1.75mm 1 R310
2 1 UNNAMED_27_FDMC8200_I61_G1
10 0.95V_SWNODE 1 2
16V
0R 5% 1.0uH
2 8 UNNAMED_27_FDMC8200_I61_G2

1
C45 C46 C47 C48 C315 C45A C46A C47A
8 1 UNNAMED_27_NCP1587_I18_BST
3 7 22uF 22uF 22uF 22uF 22uF 22uF 22uF 22uF
PHASE BST R313 2.5V 2.5V 2.5V 2.5V 2.5V 2.5V 2.5V 2.5V

1
49.9K 0805 0805 0805 0805 0805 0805 0805 0805
1%
0.95V_EN 2 R301
1 O.95V_COMP COMP/DIS_L 7 TG 2 0.95V_UG C308 4 6 R300 1mm 1mm 1mm 1mm 1mm 1mm 1mm 1mm
IN 16

2
0.15uF 1R
1% 1R C307 16V 5%
1 2 0.95V_FB 6FB GND 3 0603 9 5 0805

2
HELLO

DNI
150pF 50V
DNI VCC 5 BG 4
C306

1
R304
C304 1 2 1
UNNAMED_27_CAP_I6_B
2 NS300
0.068uF
UNNAMED_27_CAP_I2_B
16V 9.31K 1%
3300pF 50V 0.95V_LG R309
1 2 C303
UNNAMED_27_NETSHORT_I57_N2

2
100pF
0R 5% 50V
1

1
C300
15pF DNI
R302 50V R311

1
3.32K DNI 7.5K
1% 1%
R312
2

2
100R
5%

2
1

UNNAMED_27_RES_I26_A
1

R308
0R
B R305
3.01K
1% B
2

1%
UNNAMED_27_CAP_I27_A
2

C310
2200pF
50V
1

R306
15.8K
1%
2

A A

Micro-Star International Co., LTD.


<Title>
MS-V308
Size Document Number Rev
Custom <Doc> 1.0

Date: Tuesday, October 29, 2013 Sheet 18 of 26

8 7 6 5 4 3 2 1
8 7 6 5 4 3 2 1

+3.3V_BUS R583 VDDC_PWM1


1 2 21
OUT
0R 0603
REG502 DNI LM317MDTG C650
VDDC_PWM2
R550 4.7uF OUT 21
3 IN OUT 2 1 2 6.3V C652
UNNAMED_32_RES_I93_A
0R 0603 0603
0.1uF VDDC_PWM3
16V OUT 21

1
C552
DNI
VDDC_PWM4

ADJ
0.1uF UNNAMED_32_RES_I92_A VDDCGND
16V R567 OUT 21

1
DNI 243R DNI

1
1%
R565 1 R585
2 VDDC_PWM5
OUT 21

2
VDDCGND 402R
1% 2.55K 1%

1
DNI

2
R579 C653
VDDCI_RCS_P 3.65K 100pF VDDCI_PWM1
IN 1% 50V OUT 22

2
VDDCI_RCS_N 1 R586
2 IR3567B_VCC
IN
D VDDCI_LOC_P R582
2.55K 1% D
1 2
IN
100R U500
FB_VDDCI VDDC_DRIVER_VCC
IR3567B
R571
1 2 21
IN VGD_BOOT OUT
0R C654
FB_VSSCI 1 R572
2 3300pF
IN 50V

1
0R
IR3567BMAM01TRP R602
C500
10uF
16V
C501
10uF
16V
VDDCI_LOC_N 1 R580
2 0R
IN U501 0805 0805
100R Q501

2
R587 CHL8510CR
1 2 UNNAMED_32_CAP_I160_A

2.67K 1% C662
FDMC8200

1
VDDC_RCS_P 0.1uF
IN 16V R605
R581 C655 1 BOOT HI_GATE 10 UNNAMED_32_CHL8510_I157_HIGATE
1 2VGD_UG
VDDC_RCS_N 3.92K 82pF 1 R597
2 4 9 1 10

39
IN 1% 50V VCC SWITCH 1R 1%
1R 5% 3 PWM LO_GATE 6 UNNAMED_32_CHL8510_I157_LOGATE L501 VDDC_GATE_DRV_SUP

2
R588 R606 4.7uH

VCC
1 2 1 2 2 8
2.67K 1%
IR3567_RSCP_L2 41 RCSP_L2 PWM1 29 2 HVCC MODE 8 UNNAMED_32_CHL8510_I157_MODE
47K
VGD_SWNODE 1 2
VDDC_LOC_P R577 IR3567_RSCM_L2 OUT 21
1 2 40 RSCM_L2 5 LVCC GND 7 1 2 3 7
IN

1
100R PWM2 30 GND 11 1R 1% C502 C503 C663

1
IR3567_VSEN_L2 38 VSEN_L2
R604 4 6 10uF 10uF 1uF
D500
16V 16V 16V
FB_VDDC 1 R560
2 IR3567_VRTN_L2 37 VRTN_L2 PWM3 31 R603 R520 R607 1206 1206 0603 R500
IN 0R 47K 47K MBR0520L 100R
0R C651
UNNAMED_32_CAP_I136_A
9 5

2
FB_VSSC 1 R561
2 3300pF IR3567_RCSP 2 RCSP PWM4 32 C659 C661
DNI
IN

2
50V
0R
IR3567_RCSN 3 RCSM
1uF 0.1uF
16V 16V
PWM5 33
VDDC_LOC_N 1 R578
2 IR3567_VSEN 6 VSEN
VGD_LG 1 R524
2
IN IR3567_VRTN VDDCGND
100R 7 VRTN PWM6 34 UNNAMED_32_CHL8338_I126_PWM6
TP504 0R 5%
1206
DNI
VDDC_I1_P 1 R552
2 IR3567_ISEN1 54 ISEN1 PWM1_L2 36
IN IR3567_IRNT1 R599A REG501
301R 1% 55 IRTN1 1 2
VDDC_I1_N 1 R557
2 IR3567_ISEN2 52 ISEN2 PWM2_L2 35 UNNAMED_32_CHL8338_I126_PWM2L2
TP505 0R 5% MC78M05CDT
IN IR3567_IRTN2 0805
301R 1% 53 IRTN2 1 IN OUT 3
VDDC_I2_P 1 R553
2 IR3567_ISEN3 50 ISEN3
IN IR3567_IRTN3
301R 1% 51 IRTN3 C637

GND
VDDC_I2_N

TAB
R558 IR3567_ISEN4 IR3567_VARGATE 1uF
C 1 2 48 ISEN4 VARGATE 28 C
IN IR3567_IRTN4
16V
DNI
301R 1% 49 IRTN4 0603

4
VDDC_I3_P 1 R545
2 IR3567_ISEN5 46 ISEN5
DNI
IN IR3567_IRTN5
301R 1% 47 IRTN5
VDDC_I3_N 1 R562
2 1 ISEN6 VRDY1 11
IN R569
301R 1% 1 2 56 UNNAMED_32_CHL8338_I126_IRTN6
IRTN6
VDDC_I4_P 1 R546
2 0R 5% VRDY2 4
IN IR3567_ISEN1_LP2 DNI
301R 1% 42 ISEN1_L2
VDDC_I4_N 1 R563
2 IR3567_IRTN1_LP2 43 IRTN1_L2 CFP 5 IR3567_CFP TP506
IN
301R 1% 44 ISEN2_L2
VDDC_I5_P 1 R547
2 45 UNNAMED_32_CHL8338_I126_IRTN2L2
IRTN2_L2
IN
301R 1%
VDDC_I5_N 1 R564
2 9 TSEN1
IN
301R 1%
27 TSEN2 NC 13
NC 15 VDDC_PWR_GOOD
IR3567_VINSEN OUT 16
14 VINSEN NC 26
VDDCI_PW_GOOD
VDDCI_I1_P R549 OUT
1 2 24 SM_DIO
IN
301R 1% 25 SM_CLK
VDDCI_I1_N 1 R566
2 V18A 10 IR3567_V18A
IN IR3567_VDDIO
301R 1% 16 VDDIO
18 SV_CLK C658 C660

1
19 4.7uF 1000pF
SV_DIO 6.3V 50V

1
SM_ALERT# 23 IR3567_SM_ALERT# R599 R601 R523
21 4.7K 4.7K 4.7K
EN R522
VDDC_TSEN_P IR3567_ADDR_PROT 22 ADDR_PROT
VDDCGND 4.7K
IN

2
IR3567_PWROK 12 PWROK
DNI

2
1

R596
C647 1 2
0.01uF
R559 16V 0R
13.3K
SVT 17 IR3567_SVT
1%

1
2

VDDC_TSEN_N 1 R554
2 R591 C657 VTHOT_ICRIT# 20 IR3567_VTHOT_ICRIT# 1 R600
2
IN VDDCGND 845R 0.01uF R598 VDDC_VDDCI_SVT
0R 0R 1 2 10
1% 16V OUT

1
THMPAD 58 0R

2
VDDCI_TSEN_P THMPAD 59 R521
IN 4.7K
B THMPAD 60 B
1

C648 THMPAD 61 DNI

2
0.01uF VDDCGND 62
R556 16V THMPAD
13.3K 63
1% THMPAD
64 VDDCGND
THMPAD
2

VDDCI_TSEN_N R551

RRES
1 2 GND 57
IN VDDCGND
0R
+12V_EXT_A GPIO_5_REG_HOT

8
VDDCGND
R544 OUT 10,20
1 2 UNNAMED_32_CAP_I125_A

1
+12V_Ext_B 26.7K 1% C636
1000pF
C646 R592 50V
R548 R555 0.01uF 7.5K DNI
1 2 1K 16V 1%
1

26.7K 1% 1%

2
R584 R589
4.7K 4.7K J502
VDDCGND VDDCGND HEADER_1X2
2

1 UNNAMED_32_CON02_I151_P1

VDDC_VDDCI_REG_SDA 2
IN DNI
VDDC_VDDCI_REG_SCL J500
IN
HEADER_1X2

C633 C635 1
47pF 47pF 2
50V 50V
DNI
VDDCGND J501
+1.8V
HEADER_1X2
R568
1 2 1
0R 2
C649
DNI
1

0.01uF VDDCGND
16V
R515 R517
VDDCGND 4.7K 4.7K
DNI
2

VDDC_VDDCI_SVC
IN
VDDC_VDDCI_SVD
A IN A
NS500
1

2 1
R516 R518 NS501
1

4.7K 4.7K 2 1
1

DNI R519 C634 NS502


2

100K 1000pF 2 1
50V R594
VDDCGND DNI DNI 10K
NS503
2

1%
DNI 2 1
2

VDDCGND
NS504
VDDC_OE 2 1
IN
1 R590
2 NS505
Micro-Star International Co., LTD.
0R 2 1 <Title>
C656
0.01uF VDDCGND
MS-V308
16V
Size Document Number Rev
VDDC_VDDCI_PWROK VDDCGND Custom <Doc> 1.0
IN
Date: Tuesday, October 29, 2013 Sheet 19 of 26

8 7 6 5 4 3 2 1
8 7 6 5 4 3 2 1

+VDDC
NS500B
2 1 VDDC_OUT_A1 1 R500B
2
0R

R504B
NS501B 1 2
2 1 VDDC_OUT_A2 1 R501B
2 UNNAMED_6_RES_I25_B
100R 1%

1
0R
R507B R510B
1.5K 1.5K
D 1% 1% D

2
UNNAMED_6_RES_I40_A UNNAMED_6_RES_I44_A

1
NS502B
2 1 VDDC_OUT_B1 1 R502B
2 R506B R508B R509B R511B
4.99K 10K 4.99K 10K
0R 1% 1% 1% 1%
NS503B

2
2 1 VDDC_OUT_B2 1 R503B
2 UNNAMED_6_RES_I23_B
1 R505B
2
0R 100R 1%

+3.3V_BUS

C500B
1 2
0.01uF 16V R524B
+12V_Ext_B 1 2
1

C501B 127K 1%
R533B 1 2
0R
5%
1

0.068uF 16V U501B


2

1
R512B
698R
LMV7239M5
1% R527B
0805 SOT23-5 10K
2

R523B 1%
1 2

2
10R 1%

1
C511B
1

5
1 2

IN-
IN+
UNNAMED_6_CAP_I54_A
UNNAMED_6_CAP_I54_B

U500B TP500B R529B


49.9K R558B 0R 5% GPIO_5_REG_HOT
R513B 1% OUT 10,19
10K LMP8640MK R522B 47pF 50V
NC#5 5 UNNAMED_6_LMP8640_I30_NC
1 2 3 UNNAMED_6_NPN_I56_C

2
0.1%
3

3
R515B
TSOT-6 1 2 UNNAMED_6_CAP_I48_A

V+
1K 1%
2

REG501B R525B
UNNAMED_6_CAP_I12_A
V+ 6 100R 1% C509B 1 1 2 1 Q500B
TL431ACDBV 1000pF MMBT3904
4 C502B C503B
UNNAMED_6_CAP_I15_A UNNAMED_6_CAP_I16_A
50V 49.9K 1%

V-
SOT23-5 2.2uF 2.2uF 4
C UNNAMED_6_RES_I5_A
C504B C505B
UNNAMED_6_CAP_I34_A
C

2
16V 16V
1

1
0805 0805 22pF 22pF 1 UNNAMED_6_LMP8640_I30_VOUT UNNAMED_6_CAP_I51_A
50V 50V VOUT R516B C507B

1
49.9K 0.1uF UNNAMED_6_CAP_I37_A
R514B C506B C510B R526B
5

1% 16V
10K 0.1uF 0.1uF 49.9K

V-
R520B C512B

2
0.1% 16V 16V 1%
49.9K 0.033uF
2

2
1% 16V

2
R557B
1 2
10R 1%

U503B

5
SN74LVC1G32DCK

VDDC_ICRIT
1

1
R552B
1 2 UNNAMED_6_RES_I105_B
1 D500B
R531B
U502B R530B R547B R550B 10R 1% 4 2 1
UNNAMED_6_DIODE_I120_A
UNNAMED_6_DIODE_I120_K
1 2
14.7K 10K 10K R553B OUT
0.1% 1% 1%
1 2 UNNAMED_6_RES_I106_B
2 3.01K 1%

1
uP1801AMT8
UNNAMED_6_RES_I91_B UNNAMED_6_RES_I92_B
10R 1% 1N4148W
2

1
C508B C524B R532B

3
0.1uF 1 8 0.1uF 4.99K
16V VCC VID0 R554B R555B 16V 1%
2 7 10R 10R
GND VID1

2
1% 1%
VDDC_VDDCI_REG_SCL 3 SCL VREF 6 2 R517B
UNNAMED_6_RES_I29_B
1
IN

2
4 SDA R1 5 5% 0R

VDDC_VDDCI_REG_SDA
4

UNNAMED_6_RES_I27_A
SW500A

SW500B

IN
1

Slide

Slide

R519B
66.5K
1

1%
2

B B
1

U505B R536B
14.7K
R549B
10K
R551B
10K
0.1% 1% 1%
UNNAMED_6_RES_I77_B UNNAMED_6_RES_I93_B UNNAMED_6_RES_I94_B
uP1801AMT8
2

R539B
C515B 1 2
0.1uF 1 8
16V VCC VID0 127K 1%
2 GND VID1 7
3 SCL VREF 6 U504B
4 SDA R1 5 LMV7239M5
4

SOT23-5
SW501A

SW501B
1

Slide

Slide

DNI

1
R537B C522B

5
66.5K 1 2
UNNAMED_6_CAP_I69_A
UNNAMED_6_CAP_I69_B
R540B
1

1%
49.9K
2

1% R556B 47pF 50V


1 2 3
2

3
UNNAMED_6_CAP_I74_A

V+
1K 1%
R534B
C513B 1 1 2 1 Q501B
R548B 1000pF MMBT3904
1 2 50V 49.9K 1%

V-
10R 1% 4

2
1
UNNAMED_6_CAP_I72_A
1

UNNAMED_6_CAP_I116_A
C516B R535B
0.1uF 49.9K
C523B R541B C521B

2
R545B 16V 1%
1 2 0.033uF 49.9K 0.033uF DNI

2
16V 1% 16V
10R 1%
2
5

U506B
FB_VDDC R542B LMV7239M5
1 2 3
IN
SOT23-5
V+

10K 1%
C517B 1
1000pF
FB_VSSC R543B 50V
V-

1 2 4
IN
10K 1% DNI C520B
0.1uF
A 16V A
2
1

UNNAMED_6_CAP_I112_A

R546B
C518B R544B 1 2
0.1uF 10K
16V 1% 10K 1%
2

C519B
1 2
UNNAMED_6_CAP_I101_A
UNNAMED_6_CAP_I101_B

0.1uF 16V
Micro-Star International Co., LTD.
<Title>
MS-V308
Size Document Number Rev
Custom <Doc> 1.0

Date: Friday, November 01, 2013 Sheet 20 of 26

8 7 6 5 4 3 2 1
8 7 6 5 4 3 2 1

VDDC_TSEN_P

1
VDDC 1~5 phase PLACE NEXT TO PHASE 3 HALF BRIDGE
R624
47K
1%
VDDC_TSEN_N
OUT

OUT
19

19

2
R634
1 2 VDDC_I2_P OUT 19

1
R621
1 2 VDDC_I1_P OUT 19 2.37K 1%

1
C698
+12V_EXT_B 2.37K 1%
C682
+12V_EXT_A 0.22uF
0.22uF VDDC_I2_N
25V
OUT 19

2
25V VDDC_I1_N OUT 19

2
1

1
2
5
6
C592 C594 C596 C696 C601

1
2
5
6
+ + + + 10uF 10uF 10uF 1uF 0.1uF 0603
C664 C667 C670 C673 C517 C521 C527 C530 C535 C538 C679 C548 C686 C687 C689 C691 C584 C586 C590 16V 16V 16V 16V 16V
270uF 270uF 10uF 10uF 10uF 10uF 10uF 10uF 10uF 10uF 1uF 0.1uF 0603 270uF 270uF 10uF 10uF 10uF 10uF 10uF
0805 0805 0805 0603
VDDC_PHS2_UG Q511
16V 16V 16V 16V 16V 16V 16V 16V 16V 16V 16V 16V 16V 16V 16V 16V 16V 16V 16V R632
8mm 1206 1206 0805 0805 0805 0805 0805 0805 0603
VDDC_PHS1_UG Q505 SP25 SP26 1206 1206 0805 0805 0805 1 2 3 IRF6811S SP33 SP34
2

2
R617 IRF6811S XXXV30701S XXXV30701S XXXV30701S XXXV30701S
1 2 3 0R 5%
R537
0R 5% 1 2

4
VDDC_DRIVER_VCC 1 R528
2 1 R510 2
VDDC_PHS2_BOOT DNI
D IN 47K 5% L506 D

1
1 R504 2
VDDC_PHS1_BOOT 47K 5%
DNI L502 1R C599
UNNAMED_2_CAP_I228_A VDDC_PHS2_SWNODE 1 2

1
1R C545
UNNAMED_2_CAP_I104_A VDDC_PHS1_SWNODE 1 2 R626
0.22uF
0.19uH
25V

1
R608
0.22uF
0.19uH
VDDC_PWM2 1 R508
2 0R VDDC_PHS2_LG
VDDC_PWM1 R501 0R
25V
VDDC_PHS1_LG 19 IN 5%
1 2 0R 1 BOOT HI_GATE 10 UNNAMED_2_CHL8510_I183_HIGATE
R539 C603
IN

2
5%

7
6
5
4
1 10UNNAMED_2_CHL8510_I86_HIGATE
4 9 2.2R DNI 0.1uF
0R BOOT HI_GATE C569 VCC SWITCH

2
16V

7
6
5
4

1
4 9 0.1uF 3 UNNAMED_2_CHL8510_I183_PWM
6 0805
VCC SWITCH PWM LO_GATE R630

2
16V
3 UNNAMED_2_CHL8510_I86_PWM
6 0R
PWM LO_GATE R614 R531 5%
VDDC_GATE_DRV_SUP 0R 2.2R DNI 2 HVCC MODE 8 UNNAMED_2_CHL8510_I183_MODE 0603 Q509

2
5%
2 8 UNNAMED_2_CHL8510_I86_MODE 0603 0805 5 7 3 IRF6894M
UNNAMED_2_BSB013NE2LXI_I223_G1

IN HVCC MODE Q502 LVCC U506 GND

1
5 LVCC U502 GND 7 3 UNNAMED_2_BSB013NE2LXI_I96_G1IRF6894M UNNAMED_2_CAP_I181_A
GND 11

1
UNNAMED_2_CAP_I84_A
GND 11 C581 C583 C694 C589 CHL8510CR R628
UNNAMED_2_CAP_I225_A

1
UNNAMED_2_CAP_I149_A 10uF 0.1uF 1uF 0.1uF 0R
C508 C513 C676 C524 CHL8510CR R611 R535 C642

1
2
16V 16V 16V 16V
10uF 0.1uF 1uF 0.1uF 0R 47K 0.0047uF
R525 C638 0805 0603

1
2

2
16V 16V 16V 16V 5% 50V
47K 0.0047uF DNI DNI 0603 DNI
0805 0603

2
5% 50V
DNI 0603

2
VDDC_RCS_P

1
PLACE BETWEEN PHASE 1 AND 2 INDUCTORS OUT 19
R620 VDDC_RCS_N
10K
1% OUT 19

2
R622 R633
+12V_EXT_B 1 2 VDDC_I3_P OUT 19 +12V_EXT_A 1 2 VDDC_I4_P OUT 19

1
2.37K 1% 2.37K 1%
C683 C697
0.22uF 0.22uF
1

1
C528 C531 C536 C541 C680 C549 VDDC_I3_N
25V
OUT 19
25V VDDC_I4_N OUT 19

2
1
2
5
6

1
2
5
6
+
C665
+
C668 C672 C675 C519 C523
10uF 10uF 10uF 10uF 1uF 0.1uF VDDC_PHS3_UG +
C685
+
C688 C690 C692 C585 C587 C591 C593 C595 C597 C695 C600
16V 16V 16V 16V 16V 16V
270uF 270uF 10uF 10uF 10uF 10uF 0603 SP27 SP28 270uF 270uF 10uF 10uF 10uF 10uF 10uF 10uF 10uF 10uF 1uF 0.1uF 0603
16V 16V 16V 16V 16V 16V 0805 0805 0805 0805 0603 16V 16V 16V 16V 16V 16V 16V 16V 16V 16V 16V 16V
1206 1206 0805 0805 Q506
XXXV30701S XXXV30701S 1206 1206 0805 0805 0805 0805 0805 0805 0603
VDDC_PHS4_UG Q510 SP31 SP32
2

2
R618 IRF6811S R631 IRF6811S XXXV30701S XXXV30701S
1 2 3 1 2 3
0R 5% 0R 5%
R529 R536
C 1 2 1 2 C

4
1

1
1 R505 2
VDDC_PHS3_BOOT 47K 5%
DNI L503 1 R509 2
VDDC_PHS4_BOOT 47K 5%
DNI L505
R609 1R C546
UNNAMED_2_CAP_I87_A VDDC_PHS3_SWNODE 1 2 R625 1R C598
UNNAMED_2_CAP_I213_A VDDC_PHS4_SWNODE 1 2
0R 0.22uF 0R 0.22uF
5% 25V 0.19uH 5% 25V 0.19uH
VDDC_PWM3 1 R502
2 VDDC_PHS3_LG VDDC_PWM4 1 R507
2 VDDC_PHS4_LG
IN 19 IN
2

2
0R 1 BOOT HI_GATE 10UNNAMED_2_CHL8510_I40_HIGATE
C570 0R 1 BOOT HI_GATE 10 UNNAMED_2_CHL8510_I145_HIGATE
C602
1

7
6
5
4

7
6
5
4
4 9 0.1uF 4 9 0.1uF
VCC SWITCH 16V VCC SWITCH 16V

1
3 UNNAMED_2_CHL8510_I40_PWM
PWM LO_GATE 6 R615 R532 3 UNNAMED_2_CHL8510_I145_PWM
PWM LO_GATE 6 R629
0R 2.2R DNI 0R
5% 5% R538
2 8 UNNAMED_2_CHL8510_I40_MODE 0603 0805 2 8 UNNAMED_2_CHL8510_I145_MODE 0603 2.2R DNI
HVCC MODE Q503 HVCC MODE Q508
2

2
5 7 3 IRF6894M
UNNAMED_2_BSB013NE2LXI_I56_G1
5 7 3 IRF6894M
UNNAMED_2_BSB013NE2LXI_I202_G1
0805
LVCC U503 GND LVCC U505 GND

2
1

1
UNNAMED_2_CAP_I38_A
GND 11 UNNAMED_2_CAP_I143_A
GND 11
1

1
UNNAMED_2_CAP_I128_A UNNAMED_2_CAP_I203_A
C509 C514 C677 C525 CHL8510CR R612 C580 C582 C693 C588 CHL8510CR R627
10uF 0.1uF 1uF 0.1uF 0R 10uF 0.1uF 1uF 0.1uF 0R
R526 C639 R534 C641
1
2

1
2
16V 16V 16V 16V 16V 16V 16V 16V
47K 0.0047uF DNI 47K 0.0047uF DNI
0805 0603 0805 0603
2

2
5% 50V 5% 50V
DNI 0603 DNI 0603
2

2
R623
1 2 VDDC_I5_P OUT 19 +VDDC

1
+12V_EXT_B 2.37K 1%
C684
0.22uF
25V

2
1

1
2
5
6

1
C529 C532 C537 C542 C681 C550
VDDC_PHS5_UG VDDC_I5_N OUT 19
+ 10uF 10uF 10uF 10uF 1uF 0.1uF 0603
C669 C671 C674 C518 C522 16V 16V 16V 16V 16V 16V R511
270uF 10uF 10uF 10uF 10uF 100R
16V 16V 16V 16V 16V 0805 0805 0805 0805 0603 Q507
R619 IRF6811S
1206 1206 0805 0805 1 2 3 SP29 SP30
2

2
0R 5%
XXXV30701S XXXV30701S
R530
1 2
4

1 R506 2
VDDC_PHS5_BOOT 47K 5%
DNI L504
1

1R C547
UNNAMED_2_CAP_I46_A VDDC_PHS5_SWNODE 1 2

2
VDDC_PWM5 R610
0.22uF
0.19uH NS512 NS515
R503 25V
1 2 0R VDDC_PHS5_LG
IN 5%
1

0R 1 BOOT HI_GATE 10UNNAMED_2_CHL8510_I34_HIGATE


C571
2

1
1

7
6
5
4

4 9 0.1uF
VCC SWITCH R533 16V
3 6 2.2R DNI
B UNNAMED_2_CHL8510_I34_PWM
PWM LO_GATE R616
0R 0805 VDDC_LOC_P B
OUT 19
2

5%
2 HVCC MODE 8 UNNAMED_2_CHL8510_I34_MODE
0603 Q504
2

5 LVCC U504 GND 7 3 IRF6894M


UNNAMED_2_BSB013NE2LXI_I48_G1 UNNAMED_2_CAP_I125_A VDDC_LOC_N 19
OUT
1

UNNAMED_2_CAP_I32_A
GND 11 C640
1

0.0047uF
C510 C515 C678 C526 CHL8510CR R613 50V
10uF 0.1uF 1uF 0.1uF 0R 0603 DNI
R527
1
2

16V 16V 16V 16V


47K
0805 0603
2

5%
DNI
2
1

C533 C539 C543 C551 C906 C555 C557 C559 C561 C912 C915 C916 C572 C574 C576 C578
+ + + + + 22uF 22uF 22uF 22uF 22uF 22uF 22uF 22uF 22uF 22uF 22uF 22uF 22uF 22uF 22uF 22uF
C504 C506 C511 C516 C520 4V 4V 4V 4V 4V 4V 4V 4V 4V 4V 4V 4V 4V 4V 4V 4V
820uF 820uF 820uF 820uF 820uF
2.5V 2.5V 2.5V 2.5V 2.5V 0805 0805 0805 0805 0805 0805 0805 0805 0805 0805 0805 0805 0805 0805 0805 0805
6.3X8 6.3X8 6.3X8 6.3X8 6.3X8 1.4 mm 1.4 mm 1.4 mm 1.4 mm 1.4 mm 1.4 mm 1.4 mm 1.4 mm 1.4 mm 1.4 mm 1.4 mm 1.4 mm 1.4 mm 1.4 mm 1.4 mm 1.4 mm
2

2
1

A + + +
C534
22uF
C540
22uF
C544
22uF
C905
22uF
C554
22uF
C927
22uF
C558
22uF
C560
22uF
C562
22uF
C911
22uF
C913
22uF
C914
22uF
C900
22uF
C575
22uF
C577
22uF
C579
22uF
A
C505 C507 C512 4V 4V 4V 4V 4V 4V 4V 4V 4V 4V 4V 4V 4V 4V 4V 4V
820uF 820uF 820uF
2.5V 2.5V 2.5V 0805 0805 0805 0805 0805 0805 0805 0805 0805 0805 0805 0805 0805 0805 0805 0805
6.3X8 6.3X8 6.3X8 1.4 mm 1.4 mm 1.4 mm 1.4 mm 1.4 mm 1.4 mm 1.4 mm 1.4 mm 1.4 mm 1.4 mm 1.4 mm 1.4 mm 1.4 mm 1.4 mm 1.4 mm 1.4 mm
2

Micro-Star International Co., LTD.


<Title>
MS-V308
Size Document Number Rev
Custom <Doc> 1.0

Date: Tuesday, October 29, 2013 Sheet 21 of 26

8 7 6 5 4 3 2 1
8 7 6 5 4 3 2 1

VDDCI phase

VDDCI_TSEN_P

1
PLACE NEAR HALF BRIDGE OUT 19
+12V_BUS_B R642
47K
VDDCI_TSEN_N
1% OUT 19

2
D D
1

+ +
C699 C699A C500A C501A C604 C605 C607 C609 C611 C612 C503A
180uF 180uF 10uF 10uF 10uF 10uF 10uF 10uF 10uF 10uF 1uF
16V 16V 16V 16V 16V 16V 16V 16V 16V 16V 16V C613
6.3X6 1206 1206 0805 0805 0805 0805 0805 0805 0603
0.1uF VDDCI_I1_P
OUT 19
2

16V
6mm
VDDCI_I1_N
OUT 19
VDDCI_PWM1 1 R512
2 NS518 NS519
VDDCI_LOC_P
IN OUT 19

1
2
5
6

2
0R
0603 VDDCI_LOC_N 19
OUT
Q513 C504A

1
R541 VDDCI_PHS_UG R2 IRF6811S R645
1 2 1 2 3 UNNAMED_3_MOSN4D1S_I37_G
1 2 1 2
UNNAMED_3_CAP_I47_A
NS520 NS521

2
0R 0805 1 R513 2
VDDCI_BOOT 0R 5% 1.47K 1% +VDDCI

1
R3 0.22uF 25V
1R 0603 1 2

4
R636 C614 47K 5% L500

1
REG500 0R 0.22uF VDDCI_PHS_SWNODE
LM317LCD 5% U507 25V
UNNAMED_3_CAP_I29_A
1 2
DNI 0.15uH C616A C616 C617A

1
1 VIN VOUT 2 VDDCI_PHS_LG C618 C619 C620 C621 C622 C623 C625 C627 C629 C631
470UF 470UF 470UF
5 3 1 10 22uF 22uF 22uF 22uF 22uF 22uF 22uF 22uF 22uF 22uF
NC VOUT BOOT HI_GATE 4V 4V 4V 4V 4V 4V 4V 4V 4V 4V R514

7
6
5
4

7
6
5
4
8 6 4 9 2.5V 2.5V 2.5V 100R
NC VOUT VCC SWITCH 0603 0603 0805 0805 0805 0805 0805 0805 0805 0805 0805 0805
4 UNNAMED_3_LM317LCD_I3_ADJ
7 UNNAMED_3_CAP_I12_A
3 UNNAMED_3_CHL8510_I16_PWM
6 POSCAP POSCAP POSCAP
C643 ADJ VOUT PWM LO_GATE R638 R643 C615 1.4 mm 1.4 mm 1.4 mm 1.4 mm 1.4 mm 1.4 mm 1.4 mm 1.4 mm 1.4 mm 1.4 mm

2
1
0.1uF 0R 0R 0.1uF
16V C644 5% 5% 16V
1uF 2 8 UNNAMED_3_CHL8510_I16_MODE
HVCC MODE R543

2
R542 16V
DNI 1 2 5 7 3 UNNAMED_3_BSB013NE2LXI_I31_G1
3 UNNAMED_3_BSB013NE2LXI_I33_G1 2.2R DNI
0603 LVCC GND

1
DNI 11
475R 1%
UNNAMED_3_CAP_I14_A
GND Q512 Q500 change to SOLID CAP 470uF

2
1

1
DNI IRF6894M IRF6894M UNNAMED_3_CAP_I43_A
C606 C608 C502A C610 CHL8510CR R637
10uF 0.1uF 1uF 0.1uF 0R
R540 R639 R4 C645 C624 C27 C628 C28 C632

1
2

1
2
16V 16V 16V 16V
2K DNI 47K 47K 0.0047uF 22uF 22uF 22uF 22uF 22uF
0805 0603

2
1% 5% 5% 50V 4V 4V 4V 4V 4V
DNI DNI DNI 0805 0805 0805 0805 0805
2

2
1.4 mm 1.4 mm 1.4 mm 1.4 mm 1.4 mm

VDDCI_RCS_P

1
PLACE NEXT TO VDDCI PHASE INDUCTOR OUT 19
R646 VDDCI_RCS_N
10K
C 1% OUT C 19

2
B B

A A

Micro-Star International Co., LTD.


<Title>
MS-V308
Size Document Number Rev
Custom <Doc> 1.0

Date: Thursday, October 31, 2013 Sheet 22 of 26

8 7 6 5 4 3 2 1
8 7 6 5 4 3 2 1

MVDD

MVDD_SENSE_N
MVDD_SENSE_P
D D

1
R734
10K
1%

2
MVDD_PWRGD

+12V_EXT_B

NS706
R704
2 1 1 2
UNNAMED_34_NETSHORT_I128_N1

1
C710 C711 C715 C716 C725 5% 0R
+ 10uF 10uF 10uF 10uF 0.1uF DNI
R707 C723 16V 16V 16V 16V 16V
1R 270uF R740
1% 16V
0603 C727 C729 C730 2 1 1 2
UNNAMED_34_NETSHORT_I31_N1

1206 1206 1206 1206 DNI 10uF 10uF 10uF


8mm 5% 0R

2
16V 16V 16V
1.8mm 1.8mm 1.8mm 1.8mm 0805 0805 0805
DNI NS705
DNI DNI DNI

C707 NS703

1
1uF NCP5230_CSP NCP5230_VCC R741
16V
2 1 1 2
UNNAMED_34_NETSHORT_I171_N1

DNI R717
R708 1 2 5% 0R
NCP5230_CSN C713
1R change 0603 footprint
0R 1%
1%

1
10uF R742
2 1 1 2
UNNAMED_34_NETSHORT_I172_N1

2
6.3V R716 R715
C700 R724 C708 C709 0805 1 2 1
UNNAMED_34_RES_I104_B
2 5% 0R
2700pF 3.01K
UNNAMED_34_CAP_I136_B RFB2 100pF 100pF
25V 1% 50V 50V 33K 1% 100K 1% NS702

1
2
5
6
DNI DNI NCP5230_VCCP DNI
C UNNAMED_34_RES_I132_B
C722 C
1 2
1

R714
1 2 1 2 NS701
R743
2 1 1 2

15
UNNAMED_34_NETSHORT_I177_N1
R723 C714 Q702 6.98K 1%

1
100R 1uF R711 IRF6811S 0.1uF 10V
1% R725 16V C703 1 2 3 UNNAMED_34_MOSN4D1S_I77_G
5% 0R
R727
0R 2NCP5230_VSEN 10uF DNI

VCC
1 13 CSP PGOOD 6 0805 R709 0R 5% R718
2

5% 16V R744
DNI 1R 0R 2 1 1 2
UNNAMED_34_NETSHORT_I176_N1
0R 5% 0805
2

4
1% 5%

1
C706 12 CSN/VO 5% 0R

2
100pF 1 DNI
50V VCCP R733 NS700

1
1
DNI 49.9K
C701 1%
1 2
UNNAMED_34_CAP_I130_A
UNNAMED_34_CAP_I130_B
10 VSEN
UNNAMED_34_CAP_I97_A SP35 SP36 R703 R702

2
4 NCP5230_BOOT XXXV30701S XXXV30701S 49.9R 49.9R
BOOT C724 1% 1% +MVDD
1

100pF 50V 0.22uF

2
2
16V
R726 C702 8 COMP 0603
4.75K RFB1 R730 NCP5230_UG NCP5230_SWNODE
1%
1 2 1 2
UNNAMED_34_CAP_I131_A
UG 5 1 2
10K 1% L700
2

1
0.033uF 16V
9 FB 0.19uH
3 7.5mm + +
LX C726 C712 C717 C718 C719 C720
820uF 820uF 22uF 22uF 22uF 22uF
+3.3V_BUS 11
2.5V
6.3X8
2.5V 4V
0805
4V 4V 4V
FBG

2
LG 2 NCP5230_LG 9mm 1.4mm
1

7
6
5
4
C721
R712 R713
NCP5230_ROSC/EN 14 ROSC/EN THM 17 47pF
UNNAMED_34_CAP_I165_B
50V
12.4K 56.2K 18 DNI
1% 1% THM
1

R700 R701 19
THM Q703
3 2

10K 10K R710


5% 5% 7 UNNAMED_34_NCP5230_I75_SYNC
20 1 2 3 UNNAMED_34_BSB013NE2LXI_I78_G1 IRF6894M

GND
R731 SYNC THM
DNI UNNAMED_34_MOSN_I150_D 42.2K
1% 0R 5%
1

1
GPIO_15_MVDD_VID0 1 Q700
IN
2

16

1
2N7002K-T1-GE3 R721 R720

1
2
SOT23 3.01K 100R
R732
2

1% 1%
R706 49.9K 1206
2

2
10K 1%
5% DNI

2
DNI
3

UNNAMED_34_MOSN_I151_D

GPIO_20_MVDD_VID1 1 Q701
IN 10
2N7002K-T1-GE3
1

SOT23
2

B R705 B
10K
5%
U700
2

NCP5230MNTWG

IN
MVDD_EN
16 1 R719
2
0R 5%
C704
100pF
50V

DNI

A A

Micro-Star International Co., LTD.


<Title>
MS-V308
Size Document Number Rev
Custom <Doc> 1.0

Date: Thursday, October 31, 2013 Sheet 23 of 26

8 7 6 5 4 3 2 1
8 7 6 5 4 3 2 1

(21) DEBUG CIRCUITS

LED GREEN "ON" shows PX_EN

reomve
D D

reomve JTAG circuit

LED RED "ON" shows Fault

C C
reomve

B B

+3.3V_BUS
120R

120R

Y4001
27.000MHz
2

XOUT_OSC 1 3 XIN_OSC +3.3V_BUS


B4001

B4002

2 4
U5

C4017 20pF
XTALOUT 10 XTALIN 1 C4021 20pF
1

VDD_100M 4 VDD33_100M
R4036 R4037

10
XO_IN2
2
R4038 10R CLK_100M
100M_OUT 5 VDD_27M 8 5.1K 5.1K

OUT VDD33_27M
1

SS_SEL0 7 SS_SEL0

SS_SEL1 3 SS_SEL1

10
XO_IN
2
R4039 10R CLK_27M
27M_OUT 9
OUT
2

GND_100M 6
GND_27M 2 C4013 C4026 R4040 R4041
0.1uF 0.1uF
GND_PAD 11 5.1K 5.1K

GND
1

SL16010DC

A A

Micro-Star International Co., LTD.


<Title>
MS-V308
Size Document Number Rev
Custom <Doc> 1.0

Date: Tuesday, October 29, 2013 Sheet 24 of 26

8 7 6 5 4 3 2 1
9 8 7 6 5 4 3 2 1

E E

MEMORY CHANNEL C&D MEMORY CHANNEL E&F MEMORY CHANNEL G&H


MEMORY CHANNEL A&B
External Connector

GDDR5 4pcs 64Mx32 GDDR5 4pcs 64Mx32


GDDR5 4pcs 64Mx32 GDDR5 4pcs 64Mx32
+12V_EXT_A

External Connector

+12V_EXT_B

CH A/B/C/D/E/F/G/H

JTAG/I2C

Debug TMDPC mDisplayPort


AC Coupling Caps
D CrossFire D
DVOCLK
CrossFire Connector
DVPCNTL_[0..2] DDC1 AUX1

DVPDATA[23:0]

POWER REGULATORS Interlink


DVP_MVP_CNTL[1:0]
HPD5
GPIO[2:1]

GENERICC, D

From EXT_A, EXT_B:


Regulator HOT GPIO5

+VDDC

TMDPD AC Coupling Caps


mDisplayPort

From +12V_BUS:
GPIO Connector
DDC2 AUX2
+VDDCI, +MVDDC
Straps
+5V, +5V_VESA, +5V_HDMI
HPD4

From +12V_BUS OR EXT_B:

+VDDQ
BIOS ROM

DDC4
HDMI
From +12V_BUS, 3.3V (0.95V): Thermal HPD1

PCIE_VDDC, DPLL_VDDC, SPLL_VDDC Connector


DDC6
DP_VDDC Speed control
AC Coupling Caps and
TMDPA
& temperature GPIO17, GPIO6_TACH
Inductors
INTERRUPT

C From +3.3V Direct:


FAN
sense
D+/D- C
Temp. Sensing
VDDR3

FDO
Built-in PWM

From 3.3V (1.8V)

LVTMDPEF
PCIE_PVDD,VDD_CT, VDDR4, AC Coupling Caps
Dynamic Power Management

DPLL_PVDD, XTAL_VDDR, SPLL_PVDD

DVI-I
MPLL_PVDD, DP_VDDR, VDD1DI, AVDD

TSVDD
POWER DELIVERY CRTDAC RGB Filters
Connector

DDC7

HPD3 +5V_VESA

TAHITI
100MHz
XO_IN2

27MHz
XO_IN Clock

XTALIN

Temperature Critical
CTF
PCI-Express

Power Sequencing
B B
Circuit

RH TAHITI GDDR5 3GB


+3.3V_BUS
64Mx32 mDP mDP HDMI
PCI-Express Bus
+12V_BUS DVI

A A

Micro-Star International Co., LTD.


<Title>
MS-V30814ci203
Size Document Number Rev
Custom <Doc> 1.0

Date: Tuesday, October 29, 2013 Sheet 25 of 26

9 8 7 6 5 4 3 2 1
8 7 6 5 4 3 2 1

AMD
TITLE:

Hawaii GD5 - DVI-DVI-HDMI-DP DOCUMENT NUMBER: 105-C671XX-00 DATE: Wed Oct 16 20:41:25 2013 SHEET NUMBER: 26 OF 26 REV: 1.0

CONFIDENTIAL AND PROPRIETARY TO ADVANCED MICRO DEVICES INC. C 2013 Advanced Micro Devices
ENGINEER: NOTES: AMD - GRAPHICS
This AMD Board schematic and design is the exclusive property of AMD, and is provided only to entities under a non-disclosure agreement with AMD

1 COMMERCE VALLEY
REVISION HISTORY XXX NOTE
for evaluation purposes. Further distribution or disclosure is strictly prohibited. Use of this schematic and design for any purpose other than
evaluation requires a Board Technology License Agreement with AMD. AMD makes no representations or warranties of any kind regarding this

schematic and design, including, not limited to, any implied warranty of merchantability or fitness for a particular purpose, and disclaims
MARKHAM, ONTARIO, L3T 7X6
responsibility for any consequences resulting from use of the information included herein.

SCH PCB Date REVISION DESCRIPTON


Rev Rev

D D
1

FEB
2 A 6
2013

REMOVED LOCAL ANALOG GROUND FOR U700


MAR ADDED SELECTABLE REGULATION POINT AT THE LOAD
B 18 CONNECTED VSEN (UVP AND OVP DETECT) THROUGH AN RC FILTER R727 C706 (DNI FOR THIS REVISION)
2013 MODIFIED PHASE LEAD ZERO POSITION BY CHANGING R723 FROM 590R TO 100R

CHANGED C712 FROM DNI TO 820uF 2.5V , Remove C666


Added R363 , R364 , Q354
PCC CIRCUIT UPDATED WITH NON INVERTOR OPTION , R531B , R532B ADDED

C Power Management (16) , VDD (19) , PCC (20) , +1.8V regulator changed to LDO

D Fan supply changed from +12_BUS to +12_BUS_B , MC201 added , J201 removed
E
PCC Circuit page 20 udpated
-00
CF Connector removed,Replaced C1270-C1294 (25 caps) with 47uF
C C

2010/10/25
P01. remove JTAG circuit
P12. change DP and HDMI footprint
P21. VDDC choke change to DIP package
input cap change to 270uF 8X8
output cap change to 820uF 6.3X8
P22. VDDCI output CAP change to 470uF X3
P23. MVDD choke change to DIP package
input cap change to 270uF 8X8
output cap change to 820uF 6.3X8
P24. remove debug circuit
B B

A A

Micro-Star International Co., LTD.


<Title>
MS-V30814ci203
Size Document Number Rev
Custom <Doc> 1.0

Date: Tuesday, October 29, 2013 Sheet 26 of 26

8 7 6 5 4 3 2 1

You might also like