You are on page 1of 17

Plasma-enhanced CVD.

Lev Berkovich MSE 550 Professor B. Bavarian

Plasma-enhanced CVD
Relation

of PECVD to other processes Typical processes and hardware Specific applications Examples of PECVD modeling software

PECVD and other CVD processes.

What is Plasma-enhanced CVD

Plasma Enhanced CVD (PECVD) is a process where glow-discharge plasma is sustained in a reaction chamber. This technology was developed to meet a demand from the semiconductor industry to have a low temperature process of silicon nitride films for the passivation and insulation of the complete devices, that could not be exposed to the temperatures that are normal for the CVD ~1000 0C. The most common way to excite the plasma is the RF field. PECVD is mostly used to deposit dielectrics, and therefore the DC excitation will not work. Frequency range is usually from 100kHz to 40MHz. The process does not require a deep vacuum, so the reduced pressure between 50 mtorr to 5 torr is used. The ion density is usually between 109 1011 1/cm3 and average electron energies are between 1 to 10 eV. Presence of plasma changes the thermodynamics of surface reactions and considerably lowers the temperature at which reactions are possible. For instance, for TiC the deposition reaction is not thermodynamically possible bellow 1218 0K. However, in a presence of plasma, the reaction is possible at as low as 700 0K. The following table provides a sampling of materials deposited by the PECVD process.

PECVD films, Source gases and Deposition Temperatures

PECVD reactors

Tube or tunnel reactor

PECVD reactors

Reinberg-type cylindrical reactor

PECVD reactors
ECR plasma deposition reactors

Applications of PECVD

ULSI-DRAM memory cells

Applications of PECVD.
Chemical Vapor

Deposition (CVD) of Teflon-like Films

Applications of PECVD

Low Energy Plasma Enhanced CVD (LEPECVD)

Applications of PECVD

Nano-Master PECVD systems

Applications of PECVD
Plasma Induced Surface Modifications Plasma Cleaning Plasma Reactive Ion Etching Plasma Polymerization Plasma Enhanced Chemical Vapor Deposition (PECVD) of SiO2, Si3N4, DLC, and other films

Applications of PECVD
low stress silicon oxide thickness range: thickness non-uniformity: deposition temperature: refractive index (633 nm): mechanical stress: dielectric constant: 1000 to 10000 nm < 2.5% (across wafer) 300C 1.500.02 230 MPa (tensile) 4.1 compressive stress silicon nitride (Si:N) thickness range: thickness non-uniformity: deposition temperature: refractive index (633 nm): mechanical stress: dielectric constant: 100 to 1500 nm < 2.5% (across wafer) 300C 2.040.015 52020 MPa (compressive) 4.1

tensile stress silicon nitride (Si:N) tensile stress silicon oxide thickness range: thickness non-uniformity: deposition temperature: refractive index (633 nm): mechanical stress: dielectric constant: 100 to 2000 nm < 3.0% (across wafer) 300C 1.500.02 230 MPa (tensile) 4.1 thickness range: thickness non-uniformity: deposition temperature: refractive index (633 nm): mechanical stress: dielectric constant: silicon oxi-nitride (Si:N:O) thickness range: thickness non-uniformity: 100 to 10000 nm < 3.0% (across wafer) 100 to 10000 nm < 4.0% (across wafer) 300C 2.030.02 440150 MPa (tensile) 4.1

low stress silicon nitride (Si:N) thickness range: 100 to 3000 nm

thickness non-uniformity:
deposition temperature: refractive index (633 nm): mechanical stress: dielectric constant:

< 3.0% (across wafer)


300C 2.000.01 <50 MPa (tensile) 4.1

deposition temperature:
refractive index (633 nm): mechanical stress: dielectric constant:

300C
1.5690.003 <30 MPa (tensile) 4.1

PECVD modeling and computerized control

PECVD modeling and computerized control

Conclusion
An impressive number of different CVD materials metals, semiconductors, oxides, nitrides, carbides, diamonds, etc. ) present technical interest for a variety of applications. The PECVD is particularly interesting due to the relatively low process temperatures, allowing for the processing of the complete microelectronic devices.

You might also like