You are on page 1of 33

1

Cover Sheet

Block Diagram/Clock Map/Power Map

2-4

Intel LGA775 CPU

5-7

Intel Lakeport - MCH

MS-7313

8-11

Intel ICH7 - PCI & DMI & CPU & IRQ

12

Intel ICH7 - LPC & ATA & USB & GPIO

13

Intel ICH7 - POWER

14

Clock - RTM 876-665

15

LPC I/O - Fintek 71882FG

16

LAN REALTEK RTL8111C/8101E

17

DDR II DIMM A

18

DDR II DIMM B

19

DDR II VTT Decoupling

20

Azalia - ALC888

21

PCI EXPRESS X16 Slot

22

PCI Slot 1 & 2

23

ATA33/66/100 IDE & SATA Connectors

24

USB Connectors

25

ATX Connetcor & Front Panel

26

VGA Connector

27

UPI ACPI CONTROLLER

28

GMCH VCORE

29

PWM-ST L6703TR

30

Version 0A

CPU:
Intel Prescott ( L2=2MB ) - 3.4G & Above
Intel Cendar Mill (65nm) - 3.73G & Above
Intel Smithfield (90nm Dual core)
Intel Conroe (65W Dual core)
System Chipset:
Intel Lakeport - MCH (North Bridge)
Intel ICH7R (South Bridge)
On Board Chipset:
BIOS -- SPI
HD -- ALC888
LPC Super I/O -- F71882FG
LAN-- REALTEK RTL8111C Co-lay RTL8101E
CLOCK -- RTM876-665

Main Memory:
DDR II *2 (Max 4GB)
Expansion Slots:
PCI2.3 SLOT * 2
PCI EXPRESS X16 SLOT
ST PWM:
Controller: 3 PHASES

MICRO-STAR INT'L CO.,LTD


MS-7313

MSI
Size
Custom

Document Description

Date: Monday, November 26, 2007


1

Rev
0A

Cover Sheet
Sheet

of

33

Block Diagram
VRM 10.1
RT6703
3-Phase PWM

Intel LGA775 Processor


FSB 533/800/1066
FSB

133/200/266
MHz

Analog
Video
Out

DDR2 400/533/667

RGB

DDRII

Lakeport
GMCH

200/266/333
MHz

PCI
SATA

PCI EXPRESS
X16
Connector

PCI EXPRESS X16

ICH7

SATA 0~3

PCI Slot 2

IDE Primary

PCI Slot 1

DMI

UltraDMA
33/66/100

2DDR II
DIMM
Modules

USB

USB Port 0~7

HD
LPC Bus

ALC888
PCI

LPC SIO
Fintek
F71882F

RTL8111C

TPM

Keyboard

Floopy

Parallel

Serial

SPI

Mouse

MICRO-STAR INT'L CO.,LTD


MS-7313

MSI
Size
Custom

Document Description

Date: Friday, November 09, 2007


1

Rev
0A

BLOCK DIAGRAM
Sheet

of

33

CLOCK MAP
D

HCLK
LGA775
DDRCLKA

MCHCLK
945GC

DOT96M

CH A

CH B

DDRCLKB
PCIECLK

RTM876-660

PCIECLK
SATACLK
ICHCLK
USB48MHz
ICH14.318MHz

ICH7

SIO48MHz
33MHz
HDCLK 24M

Fintek SIO

ALC888

TPMCLK_33M
TPM
PCIELAN_100M
RTL8111C
PCIEX16 100MHz

PCIE X 16

PCIEX1 100MHz

PCIE X 1

PCI1

PCICLK[0..1]
33MHz

MICRO-STAR INT'L CO.,LTD

PCI2

MS-7313

MSI
Size
Custom

Document Description

Rev
0A

CLOCK MAP
Sheet

Date: Friday, November 09, 2007


5

of

33

L6703 Regulator

Processor
0.8375-1.6000V Core-125A
1.2V FSB Vtt-5.3A
VCCPLL
VCC-IOPLL & VCCA

VCCP

W83310DS Regula
VTT_DDR

0.8375-1.6000V

0.9V
D

DDR2 DIMM conn(4) & term


0.9V SM Vtt-1.2A(S0)

945G/P MCH

VTT Regulator

1.2V
1.8V
1.8V
0.9V
0.9V
DDR2
DDR2
1.5V
1.5V
1.5V
1.5V
1.5V
1.5V
1.5V
2.5V
2.5V
2.5V

V_FSB_VTT

FSB Vtt-0.9A
DDR2 I/O-4.4A(S0,S1)
DDR2 I/O-25mA(S3)
DDR2 VREF-2mA
DDR2 SB_VREF-10uA
Resister Comp V-36mA
Resis Comp SB_V-10uA
Core-13.8A(Integrated)
Core-8.9A(Discrete)
PCI Express&DMI-1.5A
PCIE&DMI PLL-45mA
HOST PLL-45mA
VCCA_DPLLA&B-55mA
MPLL-66mA
DAC-70mA*
HV-3mA
CMOS-2.0mA

1.8V Vdd/vddq-4.7A(S0,S1)

1.2V
Divider

PCIE X16 slot(1)

+12V-5.5A
+3.3Vaux-375mA(wake)
+3.3Vaux-20mA(no wake)
+3.3V-3.0A

uP6103 Regulator
VCC_DDR

1.8V

PCIE X1 slot(1)

+12V-0.5A
+3.3Vaux-375mA(wake)
+3.3Vaux-20mA(no wake)
+3.3V-3.0A

uP6103 Regulator
V_1P5_CORE
1.5V

PCI slot slot(4)

+3.3Vaux-375mA(wake)
+3.3Vaux-20mA(no wake)
+3.3V-7.6A
+5.0V-5.0A
+12V-0.5A
-12V-0.1A

uP7707 Regulator
V_2P5_MCH
2.5V

ICH7
1.2V VCC_CPU-14mA
1.05V Core-0.86A
VCC1_5A*-1.01A
VCC1_5B*-0.77A
5VRef-6mA
5VrefSus-10mA
+3.3V-0.33A
RTC-6uA(G3)
3.3V VccSus*-52mA
VccSus1_05V-See Note 1
VccUSBPLL-10mA
VccDMIPLL-50mA
VccSATAIPLL-50mA

1.05V Regulator
V_1P05_CORE

USB

1.05V

+5V-4A(S0,S1)

PS2
uP7706 Regulator

+5V-345mA(S0,S1)

uP7501 Regulator

3VSB

5VDIMM

3.3V

CLKGEN

+3.3V-560mA

5V

L
L

LAN

3VSB-

SIO

SPI ROM

+3.3V
3VSB-

Battery

+12V

ATX
2x2

+12V

+5V

+3.3V

Audio Codec

+5VSB

ATX POWER

1394

MICRO-STAR INT'L CO.,LTD


MSI

MS-7313
Size
Custom

Document Description

Rev
0A

LGA775 - Signal
Sheet

Date: Friday, November 09, 2007


1

of

33

VCC_SENSE

VCC_SENSE

30

VSS_SENSE

30

CPU SIGNAL BLOCK

PULL HIGHT PULL DOWN


VSS_SENSE

VID[0..7]

H_IERR#

12
12

H_FERR#
H_STPCLK#

12

H_INIT#

8
8
8

12

H_CPUSLP#

PECI
VTIN1
GNDHM
H_TRMTRIP#

H_TDI
H_TDO
H_TMS
H_TRST#
H_TCK
PECI
VTIN1
GNDHM
H_TRMTRIP#

H_PROCHOT#
6 H_PROCHOT#
H_IGNNE#
12
H_IGNNE#
ICH_H_SMI#
12 ICH_H_SMI#
H_A20M#
12
H_A20M#
R103
X_0R0402 H_TESTHI13

NC_0402_6
H_BPM#1

R72

X_0R0402

Kentsfield
R80
X_1KR0402

VTT_OUT_RIGHT

6,12
6,8
8

AD1
AF1
AC1
AG1
AE1
G5
AL1
AK1
M2
AE8
AL2
N2
P2
K3
L2
AH2
N5
AE6
C9
D16
A20

N1
G23

VID7
VID6
VID5
VID4
VID3
VID2
VID1
VID0

AM7
AM5
AL4
AK4
AL6
AM3
AL5
AM2
RSVD/VID7
VID6
VID5
VID4
VID3
VID2
VID1
VID0

AJ3
AK3
ITP_CLK1
ITP_CLK0

VID_SELECT
GTLREF0
GTLREF1
GTLREF_SEL
GTLREF2
FC5/CPU_GTLREF2
RSVD/CPU_GTLREF3

AN7
H1
H2
H29
E24
F2
G10

CPU_GTLREF0
CPU_GTLREF1
GTLREF_SEL
MCH_GTLREF_CPU
CPU_GTLREF2
CPU_GTLREF3

BPM5#
BPM4#
BPM3#
BPM2#
BPM1#
BPM0#

AG3
AF2
AG2
AD2
AJ1
AJ2

H_BPM#5
H_BPM#4
H_BPM#3
H_BPM#2
H_BPM#1
H_BPM#0

REQ4#
REQ3#
REQ2#
REQ1#
REQ0#

J6
K6
M6
J5
K4

H_REQ#4
H_REQ#3
H_REQ#2
H_REQ#1
H_REQ#0

TESTHI12
TESTHI11
TESTHI10
TESTHI9
TESTHI8
TESTHI7
TESTHI6
TESTHI5
TESTHI4
TESTHI3
TESTHI2
TESTHI1
TESTHI0
FORCEPH
RSVD#G6

W2
P1
H5
G4
G3
F24
G24
G26
G27
G25
F25
W3
F26
AK6
G6

H_TESTHI12
H_TESTHI11
H_TESTHI10
H_TESTHI9
H_TESTHI8

BCLK1#
BCLK0#

G28
F28

AP1#
AP0#
BR0#
COMP5
COMP4
COMP3
COMP2
COMP1
COMP0

U3
U2
F3
T2
J2
R1
G2
T1
A13

TEST-U3
TEST-U2

DP3#
DP2#
DP1#
DP0#

J17
H16
H15
J16

TEST-J17
TEST-H16
TEST-H15
TEST-J16

ADSTB1#
ADSTB0#
DSTBP3#
DSTBP2#
DSTBP1#
DSTBP0#
DSTBN3#
DSTBN2#
DSTBN1#
DSTBN0#
LINT1/NMI
LINT0/INTR

AD5
R6
C17
G19
E12
B9
A16
G20
G12
C8
L1
K1

PWRGOOD
RESET#
D63#
D62#
D61#
D60#
D59#
D58#
D57#
D56#
D55#
D54#

H_D#53 B15
H_D#52 C14
H_D#51 C15
H_D#50 A14
H_D#49 D17
H_D#48 D20
H_D#47 G22
H_D#46 D22
H_D#45 E22
H_D#44 G21
H_D#43 F21
H_D#42 E21
H_D#41 F20
H_D#40 E19
H_D#39 E18
H_D#38 F18
H_D#37 F17
H_D#36 G17
H_D#35 G18
H_D#34 E16
H_D#33 E15
H_D#32 G16
H_D#31 G15
H_D#30 F15
H_D#29 G14
H_D#28 F14
H_D#27 G13
H_D#26 E13
H_D#25 D13
H_D#24 F12
H_D#23 F11
H_D#22 D10
H_D#21 E10
H_D#20 D7
H_D#19 E9
H_D#18 F9
H_D#17 F8
H_D#16 G9
H_D#15 D11
H_D#14 C12
H_D#13 B12
H_D#12 D8
H_D#11 C11
H_D#10 B10
H_D#9 A11
H_D#8 A10
H_D#7 A7
H_D#6 B7
H_D#5 B6
H_D#4 A5
H_D#3 C6
H_D#2 A4
H_D#1 C5
H_D#0 B4

1
3
5
7

T7
MCH_GTLREF_CPU 8
CPU_GTLREF2 6
CPU_GTLREF3 6

R107
R110

H_TESTHI2_7
H_TESTHI1
H_TESTHI0
FORCEPH
RSVD_G6

A3
F5
B3

RS2#
RS1#
RS0#

VID_SEL
30
CPU_GTLREF0 6
CPU_GTLREF1 6

H_BPM#0

H_REQ#[0..4]

H_TESTHI12

X_0R0402
X_0R0402

H_BPM#2
H_BPM#3

H_COMP5
H_COMP4
H_COMP3
H_COMP2
H_COMP1
H_COMP0

R137

51R0402

V_FSB_VTT

R138
R71
R106

51R0402
X_130R1%0402VTT_OUT_RIGHT
X_51R0402
VTT_OUT_LEFT
CK_H_CPU#
CK_H_CPU

15
15

H_RS#[0..2]

V_FSB_VTT

1
3
5
7

RN4
8P4R-680R0402-RH
VTT_OUT_RIGHT
2
4
6
8

1
3
5
7

RN3
8P4R-680R0402-RH
2
4
6
8

H_BPM#1
H_BPM#0
H_BPM#5
H_BPM#3

RN5
8P4R-51R0402
VTT_OUT_RIGHT
1
2
3
4
5
6
7
8

H_TRST#
H_BPM#4
H_TDO
H_TCK

RN6
8P4R-51R0402
1
2
3
4
5
6
7
8

H_TDI
H_BPM#2
H_TMS

RN7
8P4R-51R0402
1
2
3
4
5
6
7
8

H_TESTHI12
H_TESTHI11
H_TESTHI10
H_TESTHI9

RN11 8P4R-51R0402
VTT_OUT_LEFT
1
2
3
4
5
6
C65
7
8
C0.1u16Y0402

H_TESTHI13
H_TESTHI8
H_TESTHI1

RN10 8P4R-51R0402
1
2
3
4
5
6
7
8

NC_0402_6 Kentsfield

H_RS#2
H_RS#1
H_RS#0

D53#
D52#
D51#
D50#
D49#
D48#
D47#
D46#
D45#
D44#
D43#
D42#
D41#
D40#
D39#
D38#
D37#
D36#
D35#
D34#
D33#
D32#
D31#
D30#
D29#
D28#
D27#
D26#
D25#
D24#
D23#
D22#
D21#
D20#
D19#
D18#
D17#
D16#
D15#
D14#
D13#
D12#
D11#
D10#
D9#
D8#
D7#
D6#
D5#
D4#
D3#
D2#
D1#
D0#

B22
A22
A19
B19
B21
C21
B18
A17
B16
C18

VID7
VID3
VID6
VID1

VTT_OUT_RIGHT 6,7

R65
1KR1%0402

RSVD#AH2
RESERVED0
RESERVED1
RESERVED2
RESERVED4
RESERVED5

H_PWRGD

H_D#63
H_D#62
H_D#61
H_D#60
H_D#59
H_D#58
H_D#57
H_D#56
H_D#55
H_D#54

AC2

TDI
TDO
TMS
TRST#
TCK
PECI
THERMDA
THERMDC
THERMTRIP#
GND/SKTOCC#
PROCHOT#
IGNNE#
SMI#
A20M#
TESTI_13

BSEL0
BSEL1
BSEL2

H_CPURST#

AN3
AN4
AN5
AN6

ADS#
LOCK#
BNR#
HIT#
HITM#
BPRI#
DEFER#

G29
H30
G30

T2
T1
H_BR#0

6,8
B

VTT_OUT_LEFT 6
R115

49.9R1%0402

R146

49.9R1%0402

C67
C0.1u16Y0402

T4
T5
T6
T3
H_ADSTB#1
H_ADSTB#0
H_DSTBP#3
H_DSTBP#2
H_DSTBP#1
H_DSTBP#0
H_DSTBN#3
H_DSTBN#2
H_DSTBN#1
H_DSTBN#0
H_NMI
H_INTR

RN9
8P4R-49.9R1%-1
1
2
3
4
5
6
7
8

H_COMP1
H_COMP5
H_COMP3
H_COMP4

8
8
8
8
8
8
8
8
8
8
12
12

VTT_OUT_LEFT

ZIF-SOCK775-15U-IN,ZIF-SOCK775-15U-IN_TH
A

2
4
6
8

RN26
8P4R-470R0402

VID5
VID4
VID2
VID0

VTT_OUT_RIGHT

DBSY#
DRDY#
TRDY#

CPU_BSEL0
CPU_BSEL1
CPU_BSEL2

H_D#[0..63]

DBR#

IERR#
MCERR#
FERR#/PBE#
STPCLK#
BINIT#
INIT#
RSP#

BOOTSELECT
LL_ID0
LL_ID1

X_51R0402

V_FSB_VTT

AB2
AB3
R3
M3
AD3
P3
H4

Y1
V2
AA2

R77
16
16
16

DBI0#
DBI1#
DBI2#
DBI3#

D2
C3
C2
D4
E4
G8
G7

H_ADS#
H_LOCK#
H_BNR#
H_HIT#
H_HITM#
H_BPRI#
H_DEFER#

16
16
16
12

A8
G11
D19
C20

B2
C1
E3

H_DBSY#
H_DRDY#
H_TRDY#

8
8
8
8
8
8
8

H_DBI#0
H_DBI#1
H_DBI#2
H_DBI#3

H_DBI#[0..3]

A35#
A34#
A33#
A32#
A31#
A30#
A29#
A28#
A27#
A26#
A25#
A24#
A23#
A22#
A21#
A20#
A19#
A18#
A17#
A16#
A15#
A14#
A13#
A12#
A11#
A10#
A9#
A8#
A7#
A6#
A5#
A4#
A3#

U1A

VCC_SENSE
VSS_SENSE
VCC_MB_REGULATION
VSS_MB_REGULATION

AJ6
AJ5
AH5
AH4
AG5
AG4
AG6
AF4
AF5
AB4
AC5
AB5
AA5
AD6
AA4
Y4
Y6
W6
AB6
W5
V4
V5
U4
U5
T4
U6
T5
R4
M4
L4
M5
P6
L5

30

H_A#[3..31]
H_A#31
H_A#30
H_A#29
H_A#28
H_A#27
H_A#26
H_A#25
H_A#24
H_A#23
H_A#22
H_A#21
H_A#20
H_A#19
H_A#18
H_A#17
H_A#16
H_A#15
H_A#14
H_A#13
H_A#12
H_A#11
H_A#10
H_A#9
H_A#8
H_A#7
H_A#6
H_A#5
H_A#4
H_A#3

MICRO-STAR INT'L CO.,LTD


16
16
16

CPU_BSEL0
CPU_BSEL1
CPU_BSEL2

CPU_BSEL0
CPU_BSEL1
CPU_BSEL2

MSI

MS-7313
Size
Custom

Document Description

Date: Friday, November 09, 2007


5

Rev
0A

LGA775 - Signal
Sheet
1

of

33

VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC

U1B
VCCP

H_VCCA
H_VSSA
H_VCCPLL
H_VCCA

VCCA
VSSA
VCCPLL
VCC-IOPLL

A23
B23
D23
C23

VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTTPWRGD

A25
A26
A27
A28
A29
A30
B25
B26
B27
B28
B29
B30
C25
C26
C27
C28
C29
C30
D25
D26
D27
D28
D29
D30
AM6

VTT_PWG

VTT_OUT_RIGHT
VTT_OUT_LEFT
VTT_SEL

AA1
J1
F27

VTT_OUT_RIGHT
VTT_OUT_LEFT
VTT_SEL

RSVD#F29

F29

V_FSB_VTT
V_FSB_VTT
C127
X_C10u10Y0805

CAPS FOR FSB GENERIC

VTT_SEL

28

Y8
Y30
Y29
Y28
Y27
Y26
Y25
Y24
Y23
W8
W30
W29
W28
W27
W26
W25
W24
W23
V8
U8
U30
U29
U28
U27
U26
U25
U24
U23
T8
T30
T29
T28
T27
T26
T25
T24
T23
R8
P8
N8
N30
N29
N28
N27
N26
N25
N24
N23
M8
M30
M29
M28
M27
M26
M25
M24
M23
L8
K8
K30
K29
K28
K27
K26
K25
K24
K23
J9
J8
J30
J29
J28
J27
J26
J25
J24
J23
J22
J21
J20
J19
J18
J15
J14
J13
J12
J11
J10
AN9
AN8
AN30
AN29
AN26
AN25

VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC

VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC

C131
C10u10Y0805

AF19
AF18
AF15
AF14
AF12
AF11
AE9
AE23
AE22
AE21
AE19
AE18
AE15
AE14
AE12
AE11
AD8
AD30
AD29
AD28
AD27
AD26
AD25
AD24
AD23
AC8
AC30
AC29
AC28
AC27
AC26
AC25
AC24
AC23
AB8
AA8

AF21
AF22
AF8
AF9
AG11
AG12
AG14
AG15
AG18
AG19
AG21
AG22
AG25
AG26
AG27
AG28
AG29
AG30
AG8
AG9
AH11
AH12
AH14
AH15
AH18
AH19
AH21
AH22
AH25
AH26
AH27
AH28
AH29
AH30
AH8
AH9
AJ11
AJ12
AJ14
AJ15
AJ18
AJ19
AJ21
AJ22
AJ25
AJ26
AJ8
AJ9
AK11
AK12
AK14
AK15
AK18
AK19
AK21
AK22
AK25
AK26
AK8
AK9
AL11
AL12
AL14
AL15
AL18
AL19
AL21
AL22
AL25
AL26
AL29
AL30
AL8
AL9
AM11
AM12
AM14
AM15
AM18
AM19
AM21
AM22
AM25
AM26
AM29
AM30
AM8
AM9
AN11
AN12
AN14
AN15
AN18
AN19
AN21
AN22

VCCP

VCCP

*GTLREF VOLTAGE SHOULD BE 0.67 * VTT = 0.8V (At VTT=1.2V)


VTT_OUT_RIGHT

R89
124R1%0402

GTL_REF0

C60
X_C10u6.3X51206-RH

R90
210R1%0402

R92
10R1%0402
C61
C1u16Y

CPU_GTLREF0 5

VTT_OUT_LEFT

R109
124R1%0402

C62
X_C220p50N0402

GTL_REF3
R114
210R1%0402

R116
10R1%0402
C73
C1u16Y

CPU_GTLREF2 5
C76
X_C220p50N0402

*PLACE COMPONENTS AS CLOSE AS POSSIBLE TO PROCESSOR SOCKET


*TRACE WIDTH TO CAPS MUST BE NO SMALLER THAN 12MILS
B

V_FSB_VTT

VTT_OUT_RIGHT

R96
124R1%0402

GTL_REF1
R100
210R1%0402

R101
10R1%0402
C70
C1u16Y

L3
1
CPU_GTLREF1 5

R87
124R1%0402

V_FSB_VTT

C71
X_C220p50N0402

GTL_REF2
R83
210R1%0402

R86
10R1%0402
C56
C1u16Y

CPU_GTLREF3 5

V_1P5_CORE
X_10u100mA_0805-RH
2

CP2

CP3
H_VCCA

H_VCCPLL

C110
C117
C10u10Y0805 X_C10u10Y0805

C107
C1u16Y

C57
X_C220p50N0402

C129
X_C1u16Y

C126
C133
C0.01u16X0402 C10u10Y0805

H_VSSA

ZIF-SOCK775-15U-IN,ZIF-SOCK775-15U-IN_TH

VTT_PWRGOOD
PLACE AT CPU END OF ROUTE
VTT_OUT_RIGHT
VTT_OUT_RIGHT

5 VTT_OUT_LEFT

VTT_OUT_LEFT

R82
R91

130R1%0402 H_PROCHOT#
62R0402
H_IERR#

R104
R94
R108

62R0402
X_100R0402
62R0402

H_CPURST#
H_PWRGD
H_BR#0

H_PROCHOT# 5
H_IERR#
5
H_CPURST#
H_PWRGD
H_BR#0

R73
680R0402-RH

5,8
5,12
5,8

VTT_PWG

5,7 VTT_OUT_RIGHT

VID_GD#

R78

1KR0402B

28,30

VTT_PWG SPEC :
High > 0.9V
Low < 0.3V
Trise < 150ns

Q11
N-MMBT3904_NL_SOT23

MSI

MICRO-STAR INT'L CO.,LTD


MS-7313
Size
Custom

Document Description

Rev
0A

LGA775 - Power

Date: Friday, November 09, 2007


5

Sheet
1

of

33

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

U1C

Y7
Y5
Y2
W7
W4
V7
V6
V30
V3
V29
V28
V27
V26
V25
V24
V23
U7
T7
T6
T3
R7
R5
R30
R29
R28
R27
R26
R25
R24
R23
R2
P7
P4
P30
P29
P28
P27
P26
P25
P24
P23
N7
N6
N3
M7
M1
L7
L6
L30
L3
L29
L28
L27
L26
L25
L24
L23
K7
K5
K2
J7
J4
H9
H8
H7
H6
H3
H28
H27
H26
H25
H24
H23
H22
H21
H20
H19
H18
H17
H14
H13
H12
H11
H10
F7

1
2
3
4

1
2
3
4

COMP6
COMP7
RSVD/COMP8

Y3
AE3
B13

RSVD#AE4
RSVD#D1
RSVD#D14
RSVD#E5
RSVD#E6
RSVD#E7
RSVD#E23
RSVD#F23
RSVD
RSVD#J3
RSVD#N4
RSVD#P5
RSVD#AC4

AE4
D1
D14
E5
E6
E7
E23
F23
AL3
J3
N4
P5
AC4

IMPSEL#
MSID1
MSID0
FC28
FC27
FC26
FC23
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

F6
V1
W1

H_COMP6
H_COMP7
H_COMP8

R93
R85
R147

49.9R1%0402
49.9R1%0402
24.9R1%0402

VTT_OUT_RIGHT 5,6
D

30.1ohm

T10
T9
T8 R95

Can cut
X_0R0402

RN8
1
3
5
7

1505
1477
1497

FC28
U1 NC_0402_6
FC27
G1
E29
A24

R102
R84
R132
R131

8P4R-51R0402
2
4
6
8

X_0R0402 H_TESTHI12
X_0R0402 H_BPM#0
X_0R0402
X_1KR0402

FC28 R99
FC27 R113

X_0R0402
X_0R0402

H_TESTHI12
H_BPM#0

5
5

Kentsfield

F4
F22
F19
F16
F13
F10
E8
E28
E27
E26
E25
E20
E2
E17
E14
E11
D9
D6
D5
D3
D24
D21
D18
D15
D12
C7
C4
C24
C22
C19
C16
C13
C10
B8
B5

MSID1

MSID0

05 Per FMB

05 Value FMB

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

AG10
AG13
AG16
AG17
AG20
AG23
AG24
AG7
AH1
AH10
AH13
AH16
AH17
AH20
AH23
AH24
AH3
AH6
AH7
AJ10
AJ13
AJ16
AJ17
AJ20
AJ23
AJ24
AJ27
AJ28
AJ29
AJ30
AJ4
AJ7
AK10
AK13
AK16
AK17
AK2
AK20
AK23
AK24
AK27
AK28
AK29
AK30
AK5
AK7
AL10
AL13
AL16
AL17
AL20
AL23
AL24
AL27
AL28
AL7
AM1
AM10
AM13
AM16
AM17
AM20
AM23
AM24
AM27
AM28
AM4
AN1
AN10
AN13
AN16
AN17
AN2
AN20
AN23
AN24
AN27
AN28
B1
B11
B14
B17
B20
B24

A12
A15
A18
A2
A21
A6
A9
AA23
AA24
AA25
AA26
AA27
AA28
AA29
AA3
AA30
AA6
AA7
AB1
AB23
AB24
AB25
AB26
AB27
AB28
AB29
AB30
AB7
AC3
AC6
AC7
AD4
AD7
AE10
AE13
AE16
AE17
AE2
AE20
AE24
AE25
AE26
AE27
AE28
AE29
AE30
AE5
AE7
AF10
AF13
AF16
AF17
AF20
AF23
AF24
AF25
AF26
AF27
AF28
AF29
AF3
AF30
AF6
AF7

MLCC

VCCP

(Place into CPU Socket Cavity)

C89
C90
X_C10U6.3Y1206 C10U6.3Y1206

C80
C87
X_C10U6.3Y1206 C10U6.3Y1206

ZIF-SOCK775-15U-IN,ZIF-SOCK775-15U-IN_TH

C91
C10U6.3Y1206

C78
C10U6.3Y1206

VCCP
A

C86
C10U6.3Y1206

C82
C10U6.3Y1206

C88
C77
X_C10U6.3Y1206 C10U6.3Y1206

C79
C81
X_C10U6.3Y1206 C10U6.3Y1206

MICRO-STAR INT'L CO.,LTD


MSI

MS-7313
Size
Custom

Document Description

Rev
0A

LGA775 - GND

Date: Friday, November 09, 2007


5

Sheet
1

of

33

N17
P17
P18
P20
P21
AA22
AB21
AB22
AB23
AC22
AD14
AF6
AF7
AF8
AF9
AF10
AF11
AF12
AF13
AF14
AF30
AG2
AG3
AG4
AG5
AG6
AG7
AG8
AG9
AG10
AG11
AG12
AG13
AG14
AH1
AH2
AH4
AJ5
AJ13
AJ14
AK2
AK3
AK4
AK14
AK15
AK20
R15
R17
R18
R20
R21
R23
R24
U15
U17
U18
U19
U20
U21
U22
U23
U24
U25
U26
V15
V17
V18
V19
V20
V21
V22
V23
V25
V27
W17
W18
W19
W20
W22
W24
W26
W27
Y15
M17

V_1P5_CORE

H_A#3
J39
H_A#4
K38
H_A#5
J42
H_A#6
K35
H_A#7
J37
H_A#8
M34
H_A#9
N35
H_A#10 R33
H_A#11 N32
H_A#12 N34
H_A#13 M38
H_A#14 N42
H_A#15 N37
H_A#16 N38
H_A#17 R32
H_A#18 R36
H_A#19 U37
H_A#20 R35
H_A#21 R38
H_A#22 V33
H_A#23 U34
H_A#24 U32
H_A#25 V42
H_A#26 U35
H_A#27 Y36
H_A#28 Y38
H_A#29 AA37
H_A#30 V32
H_A#31 Y34

H_A#[3..31]

5
5

H_ADSTB#0
H_ADSTB#1

M36
V35
F38

H_BR#0
H_BPRI#

AA41
D42

5,6
5

H_BNR#

H_LOCK#

5
5

H_ADS#
H_REQ#0
H_REQ#1
H_REQ#2
H_REQ#3
H_REQ#4

H_REQ#[0..4]

5
5
5
5
5
5
5

H_HIT#
H_HITM#
H_DEFER#

H_TRDY#
H_DBSY#
H_DRDY#
TP1
H_RS#[0..2]

H_RS#0
H_RS#1
H_RS#2

HA3#
HA4#
HA5#
HA6#
HA7#
HA8#
HA9#
HA10#
HA11#
HA12#
HA13#
HA14#
HA15#
HA16#
HA17#
HA18#
HA19#
HA20#
HA21#
HA22#
HA23#
HA24#
HA25#
HA26#
HA27#
HA28#
HA29#
HA30#
HA31#

HAD_STB0#
HAD_STB1#
HPCREQ#
HBREQ0#
HBPRI#

U39

HBNR#

U40

HLOCK#

W42

HADS#

E41
D41
K36
G37
E42

HREQ0#
HREQ1#
HREQ2#
HREQ3#
HREQ4#

U41
W41
P40

HHIT#
HHITM#
HDEFER#

W40
U42
V41
Y40

HTRDY#
HDBSY#
HDRDY#
HEDRDY#

T40
Y43
T43

RS0#
RS1#
RS2#

CK_H_MCH
CK_H_MCH#

M31
M29

HCLKP
HCLKN

13,28 CHIP_PWGD
5,6 H_CPURST#

AJ9
C30

PWROK
HCPURST#

AJ12
M18

RSTIN#
ICH_SYNC#

15
15

H_D#0
H_D#1
H_D#2
H_D#3
H_D#4
H_D#5
H_D#6
H_D#7
H_D#8
H_D#9
H_D#10
H_D#11
H_D#12
H_D#13
H_D#14
H_D#15
H_D#16
H_D#17
H_D#18
H_D#19
H_D#20
H_D#21
H_D#22
H_D#23
H_D#24
H_D#25
H_D#26
H_D#27
H_D#28
H_D#29
H_D#30
H_D#31
H_D#32
H_D#33
H_D#34
H_D#35
H_D#36
H_D#37
H_D#38
H_D#39
H_D#40
H_D#41
H_D#42
H_D#43
H_D#44
H_D#45
H_D#46
H_D#47
H_D#48
H_D#49
H_D#50
H_D#51
H_D#52
H_D#53
H_D#54
H_D#55
H_D#56
H_D#57
H_D#58
H_D#59
H_D#60
H_D#61
H_D#62
H_D#63

HD0#
HD1#
HD2#
HD3#
HD4#
HD5#
HD6#
HD7#
HD8#
HD9#
HD10#
HD11#
HD12#
HD13#
HD14#
HD15#
HD16#
HD17#
HD18#
HD19#
HD20#
HD21#
HD22#
HD23#
HD24#
HD25#
HD26#
HD27#
HD28#
HD29#
HD30#
HD31#
HD32#
HD33#
HD34#
HD35#
HD36#
HD37#
HD38#
HD39#
HD40#
HD41#
HD42#
HD43#
HD44#
HD45#
HD46#
HD47#
HD48#
HD49#
HD50#
HD51#
HD52#
HD53#
HD54#
HD55#
HD56#
HD57#
HD58#
HD59#
HD60#
HD61#
HD62#
HD63#

P41
M39
P42
M42
N41
M40
L40
M41
K42
G39
J41
G42
G40
G41
F40
F43
F37
E37
J35
D39
C41
B39
B40
H34
C37
J32
B35
J34
B34
F32
L32
J31
H31
M33
K31
M27
K29
F31
H29
F29
L27
M24
J26
K26
G26
H24
K24
F24
E31
A33
E40
D37
C39
D38
D33
C35
D34
C34
B31
C31
C32
D32
B30
D30

KDINV_0#
HDINV_1#
HDINV_2#
HDINV_3#

K40
A38
E29
B32

HD_STBP0#
HD_STBN0#

K41
L43

H_DSTBP#0 5
H_DSTBN#0 5

HD_STBP1#
HD_STBN1#

F35
G34

H_DSTBP#1 5
H_DSTBN#1 5

HD_STBP2#
HD_STBN2#

J27
M26

H_DSTBP#2 5
H_DSTBN#2 5

HD_STBP3#
HD_STBN3#

E34
B37

H_DSTBP#3 5
H_DSTBN#3 5

VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC

U13A
5

D27
D28

HDVREF
HACCVREF

VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC

MCH_GTLREF_CPU

HRCOMP
HSCOMP
HSWING

Y17
Y18
Y19
Y21
Y23
Y25
Y27
AA15
AA17
AA18
AA19
AA20

A28
C27
B27

AA35
AA42
AA34
AA38
L15
M15
U27
R27
A43
M11
AG25
AG26
AG27
AJ24
AJ27
AK40
AL39
AW17
AW18
AY14
BC16
AD30
AC34
Y30
Y33
AF31
AD31
U30
V31
AA30
AC30
AK21
AJ23
AJ26
AL29
AL20
AJ21
AL26
AK27
AJ29
AG29
V30

HXRCOMP
HXSCOMP
HXSWING

NC1
NC2
NC3
NC4
NC5
NC6
NC7
NC8
NC9
NC10
NC11
NC12
NC13
NC14
NC15
NC16
NC17
NC18
NC19
NC20
NC21

R159
16.9R1%0402-RH

BC43
BC42
BC2
BC1
BB43
BB2
BB1
BA2
AW26
AW2
AV27
AV26
E35
C42
C2
B43
B42
B41
B3
B2
A42

ICH_SYNC#

RSVRD1
RSVRD2
RSVRD3
RSVRD4
RSVRD5
RSVRD6
RSVRD7
RSVRD8
RSVRD9
RSVRD10
RSVRD11
RSVRD12
RSVRD13
RSVRD14
RSVRD15
RSVRD16
RSVRD17
RSVRD18
RSVRD19
RSVRD20
RSVRD21
RSVRD22
RSVRD23
RSVRD24
RSVRD25
RSVRD26
RSVRD27
RSVRD28
RSVRD29
RSVRD30
RSVRD31
RSVRD32
RSVRD33
RSVRD34
RSVRD35
RSVRD36
RSVRD37
RSVRD38
RSVRD39
RSVRD40
RSVRD41
RSVRD42

12,16
PLTRST#
13
ICH_SYNC#

H_D#[0..63]

H_DBI#0
H_DBI#1
H_DBI#2
H_DBI#3

H_DBI#[0..3]

[INTEL-945GC-A2[SLA9C]-RH]

V_1P5_CORE

V_FSB_VTT
A

R170
60.4R1%0402

V_FSB_VTT
HXSCOMP
C168
X_C2.2p50N0402

V_FSB_VTT

HD_SWING VOLTAGE "10 MIL TRACE , 7 MIL


SPACE" HD_SWING S/B 1/3*VTT +/- 2%

R166
301R1%0402
R167
62R0402

R157
124R1%0402

R165
10R1%0402

HXSWING

R169
84.5R1%0402-LF

C164
C0.1u16Y0402

PLACE DIVIDER RESISTOR NEAR VTT

GTLREF VOLTAGE SHOULD BE 0.63*VTT=0.756V


124 OHM OVER 210 RESISTORS

R158
210R1%0402

C162
C0.1u16Y0402

MCH_GTLREF_CPU

MCH_GTLREF_CPU 5

MICRO-STAR INT'L CO.,LTD

C165
X_C220p50N0402

MSI

CAPS SHOULD BE PLACED NEAR MCH PIN

MS-7313
Size
Custom

Document Description

Date: Friday, November 09, 2007


5

Rev
0A

945GC - CPU Signals


Sheet
1

of

33

18

DQM_A[0..7]

18,20 SCKE_A[0..1]

18,20
18,20
18,20

U13B

RAS_A#
CAS_A#
WE_A#

18,20 MAA_A[0..13]

18,20 ODT_A[0..1]

SBCS0#
SBCS1#
SBCS2#
SBCS3#

SCS_B#0
SCS_B#1

RAS_A#
CAS_A#
WE_A#

BA34
BA37
BB35

SARAS#
SACAS#
SAWE#

SBRAS#
SBCAS#
SBWE#

BA23
AY24
BB23

RAS_B#
CAS_B#
WE_B#

MAA_A0
MAA_A1
MAA_A2
MAA_A3
MAA_A4
MAA_A5
MAA_A6
MAA_A7
MAA_A8
MAA_A9
MAA_A10
MAA_A11
MAA_A12
MAA_A13

BA32
AW32
BB30
BA30
AY30
BA27
BC28
AY27
AY28
BB27
AY33
AW27
BB26
BC38

SAMA0
SAMA1
SAMA2
SAMA3
SAMA4
SAMA5
SAMA6
SAMA7
SAMA8
SAMA9
SAMA10
SAMA11
SAMA12
SAMA13

SBMA0
SBMA1
SBMA2
SBMA3
SBMA4
SBMA5
SBMA6
SBMA7
SBMA8
SBMA9
SBMA10
SBMA11
SBMA12
SBMA13

BB22
BB21
BA21
AY21
BC20
AY19
AY20
BA18
BA19
BB18
BA22
BB17
BA17
AW42

MAA_B0
MAA_B1
MAA_B2
MAA_B3
MAA_B4
MAA_B5
MAA_B6
MAA_B7
MAA_B8
MAA_B9
MAA_B10
MAA_B11
MAA_B12
MAA_B13

ODT_A0
ODT_A1

AW37
AY39
AY37
BB40

SAODT0
SAODT1
SAODT2
SAODT3

SBODT0
SBODT1
SBODT2
SBODT3

AY42
AV40
AV43
AU40

ODT_B0
ODT_B1

SBS_A0
SBS_A1
SBS_A2

BC33
AY34
BA26

SABA0
SABA1
SABA2

SBBA0
SBBA1
SBBA2

AW23
AY23
AY17

SBS_B0
SBS_B1
SBS_B2

DQS_A0
DQS_A#0
DQS_A1
DQS_A#1
DQS_A2
DQS_A#2
DQS_A3
DQS_A#3
DQS_A4
DQS_A#4
DQS_A5
DQS_A#5
DQS_A6
DQS_A#6
DQS_A7
DQS_A#7

AU4
AR2
BA3
BB4
AY11
BA10
AU18
AR18
AU35
AV35
AP42
AP40
AG42
AG41
AC42
AC41

SADQS0
SADQS0#
SADQS1
SADQS1#
SADQS2
SADQS2#
SADQS3
SADQS3#
SADQS4
SADQS4#
SADQS5
SADQS5#
SADQS6
SADQS6#
SADQS7
SADQS7#

SBDQS0
SBDQS0#
SBDQS1
SBDQS1#
SBDQS2
SBDQS2#
SBDQS3
SBDQS3#
SBDQS4
SBDQS4#
SBDQS5
SBDQS5#
SBDQS6
SBDQS6#
SBDQS7
SBDQS7#

AM8
AM6
AV7
AR9
AV13
AT13
AU23
AR23
AT29
AV29
AP36
AM35
AG34
AG32
AD36
AD38

DQS_B0
DQS_B#0
DQS_B1
DQS_B#1
DQS_B2
DQS_B#2
DQS_B3
DQS_B#3
DQS_B4
DQS_B#4
DQS_B5
DQS_B#5
DQS_B6
DQS_B#6
DQS_B7
DQS_B#7

P_DDR_A0
N_DDR_A0
P_DDR_A1
N_DDR_A1
P_DDR_A2
N_DDR_A2

BB32
AY32
AY5
BB5
AK42
AK41
BA31
BB31
AY6
BA5
AH40
AH43

SACLK0
SACLK0#
SACLK1
SACLK1#
SACLK2
SACLK2#
SACLK3
SACLK3#
SACLK4
SACLK4#
SACLK5
SACLK5#

SBCLK0
SBCLK0#
SBCLK1
SBCLK1#
SBCLK2
SBCLK2#
SBCLK3
SBCLK3#
SBCLK4
SBCLK4#
SBCLK5
SBCLK5#

AM29
AM27
AV9
AW9
AL38
AL36
AP26
AR26
AU10
AT10
AJ38
AJ36

P_DDR_B0
N_DDR_B0
P_DDR_B1
N_DDR_B1
P_DDR_B2
N_DDR_B2

18,20 SBS_A[0..2]

18
18

DQS_A[0..7]
DQS_A#[0..7]

DQS_A[0..7]
DQS_A#[0..7]

18
18
18
18
18
18

P_DDR_A0
N_DDR_A0
P_DDR_A1
N_DDR_A1
P_DDR_A2
N_DDR_A2

SADM0
SADM1
SADM2
SADM3
SADM4
SADM5
SADM6
SADM7

SACS0#
SACS1#
SACS2#
SACS3#

SCS_B#[0..1] 19,20

BA40
AW41
BA41
AW40

SACKE0
SACKE1
SACKE2
SACKE3

BB37
BA39
BA35
AY38

SADQ0
SADQ1
SADQ2
SADQ3
SADQ4
SADQ5
SADQ6
SADQ7
SADQ8
SADQ9
SADQ10
SADQ11
SADQ12
SADQ13
SADQ14
SADQ15
SADQ16
SADQ17
SADQ18
SADQ19
SADQ20
SADQ21
SADQ22
SADQ23
SADQ24
SADQ25
SADQ26
SADQ27
SADQ28
SADQ29
SADQ30
SADQ31
SADQ32
SADQ33
SADQ34
SADQ35
SADQ36
SADQ37
SADQ38
SADQ39
SADQ40
SADQ41
SADQ42
SADQ43
SADQ44
SADQ45
SADQ46
SADQ47
SADQ48
SADQ49
SADQ50
SADQ51
SADQ52
SADQ53
SADQ54
SADQ55
SADQ56
SADQ57
SADQ58
SADQ59
SADQ60
SADQ61
SADQ62
SADQ63

SCS_A#0
SCS_A#1

AR3 DQM_A0
AY2 DQM_A1
BB10 DQM_A2
AP18 DQM_A3
AT34 DQM_A4
AP39 DQM_A5
AG40 DQM_A6
AC40 DQM_A7

18,20 SCS_A#[0..1]

BB25 SCKE_A0
AY25 SCKE_A1
BC24
BA25

AP3 DATA_A0
AP2 DATA_A1
AU3 DATA_A2
AV4 DATA_A3
AN1 DATA_A4
AP4 DATA_A5
AU5 DATA_A6
AU2 DATA_A7
AW3 DATA_A8
AY3 DATA_A9
BA7 DATA_A10
BB7 DATA_A11
AV1 DATA_A12
AW4 DATA_A13
BC6 DATA_A14
AY7 DATA_A15
AW12 DATA_A16
AY10 DATA_A17
BA12 DATA_A18
BB12 DATA_A19
BA9 DATA_A20
BB9 DATA_A21
BC11 DATA_A22
AY12 DATA_A23
AM20 DATA_A24
AM18 DATA_A25
AV20 DATA_A26
AM21 DATA_A27
AP17 DATA_A28
AR17 DATA_A29
AP20 DATA_A30
AT20 DATA_A31
AP32 DATA_A32
AV34 DATA_A33
AV38 DATA_A34
AU39 DATA_A35
AV32 DATA_A36
AT32 DATA_A37
AR34 DATA_A38
AU37 DATA_A39
AR41 DATA_A40
AR42 DATA_A41
AN43 DATA_A42
AM40 DATA_A43
AU41 DATA_A44
AU42 DATA_A45
AP41 DATA_A46
AN40 DATA_A47
AL41 DATA_A48
AL42 DATA_A49
AF39 DATA_A50
AE40 DATA_A51
AM41 DATA_A52
AM42 DATA_A53
AF41 DATA_A54
AF42 DATA_A55
AD40 DATA_A56
AD43 DATA_A57
AA39 DATA_A58
AA40 DATA_A59
AE42 DATA_A60
AE41 DATA_A61
AB41 DATA_A62
AB42 DATA_A63

18 DATA_A[0..63]

RAS_B#
CAS_B#
WE_B#

19,20
19,20
19,20
MAA_B[0..13] 19,20

ODT_B[0..1] 19,20
C

SBS_B[0..2] 19,20

DQS_B[0..7]

P_DDR_B0
N_DDR_B0
P_DDR_B1
N_DDR_B1
P_DDR_B2
N_DDR_B2

VCC_DDR

R223
1KR1%0402

C240
C0.1u16Y0402

SBDM7
SBDM6
SBDM5
SBDM4
SBDM3
SBDM2
SBDM1
SBDM0
AD39
AJ39
AR38
AR29
AP23
AP13
AW7
AL11

AM2
AM4

MCH_VREF_B
MCH_VREF_A

R220

C262
C0.1u16Y0402

C213
C0.1u16Y0402

X_0R0402

R224
1KR1%0402

Parts Close To MCH

DQM_B7
DQM_B6
DQM_B5
DQM_B4
DQM_B3
DQM_B2
DQM_B1
DQM_B0

R214
80.6R1%0402

BA14
AY16
BA13
BB13

VCC_DDR

SBCKE0
SBCKE1
SBCKE2
SBCKE3

SMVREF1
SMVREF0

SCKE_B0
SCKE_B1

MCH_SRCOMP0
MCH_SRCOMP1
SMOCDCOMP0
SMOCDCOMP1

SBDQ0
SBDQ1
SBDQ2
SBDQ3
SBDQ4
SBDQ5
SBDQ6
SBDQ7
SBDQ8
SBDQ9
SBDQ10
SBDQ11
SBDQ12
SBDQ13
SBDQ14
SBDQ15
SBDQ16
SBDQ17
SBDQ18
SBDQ19
SBDQ20
SBDQ21
SBDQ22
SBDQ23
SBDQ24
SBDQ25
SBDQ26
SBDQ27
SBDQ28
SBDQ29
SBDQ30
SBDQ31
SBDQ32
SBDQ33
SBDQ34
SBDQ35
SBDQ36
SBDQ37
SBDQ38
SBDQ39
SBDQ40
SBDQ41
SBDQ42
SBDQ43
SBDQ44
SBDQ45
SBDQ46
SBDQ47
SBDQ48
SBDQ49
SBDQ50
SBDQ51
SBDQ52
SBDQ53
SBDQ54
SBDQ55
SBDQ56
SBDQ57
SBDQ58
SBDQ59
SBDQ60
SBDQ61
SBDQ62
SBDQ63

TP3
TP4
does it need to connect to
GND through a 40 ohm resister?

AL5
AJ6
AJ8
AM3

DATA_B0
AL6
DATA_B1
AL8
DATA_B2
AP8
DATA_B3
AP9
DATA_B4 AJ11
DATA_B5
AL9
DATA_B6 AM10
DATA_B7
AP6
DATA_B8 AU7
DATA_B9
AV6
DATA_B10 AV12
DATA_B11 AM11
DATA_B12 AR5
DATA_B13 AR7
DATA_B14 AR12
DATA_B15 AR10
DATA_B16 AM15
DATA_B17 AM13
DATA_B18 AV15
DATA_B19 AM17
DATA_B20 AN12
DATA_B21 AR13
DATA_B22 AP15
DATA_B23 AT15
DATA_B24 AM24
DATA_B25 AM23
DATA_B26 AV24
DATA_B27 AM26
DATA_B28 AP21
DATA_B29 AR21
DATA_B30 AP24
DATA_B31 AT24
DATA_B32 AU27
DATA_B33 AN29
DATA_B34 AR31
DATA_B35 AM31
DATA_B36 AP27
DATA_B37 AR27
DATA_B38 AP31
DATA_B39 AU31
DATA_B40 AP35
DATA_B41 AP37
DATA_B42 AN32
DATA_B43 AL35
DATA_B44 AR35
DATA_B45 AU38
DATA_B46 AM38
DATA_B47 AM34
DATA_B48 AL34
DATA_B49 AJ34
DATA_B50 AF32
DATA_B51 AF34
DATA_B52 AL31
DATA_B53 AJ32
DATA_B54 AG35
DATA_B55 AD32
DATA_B56 AC32
DATA_B57 AD34
DATA_B58 Y32
DATA_B59 AA32
DATA_B60 AF35
DATA_B61 AF37
DATA_B62 AC33
DATA_B63 AC35

SMPCOMP_N
SMPCOMP_P

DQS_B#[0..7] 19

19
19
19
19
19
19

CP12
R213
80.6R1%0402

DQS_B[0..7] 19

DQS_B#[0..7]

[INTEL-945GC-A2[SLA9C]-RH]

19 DATA_B[0..63]
19,20 SCKE_B[0..1]
A

19

DQM_B[0..7]

MICRO-STAR INT'L CO.,LTD


MSI

MS-7313
Size
Custom

Document Description

Date: Friday, November 09, 2007


5

Rev
0A

945GC - Memory Signals


Sheet
1

of

33

CK_PE_100M_MCH B14
CK_PE_100M_MCH# B16
SDVOCTRLDATA
SDVOCTRLCLK

22 SDVOCTRLDATA
22 SDVOCTRLCLK

R172
X_1KR1%0402

7
5
3
1

MCH_BSEL0
MCH_BSEL1

MCH_BSEL0A F21
MCH_BSEL1A H21
MCH_BSEL2A L20
AK17
AL17
NOA_6

K21
AK23
AK18
L21
L18
N21

VCCA_HPLL C21
VCCA_MPLL B20
VCCA_DPLLA C19
VCCA_DPLLB B19
VCCA_GPLL B17

8P4R-470R0402

CP7

D19
C18
B18
A18

V_2P5_MCH
V_2P5_DAC_FILTERED

V_2P5_MCH

C188
C10000p10X0402-RH

C190
C0.1u16Y0402

I = 70mA

C183
X_C10u10Y0805

L8
X_180L1.5A-90

L7

X_600L200mA-450

BSEL0
BSEL1
BSEL2
RSV_TP[0]
RSV_TP[1]
EXP_SLR
RSV_TP[2]
RSV_TP[3]
RSV_TP[4]
RSV_TP[5]
RSV_TP[6]
VCCAHPLL
VCCAMPLL
VCCADPLLA
VCCADPLLB
VCCA_EXPPLL
VCC2
VCCADAC
VCCADAC
VSSA_DAC

VCCA_MPLL

VCCA_DPLLA

V_1P5_CORE

W2
Y1
AA2
AB1
Y4
AA4
AB3
AC4

DMI_MTP_IRP_0
DMI_MTN_IRN_0
DMI_MTP_IRP_1
DMI_MTN_IRN_1
DMI_MTP_IRP_2
DMI_MTN_IRN_2
DMI_MTP_IRP_3
DMI_MTN_IRN_3
R209
24.9R1%
AC12 GRCOMP
AC11

HSYNC
VSYNC

D17
C17

HSYNC
VSYNC

RED
GREEN
BLUE

F17
K17
H18

VGA_RED
VGA_GREEN
VGA_BLUE

RED#
GREENB
BLUE#

G17
J17
J18

DDC_DATA
DDC_CLK

N18
N20

MCH_DDC_DATA
MCH_DDC_CLK

DREFCLKINP
DREFCLKINN

J15
H15

CK_96M_DREF
CK_96M_DREF#

IREF

A20

DACREFSET
EXTTS

EXTTS#

J20

XORTEST

H20

ALLZTEST

K18

C431 X_C10U10Y0805

C429 X_C1u6.3X-RH
VCC_DDR
C144 X_C10u10Y0805
C146 X_C10U10Y0805
C166 C0.1u16Y0402
VCC_DDR
C186 C10U10Y0805
C175 C0.1u16Y0402
C159 X_C10U10Y0805

MCH MEMORY DECOUPLING


V_FSB_VTT
C171 X_C0.1u16Y0402
C177 X_C0.1u16Y0402

DMI_MTP_IRP_0
DMI_MTN_IRN_0
DMI_MTP_IRP_1
DMI_MTN_IRN_1
DMI_MTP_IRP_2
DMI_MTN_IRN_2
DMI_MTP_IRP_3
DMI_MTN_IRN_3

12
12
12
12FSB
12
12
12
12

C172 C0.1u16Y0402

GENERIC DECOUPLING

V_1P5_PCIEXPRESS

HSYNC
VSYNC

27
27

VGA_RED
VGA_GREEN
VGA_BLUE

27
27
27

C187 X_C18p50N0402
C185 X_C18p50N0402
C182 X_C18p50N0402

R182
10KR0402

MCH_DDC_DATA 27
MCH_DDC_CLK 27
CK_96M_DREF 15
CK_96M_DREF# 15
R179
255R1%0402-RH

V_2P5_MCH

TP2
C354 Co-lay C283 BOTTOMSIDE
C432
X_C10p16N

[INTEL-945GC-A2[SLA9C]-RH]

C184
C0.1u16Y0402

C181

VCCA_HPLL = 45mA

C0.1u16Y0402

V_1P5_CORE

C243
X_C0.1u16Y0402

C191
X_C10u10Y0805

CP5

C236
X_C10u10Y0805

VCCA_HPLL

V_1P5_CORE

L12
1u500mA_0805-RH-1
CP9

VCCA_GPLL

MICRO-STAR INT'L CO.,LTD

VCCA_GPLL = 45mA

C195
C193
X_C10U10Y0805C1U10Y0402-RH

MSI

MS-7313
Size
Custom

Document Description

Rev
0A

945GC PCI-Express & RBG Signals

Date: Saturday, November 10, 2007


5

C428 X_C0.1u16X

V_1P5_PCIEXPRESS
C244
X_C10U10Y0805

V_1P5_PCIEXPRESS =
1.5A

L6
X_10u125mA_0805-RH-1
VCCA_DPLLB

L15
X_0R1206-LF
CP11
CP13

C229
X_C10U10Y0805

L9
X_10u125mA_0805-RH-1

C180
C0.1u16Y0402

V_1P5_CORE

C231
C10u10Y0805

C163
X_C10u10Y0805

VCCA_DPLLA = 55mA

VCCA_DPLLB = 55mA

DMI TXP0
DMI TXN0
DMI TXP1
DMI TXN1
DMI TXP2
DMI TXN2
DMI TXP3
DMI TXN3

C232 C0.1u16Y0402

EXP_A_TXP_0 22
EXP_A_TXN_0 22
EXP_A_TXP_1 22
EXP_A_TXN_1 22
EXP_A_TXP_2 22
EXP_A_TXN_2 22
EXP_A_TXP_3 22
EXP_A_TXN_3 22
EXP_A_TXP_4 22
EXP_A_TXN_4 22
EXP_A_TXP_5 22
EXP_A_TXN_5 22
EXP_A_TXP_6 22
EXP_A_TXN_6 22
EXP_A_TXP_7 22
EXP_A_TXN_7 22
EXP_A_TXP_8 22
EXP_A_TXN_8 22
EXP_A_TXP_9 22
EXP_A_TXN_9 22
EXP_A_TXP_10 22
EXP_A_TXN_10 22
EXP_A_TXP_11 22
EXP_A_TXN_11 22
EXP_A_TXP_12 22
EXP_A_TXN_12 22
EXP_A_TXP_13 22
EXP_A_TXN_13 22
EXP_A_TXP_14 22
EXP_A_TXN_14 22
EXP_A_TXP_15 22
EXP_A_TXN_15 22

V_1P5_CORE

CP4

CP8

D14
C13
A13
B12
A11
B10
C10
C9
A9
B7
D7
D6
A6
B5
E2
F1
G2
J1
J3
K4
L4
M4
M2
N1
P2
T1
T4
U4
U2
V1
V3
W4

V_1P5_PCIEXPRESS

V_FSB_VTT

C174
C1U10Y0402-RH

V_1P5_CORE

C233 X_C0.1u16Y0402

EXPATXP0
EXPATXN0
EXPATXP1
EXPATXN1
EXPATXP2
EXPATXN2
EXPATXP3
EXPATXN3
EXPATXP4
EXPATXN4
EXPATXP5
EXPATXN5
EXPATXP6
EXPATXN6
EXPATXP7
EXPATXN7
EXPATXP8
EXPATXN8
EXPATXP9
EXPATXN9
EXPATXP10
EXPATXN10
EXPATXP11
EXPATXN11
EXPATXP12
EXPATXN12
EXPATXP13
EXPATXN13
EXPATXP14
EXPATXN14
EXPATXP15
EXPATXN15

EXP_COMPO
EXP_COMPI
SDVOCTRLDATA
SDVOCTRLCLK

CP6

VCCA_MPLL = 60mA

N5
N7
N9
N10
N11
N12
R5
R10
R11
R13
U6
U7
U8
U13

GCLKP
GCLKN

L10
X_10u125mA_0805-RH-1
V_1P5_CORE

C237 C10U10Y0805

VCC_EXP
VCC_EXP
VCC_EXP
VCC_EXP
VCC_EXP
VCC_EXP
VCC_EXP
VCC_EXP
VCC_EXP
VCC_EXP
VCC_EXP
VCC_EXP
VCC_EXP
VCC_EXP

AY43
AV18
AV21
AV23
AV31
AV42
AW13
AW15
AW20
AW21
AW24
AW29
AW31
AW34
AW35
AY41
BB16
BB20
BB24
BB28
BB33
BB38
BB42
BC13
BC18
BC22
BC26
BC31
BC35
BC40
VCCSM
VCCSM
VCCSM
VCCSM
VCCSM
VCCSM
VCCSM
VCCSM
VCCSM
VCCSM
VCCSM
VCCSM
VCCSM
VCCSM
VCCSM
VCCSM
VCCSM
VCCSM
VCCSM
VCCSM
VCCSM
VCCSM
VCCSM
VCCSM
VCCSM
VCCSM
VCCSM
VCCSM
VCCSM
VCCSM

AA24
AA26
AB17
AB18
AB19
AB20
AB24
AB25
AB26
AB27
AC15
AC17
AC18
AC20
AC24
AC26
AC27
AD15
AD17
AD19
AD21
AD23
AD25
AD26
AE17
AE18
AE20
AE22
AE24
AE26
AE27
AF15
AF17
AF19
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC

DMI RXP0
DMI RXN0
DMI RXP1
DMI RXN1
DMI RXP2
DMI RXN2
DMI RXP3
DMI RXN3

A24
B23
B24
B25
B26
C23
C25
C26
D23
D24
D25
E23
E24
E26
E27
F23
F27
G23
H23
J23
K23
L23
M23
N23
P23

RN28
8
6
4
2

F15
E15

EXPARXP0
EXPARXN0
EXPARXP1
EXPARXN1
EXPARXP2
EXPARXN2
EXPARXP3
EXPARXN3
EXPARXP4
EXPARXN4
EXPARXP5
EXPARXN5
EXPARXP6
EXPARXN6
EXPARXP7
EXPARXN7
EXPARXP8
EXPARXN8
EXPARXP9
EXPARXN9
EXPARXP10
EXPARXN10
EXPARXP11
EXPARXN11
EXPARXP12
EXPARXN12
EXPARXP13
EXPARXN13
EXPARXP14
EXPARXN14
EXPARXP15
EXPARXN15
EXP_EN

VCC_EXP
VCC_EXP
VCC_EXP
VCC_EXP
VCC_EXP
VCC_EXP
VCC_EXP
VCC_EXP
VCC_EXP
VCC_EXP
VCC_EXP
VCC_EXP
VCC_EXP
VCC_EXP
VCC_EXP
VCC_EXP
VCC_EXP
VCC_EXP
VCC_EXP
VCC_EXP
VCC_EXP
VCC_EXP
VCC_EXP

DMI_ITP_MRP_0
DMI_ITN_MRN_0
DMI_ITP_MRP_1
DMI_ITN_MRN_1
DMI_ITP_MRP_2
DMI_ITN_MRN_2
DMI_ITP_MRP_3
DMI_ITN_MRN_3

V_1P5_PCIEXPRESS
C245 X_C10U10Y0805

AE4
AE3
AE2
AD12
AD10
AD8
AD6
AD5
AD4
AD2
AD1
AC13
AC6
AC5
AA13
AA5
Y13
V13
V9
V10
V7
V6
V5

DMI_ITP_MRP_0
Y7
DMI_ITN_MRN_0
Y8
DMI_ITP_MRP_1 AA9
DMI_ITN_MRN_1 AA10
DMI_ITP_MRP_2 AA6
DMI_ITN_MRN_2 AA7
DMI_ITP_MRP_3 AC9
DMI_ITN_MRN_3 AC8

15 CK_PE_100M_MCH
15 CK_PE_100M_MCH#

V_FSB_VTT

G12
F12
D11
D12
J13
H13
E10
F10
J9
H10
F7
F9
C4
D3
G6
J6
K9
K8
F4
G4
M6
M7
K2
L1
U11
U10
R8
R7
P4
N3
Y10
Y11
F20

VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC

12
12
12
12
12
12
12
12

8P4R-10KR0402
EXP_A_RXP_0
EXP_A_RXN_0
EXP_A_RXP_1
EXP_A_RXN_1
EXP_A_RXP_2
EXP_A_RXN_2
EXP_A_RXP_3
EXP_A_RXN_3
EXP_A_RXP_4
EXP_A_RXN_4
EXP_A_RXP_5
EXP_A_RXN_5
EXP_A_RXP_6
EXP_A_RXN_6
EXP_A_RXP_7
EXP_A_RXN_7
EXP_A_RXP_8
EXP_A_RXN_8
EXP_A_RXP_9
EXP_A_RXN_9
EXP_A_RXP_10
EXP_A_RXN_10
EXP_A_RXP_11
EXP_A_RXN_11
EXP_A_RXP_12
EXP_A_RXN_12
EXP_A_RXP_13
EXP_A_RXN_13
EXP_A_RXP_14
EXP_A_RXN_14
EXP_A_RXP_15
EXP_A_RXN_15
EXP_EN_HDR

U13C

V_1P5_CORE

V_1P5_CORE

AF21
AF23
AF25
AF26
AF27
AF29
AG15
AG17
AG18
AG19
AG20
AG21
AG22
AG23
AG24
AJ15
AJ17
AJ18
AJ20

8MCH_BSEL0A
6MCH_BSEL1A
4
2MCH_BSEL2A

VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT

22
22
22
22
22
22
22
22
22
22
22
22
22
22
22
22
22
22
22
22
22
22
22
22
22
22
22
22
22
22
22
22
22

VCC_DDR

RN27
MCH_BSEL07
MCH_BSEL15
3
1

15,16 MCH_BSEL0
15,16 MCH_BSEL1

Sheet
1

10

of

33

J12
J21
J24
J29
J38
J43
K3
K5
K6
K7
K10
K12
K13
K15
K20
K27
K32
K34
K37
K39
L2
L12
L13
L24
L26
L29
L31
L42
M3
M5
M8
M9
M10
M13
M20
M21
M35
M37
N2
N6
N8
N13
N15
N24
N26
N27
N29
N31
N33
N36
N39
N43
P3
P14
P15
P24
P26
P27
P29
P30
R6
R9
R12
R14
R30
R31
R34
R37
R39
T2
T42
U3
U5
U9
U12
U14
U31
U33
U36
U38
V2
V8
V11
V12
V14
V34
V36
V37
V38
V39
V43
W3
Y2
Y5
Y6
Y9
Y12
Y14
Y31
Y35
Y37
Y39
Y42
AA3
AA8
AF18
AE21
AE23
AE25
L17
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

U13D

A16
A22
A26
A31
A35
B4
B6
B9
B11
B13
B21
B22
B28
B33
B38
C3
C5
C7
C12
C14
C22
C40
D2
D5
D10
D16
D20
D21
E3
E4
E7
E9
E12
E13
E17
E18
E20
E21
E32
F2
F6
F13
F18
F26
F34
F42
G3
G5
G7
G9
G10
G13
G15
G18
G20
G21
G24
G27
G29
G31
G32
G35
G38
H12
H17
H26
H27
H32
J2
J5
J7
J10

AL37
AL43
AM5
AM7
AM9
AM33
AM36
AM37
AM39
AN2
AN4
AN13
AN15
AN17
AN18
AN20
AN21
AN23
AN24
AN26
AN27
AN31
AN42
AP5
AP7
AP10
AP12
AP29
AP34
AP38
AR1
AR6
AR15
AR20
AR24
AR32
AR37
AR39
AR43
AT12
AT17
AT18
AT21
AT23
AT26
AT27
AT31
AU6
AU9
AU12
AU13
AU15
AU17
AU20
AU21
AU24
AU26
AU29
AU32
AU34
AV2
AV10
AV17
AV37
AW10
BA4
BA42
BB3
BB6
BB11
BB14
BB19
BB34
BB39
BB41
BC9
A4
A40
D1
D43
R26
R29
U29
V24
V26
V29
W21
W23
W25
Y20
Y22
Y24
Y26
Y29
AA25
AA27
AA29
AC19
AC25
AC29
AD18
AD20
AD22
AD24
AD27
AD29
AE19
AF20
AF22
AF24
AY1
BC4

5
4

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

MSI
Size
Custom

AL33
AL32
AL27
AL24
AL23
AL21
AL18
AL15
AL13
AL12
AL10
AL7
AL3
AL2
AL1
AK30
AK29
AK26
AK24
AJ37
AJ35
AJ33
AJ31
AJ30
AJ10
AJ7
AH42
AG39
AG38
AG37
AG36
AG33
AG31
AG30
AF43
AF38
AF36
AF33
AF5
AF3
AF2
AF1
AD42
AD37
AD35
AD33
AD13
AD11
AD9
AD7
AC39
AC38
AC37
AC36
AC31
AC23
AC21
AC14
AC10
AC7
AC3
AC2
AB43
AB2
AA36
AA33
AA31
AA23
AA21
AA14
AA12
AA11
D

[INTEL-945GC-A2[SLA9C]-RH]

A
A

MICRO-STAR INT'L CO.,LTD


Document Description

Intel .945GC - GND

MS-7313
Rev
0A

Date: Friday, November 09, 2007


1

Sheet
11
of
33

VCC3

C_BE#[0..3]

23
23
23
23
23
23
23
23
23
23
15
23

GNT5# GNT4#

Flash Cycles Routed


to SPI
Flash Cycles Routed
to PCI
Flash Cycles Routed
to LPC

PREQ#[0..5]

R321 2.2KR0402

23
23

PGNT#0
PGNT#1

PCICLK
PCIRST#

PGNT#0
PGNT#1
PGNT#4
PGNT#5

23
23
23
23

R319
X_2.2KR0402

3VSB

A9
B18

16
24

PIRQ#A
PIRQ#B
PIRQ#C
PIRQ#D

GPIO2
GPIO3
GPIO4
GPIO5
SERIRQ

SERIRQ
IDE_IRQ

GNT0#
GNT1#
GNT2#
GNT3#
GPIO48/GNT4#
GPIO17/GNT5#

A3
B4
C5
B5
G8
F7
F8
G7

PIRQA#
PIRQB#
PIRQC#
PIRQD#
GPIO2/PIRQE#
GPIO3/PIRQF#
GPIO4/PIRQG#
GPIO5/PIRQH#
SERIRQ
IDEIRQ

VCC3

1SPI_MOSI_F_SB
3SPI_MISO_SB
5SPI_CS_F#_SB
7SPI_CLK_F_SB

P5
P2
P6
R2
P1

T14
X_8P4R-10KR0402

SPI FLASH ROM

SPI_MOSI
SPI_MISO
SPI_CS#
SPI_CLK
SPI_ARB

SPI

RN52 X_8P4R-10KR0402
GPIO2
2
1
GPIO3
4
3
GPIO5
6
5
GPIO4
8
7

2
4
6
8

V_FSB_VTT

H_INTR
5
H_NMI
5
ICH_H_SMI#
5
H_STPCLK#
5
KBRST#
16
A20GATE
16
H_TRMTRIP# 5
H_PWRGD
5,6

KBRST#
A20GATE

PLTRST#

R281 33R0402

5
5

C26
F26
F25
E28
E27

PERN_2
PERP_2
PETN_2
PETP_2

H26
H25
G28
G27

PERN_3
PERP_3
PETN_3
PETP_3

K26
K25
J28
J27

PERN_4
PERP_4
PETN_4
PETP_4

M26
M25
L28
L27

PERN_5
PERP_5
PETN_5
PETP_5

P26
P25
N28
N27

PERN_6
PERP_6
PETN_6
PETP_6

T25
T24
R28
R27

DMI_0RXN
DMI_0RXP
DMI_0TXN
DMI_0TXP

V26
V25
U28
U27

DMI_MTN_IRN_0
DMI_MTP_IRP_0
DMI_ITN_MRN_0
DMI_ITP_MRP_0

10
10
10
10

DMI_1RXN
DMI_1RXP
DMI_1TXN
DMI_1TXP

Y26
Y25
W28
W27

DMI_MTN_IRN_1
DMI_MTP_IRP_1
DMI_ITN_MRN_1
DMI_ITP_MRP_1

10
10
10
10

DMI_2RXN
DMI_2RXP
DMI_2TXN
DMI_2TXP

AB26
AB25
AA28
AA27

DMI_MTN_IRN_2
DMI_MTP_IRP_2
DMI_ITN_MRN_2
DMI_ITP_MRP_2

10
10
10
10

DMI_3RXN
DMI_3RXP
DMI_3TXN
DMI_3TXP

AD25
AD24
AC28
AC27

DMI_MTN_IRN_3
DMI_MTP_IRP_3
DMI_ITN_MRN_3
DMI_ITP_MRP_3

10
10
10
10

DMI_CLKN
DMI_CLKP

AE28
AE27

TX_LANN
TX_LANP

RX_LANN0
RX_LANP0
C356 C0.1U16Y0402
C355 C0.1U16Y0402

PLTRST#

RX_LANN0
RX_LANP0
TXLANN
TXLANP

H_TRMTRIP#
H_FERR#

H_TRMTRIP#
H_FERR#

R270
R271

62R0402
62R0402

8,16

17
17
17
17

DMI_ZCOMP
DMI_IRCOMP

RN54

5
5
5
5
5

PERN_1
PERP_1
PETN_1
PETP_1

PART 1/3

REQ0#
REQ1#
REQ2#
REQ3#
GPIO22/REQ4#
GPIO1/REQ5#

E7
D16
D17
F13
A14
D8

AH21
AH16

ICH 7

INTERRUPT

R310 2.2KR0402

PGNT#5

DEVSEL#
FRAME#
IRDY#
TRDY#
STOP#
PAR
PLOCK#
SERR#
PERR#
PME#

PREQ#0
D7
PREQ#1 C16
PREQ#2 C17
PREQ#3 E13
PREQ#4 A13
PREQ#5
C8

VCC3
PGNT#4

PCI_PME#

A12
F16
A7
F14
F15
E10
E11
B10
C9
B19

LAN

C/BE0#
C/BE1#
C/BE2#
C/BE3#

H_A20M#
H_CPUSLP#
H_FERR#
H_IGNNE#
H_INIT#

2 8P4R-10KR0402
4
6
8
X_10KR0402

C25
D25

LAN_CLK
LAN_RSTSYNC
LAN_RXD0
LAN_RXD1
LAN_RXD2

V3
U3
U5
V4
T5

LAN_TXD0
LAN_TXD1
LAN_TXD2

U7
V6
V7

EE_CS
EE_DIN
EE_DOUT
EE_SHCLK

W1
W3
Y2
Y1

CK_PE_100M_ICH# 15
CK_PE_100M_ICH 15
R273
24.9R1%0402
V_DMI

DMI_BIAS

14

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

B15
C12
D12
C15

AH28
AG27
AG26
AG22
AF22
AG21
AF25
AH24
AF23
AH22
AG23
AE22
AF26
AG24

SERIRQ
RN36 1
KBRST#
3
5
A20GATE
7
PLTRST# R303

PLTRST#

A4
A23
B1
B8
B11
B14
B17
B20
B26
B28
C2
C6
D10
D13
D18
D21
D24
E1
E2
E8
E15
F3
F4
F5
F12
F27
F28
G1
G2
G5
G6
G9
G14
G18
G21
G24
G25
G26
H3
H4
H5

C_BE#0
C_BE#1
C_BE#2
C_BE#3

ICH_PCLK
PCIRST#
23

ROUTING

DEVSEL#
FRAME#
IRDY#
TRDY#
STOP#
PAR
LOCK#
SERR#
PERR#
PCI_PME#

AD0
AD1
AD2
AD3
AD4
AD5
AD6
AD7
AD8
AD9
AD10
AD11
AD12
AD13
AD14
AD15
AD16
AD17
AD18
AD19
AD20
AD21
AD22
AD23
AD24
AD25
AD26
AD27
AD28
AD29
AD30
AD31

INTERFACE

23

E18
C18
A16
F18
E16
A18
E17
A17
A15
C14
E14
D14
B12
C13
G15
G13
E12
C11
D11
A11
A10
F11
F10
E9
D9
B9
A8
A6
C7
B6
E6
D6

PCI

AD0
AD1
AD2
AD3
AD4
AD5
AD6
AD7
AD8
AD9
AD10
AD11
AD12
AD13
AD14
AD15
AD16
AD17
AD18
AD19
AD20
AD21
AD22
AD23
AD24
AD25
AD26
AD27
AD28
AD29
AD30
AD31

A20M#
CPUSLP#
FERR#
IGNNE#
INIT#
INIT3_3V#
INTR
NMI
SMI#
STPCLK#
RCIN#
A20GATE
THRMTRIP#
GPO49/CPUPWRGD

PCI EXPRESS

AD[0..31]

DIRECT MEDIA

23

CPU

U21A

[INTEL-NH82801GB-A1-LF]

MICRO-STAR INT'L CO.,LTD


MSI

MS-7313
Size
Custom

Document Description

Date: Friday, November 09, 2007


5

Rev
0A

Intel ICH7 - PCI & DMI & CPU & IRQ


Sheet
1

12

of

33

U21B

21

AC_SYNC

AC_SYNC

3VSB
C

RN53 8P4R-10KR0402
1
2 USB_OCP#3
3
4 USB_OCP#1
5
6 USB_OCP#0
7
8 USB_OCP#2

USBN0
USBP0
USBN1
USBP1
USBN2
USBP2
USBN3
USBP3
USBN4
USBP4
USBN5
USBP5
USBN6
USBP6
USBN7
USBP7

25 USB_OCP#0
25 USB_OCP#1
25 USB_OCP#2
25 USB_OCP#3
USB_BIAS
R339 22.6R1%0402

RSMRST#

RSMRST#

16

SMLINK_0
SMLINK_1
LINKALERT#
RSMRST#
LAN_RST#
PWRBTN#
PWROK
VRMPWRGD
SYS_RESET#

INTRUDER#
Y5
WAKE#
F20
RI#
A28
X_0R/2
THRM_SB# AF20
X_0R/2 GPI9

INTRUDER#
WAKE#
RI#
THRM#

17,22

WAKE#

R301
R297

SLP_S3#
SLP_S4#
SLP_S5#

Y4
C19
C23
AA4
AD22
A22

SMBCLK
SMBDATA
GPIO11/SMBALERT#

SLP_S3#
SLP_S4#
SLP_S5#
SUS_STAT#
SUSCLK

SLP_S3#
SLP_S4#
SLP_S5#

THRM#

USBRBIAS
USBRBIAS#

B24
D23
F22
A27
C20

16,28
29
28

R340
X_47KR0402

D1
D2

ICH 7

POWER MGNT

CHIP_PWGD

OC_0#
OC_1#
OC_2#
OC_3#
OC_4#
GPIO29/OC_5#
GPIO30/OC_6#
GPIO31/OC_7#

B25
A25
A26

16
PSOUT#
8,28 CHIP_PWGD
28,30 ICH_VRM_PGD
15,26
FP_RST#
B

D3
C4
D5
D4
E5
C3
A2
B3

33R0402
SMBCLK_ICH C22
SMBDATA_ICH B22
33R0402
SMB_ALERT#
B23
SM_LINK0
SM_LINK1
LINK_ALERT#

16

USBP_0N
USBP_0P
USBP_1N
USBP_1P
USBP_2N
USBP_2P
USBP_3N
USBP_3P
USBP_4N
USBP_4P
USBP_5N
USBP_5P
USBP_6N
USBP_6P
USBP_7N
USBP_7P

SM BUS

R286
R284

15,18,22,28 SMBCLK_ISO
15,18,22,28 SMBDATA_ISO

F1
F2
G4
G3
H1
H2
J4
J3
K1
K2
L4
L5
M1
M2
N4
N3

USB

25
25
25
25
25
25
25
25
25
25
25
25
25
25
25
25

PART 2/3

NC_0402_6
ICH_SYNC#

T12
T11
T13

AC1
B2

MCH_SYNC#
SPKR
BATLOW#/TP_0
DPRSTP#/TP_1
DPSLP#/TP_2
TP_3
CLK14
CLK48

PDD0
PDD1
PDD2
PDD3
PDD4
PDD5
PDD6
PDD7
PDD8
PDD9
PDD10
PDD11
PDD12
PDD13
PDD14
PDD15

5VSB

SATA_1RXN
SATA_1RXP
SATA_1TXN
SATA_1TXP

AE5
AD5
AG4
AH4

SATA_RX#1
SATA_RX1
SATA_TX#1
SATA_TX1

24
24
24
24

SATA_2RXN
SATA_2RXP
SATA_2TXN
SATA_2TXP

AF7
AE7
AG6
AH6

SATA_RX#2
SATA_RX2
SATA_TX#2
SATA_TX2

24
24
24
24

SATA_3RXN
SATA_3RXP
SATA_3TXN
SATA_3TXP

AD9
AE9
AG8
AH8

SATA_RX#3
SATA_RX3
SATA_TX#3
SATA_TX3

24
24
24
24

SATA_CLKN
SATA_CLKP

AF1
AE1
AH10
AG10
AF18
AF19
AH18
AH19
AE19

BMBUSY#/GPIO0
GPIO6
GPIO7
GPIO8
GPIO9
GPIO10
GPIO12
GPIO13
GPIO14
GPIO15
GPIO16/DPRSLPVR
GPIO18/STPPCI#
GPIO20/STPCPU#
GPIO24
GPIO25
EL_RSVD/GPIO26
EL_STATE0/GPIO27
EL_STATE1/GPIO28
GPIO32/CLKRUN#
GPIO33/AZ_DOCK_EN#
GPIO34/AZ_DOCK_RST#
GPIO35/SATACLKREQ#
GPIO38
GPIO39

AB18
AC21
AC18
E21
E20
A20
F19
E19
R4
E22
AC22
AC20
AF21
R3
D20
A21
B21
E23
AG18
AC19
U2
AD21
AD20
AE20

VCCRTC
INTVRMEN
RTCRST#
RTCX1
RTCX2

SATA_BIAS
SATALED#
2
4
6
8
RN47
GPI0
ATADET0
GPI7
GPI8
GPI9
GPI10

R290
4.7KR0402

R298
R294

24

SIO_PME#

16

R302
R292

THRM_SB#

1KR0402

2
RN41
4
8P4R-8.2KR0402-1
6
8
10KR0402
1
VCC3
3
RN42 8P4R-10KR0402
5
7

X_10KR0402
10KR0402

R306

INTRUDER#

VCC3

SATALED#

VBAT

X_10KR0402

AC_BITCLK

26

4.7KR0402

R342
1MR0402

LPC_FRAME# R344

SATALED#
1
VCC3
3
5
7
8P4R-10KR0402
ATADET0

1 8P4R-10KR0402
3
5
7

R289

10KR0402 GPI38
X_10KR0402GPI39

MS-7313

R349

VCC3

X_20KR0402-2

R313

10KR0402

VCC3

R329
AC_RST#

VCC3
4.7KR0402

R296

R300
GPIO25

X_4.7KR0402

USB_EN

NC_0402_6

3VSB
B

3VSB
R304 X_0R0402

X_4.7KR0402

R311
X_10KR0402

GPIO25
R307 X_0R0402

USB_EN

USB_EN

25

VBAT

3VSB
GPI38
GPI39
INTVRMEN
RTC_RST#
RTCX1
RTCX2

X_10KR0402
8P4R-10KR0402
1
3
5
7

1
LINK_ALERT#
3
SMBDATA_ICH 5
SMBCLK_ICH
7
LPC_DRQ#0
R345
2
GPI7
4
GPI0
6
GPI23
8

RTC BLOCK

D17

VBAT
330KR0402
VBAT

R343

C299
S-BAT54C_SOT23 C1u16Y

R341
10MR0402

C403 C18p50N0402
Y3
32.768MHZ12.5p_D-RH

* Put a GND Plane under X'TAL


* Please put this block close ICH7

RTC_RST#

C404 C18p50N0402

3
4

JBAT1
N31-1030151+N33-102

R241
20KR0402-2

X
W5
W4
AA3
AB1
AB2

RN43
2
4
6
8

WAKE#

24

CK_ICHSATA# 15
CK_ICHSATA 15
R320
24.9R1%0402

GPI13
GPI14
GPI15

R287

GPI15
GPI8
GPI9
BATTLOW#

24

PDD[8..15]

24
24
24
24

SATARBIASN
SATARBIASP
SATALED#
GPIO21/SATA_0GP
GPIO19/SATA_1GP
GPIO36/SATA_2GP
GPIO37/SATA_3GP

PSOUT#

GPI13
RN44 2
SIO_PME#
4
GPI14
6
GPI10
8

SATA_RX#0
SATA_RX0
SATA_TX#0
SATA_TX0

[INTEL-NH82801GB-A1-LF]

PDD[0..7]

AF3
AE3
AG2
AH2

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

15 CK_14M_ICH
15 CK_48M_USB_ICH

TP_1
TP_2
TP_3

C21
AF24
AH25
F21

MISC

BATTLOW#

AH20
A19

RTC

ICH_SYNC#
SPKR

AB15
AE14
AG13
AF13
AD14
AC13
AD12
AC12
AE12
AF12
AB13
AC14
AF14
AH13
AH14
AC15

SATA_0RXN
SATA_0RXP
SATA_0TXN
SATA_0TXP

H24
H27
H28
J1
J2
J5
J24
J25
J26
K24
K27
K28
L13
L15
L24
L25
L26
M3
M4
M5
M12
M13
M14
M15
M16
M17
M24
M27
M28
N1
N2
N5
N6
N11
N12
N13
N14
N15
N16
N17
N18
N24
N25
N26
P3

8
26

DD_0
DD_1
DD_2
DD_3
DD_4
DD_5
DD_6
DD_7
DD_8
DD_9
DD_10
DD_11
DD_12
DD_13
DD_14
DD_15

24
24
24
24
24
24
24
24
24
24

RN38 8P4R-10KR0402
2
1
3VSB
4
3
6
5
8
7

C300
C1u16Y

1
2
3

R240
100R0402

R236
1KR0402

AC_RST#
AC_SDOUT

RN55 8P4R-33R0402
2
1 21
AC_SDIN0
4
3
6
5
ACSDOUT
8
7
ACSYNC

ACZ_BCLK
ACZ_RST#
ACZ_SDIN_0
ACZ_SDIN_1
ACZ_SDIN_2
ACZ_SDOUT
ACZ_SYNC

PD_DACK#
PD_DREQ
PD_IOR#
PD_IOW#
PD_IORDY
PD_A0
PD_A1
PD_A2
PD_CS#1
PD_CS#3

RI#
SM_LINK0
SM_LINK1
SMB_ALERT#

BAT1
BAT2P_BLACK-RH-1

CLR_CMOS
Normal *
1-2
2-3
Clear CMOS

21
21

AC_BITCLK
AC_RST#
AC_SDOUT

U1
R5
T2
T3
T1
T4
R6

AF16
AE15
AF15
AH15
AG16
AH17
AE17
AF17
AE16
AD16

ACBITCLK
ACRST#

AC_BITCLK

DDACK#
DDREQ
DIOR#
DIOW#
IORDY
DA0
DA1
DA2
DCS1#
DCS3#

21

S-ATA

LAD0
LAD1
LAD2
LAD3
LDRQ_0#
LDRQ_1#/GPI023
LFRAME#

AC-LINK

LPC_DRQ#0

16 LPC_FRAME#

AA6
AB5
AC4
Y6
AC3
AA5
AB3

LPC

16

LPC_AD0
LPC_AD1
LPC_AD2
LPC_AD3
LPC_DRQ#0
GPI23
LPC_FRAME#

P-ATA

LPC_AD[0..3]

GPIO

16

RSMRST#

MICRO-STAR INT'L CO.,LTD


R293
10KR0402

Following are the GPIOs that need to be terminated properly if not used:
GPIO[39:36,23:21,19,7:0]: default as inputs and should be pulled up to Vcc3_3 if unused.
GPIO[31:29,15:8]: default as inputs and should be pulled up to VccSus3_3 if unused.

MSI

MS-7313
Size
Custom

Document Description

Date: Saturday, November 10, 2007


5

Rev
0A

Intel ICH7 - LPC & ATA & USB & GPIO


Sheet
1

13

of

33

5VREF Sequencing Circuit

C348
C0.01u25X0402

C398
C0.1u16Y0402

C435
X_C10u10Y0805

C359
C0.1u16Y0402

3VSB
C397
X_C10000p10X0402-RH

AA2
C28
G20
K7
Y7

5VSB

C399
X_C0.1u16Y0402

VCCSUS1_05-1
VCCSUS1_05-2
VCCSUS1_05-3
VCCSUS1_05-4
VCCSUS1_05-5

V_1P5_CORE

V_1P05_CORE

C360
C0.1u16Y0402

A24
C24
D19
D22
E3
G19
K3
K4
K5
K6
L1
L2
L3
L6
L7
M6
M7
N7
P7
R7
V1
V5
W2
W7

R268
1R1%0402

1u500mA_0805-RH
10u100mA_0805-RH

C395
C0.1u16Y0402

S5 POWER

L20
L21

F6

VCCSUS3_3-1
VCCSUS3_3-2
VCCSUS3_3-3
VCCSUS3_3-4
VCCSUS3_3-5
VCCSUS3_3-6
VCCSUS3_3-7
VCCSUS3_3-8
VCCSUS3_3-9
VCCSUS3_3-10
VCCSUS3_3-11
VCCSUS3_3-12
VCCSUS3_3-13
VCCSUS3_3-14
VCCSUS3_3-15
VCCSUS3_3-16
VCCSUS3_3-17
VCCSUS3_3-18
VCCSUS3_3-19
VCCSUS3_3-20
VCCSUS3_3-21
VCCSUS3_3-22
VCCSUS3_3-23
VCCSUS3_3-24

R326 0R0402

C353
C0.01u25X0402

L11
L12
L14
L16
L17
L18
M11
M18
P11
P18
T11
T18
U11
U18
V11
V12
V14
V16
V17
V18

VCC3

V_FSB_VTT

C390
C10U10Y0805

VCC1_05-1
VCC1_05-2
VCC1_05-3
VCC1_05-4
VCC1_05-5
VCC1_05-6
VCC1_05-7
VCC1_05-8
VCC1_05-9
VCC1_05-10
VCC1_05-11
VCC1_05-12
VCC1_05-13
VCC1_05-14
VCC1_05-15
VCC1_05-16
VCC1_05-17
VCC1_05-18
VCC1_05-19
VCC1_05-20

AG28
AD2
C1

B
C

VCCDMIPLL
VCCSATAPLL
VCCUSBPLL

V5REF_SUS
VCC1_5-1
VCC1_5-2
VCC1_5-3
VCC1_5-4
VCC1_5-5
VCC1_5-6
VCC1_5-7
VCC1_5-8
VCC1_5-9
VCC1_5-10
VCC1_5-11
VCC1_5-12
VCC1_5-13
VCC1_5-14
VCC1_5-15
VCC1_5-16
VCC1_5-17
VCC1_5-18
VCC1_5-19
VCC1_5-20
VCC1_5-21
VCC1_5-22
VCC1_5-23
VCC1_5-24
VCC1_5-25
VCC1_5-26
VCC1_5-27
VCC1_5-28
VCC1_5-29
VCC1_5-30

1.5V CORE WELL POWER

C275
X_C0.1u10X0402

C268
X_C0.1u16Y0402

C288
C0.1u16Y0402

C276
C10u10Y0805

C430
X_C1u6.3X-RH

C289
X_C10U10Y0805

A1
AB10
AB17
AB7
AB8
AB9
AC10
AC17
AC6
AC7
AC8
AD10
AD6
AE10
AE6
AF10
AF5
AF6
AF9
AG5
AG9
AH5
AH9
F17
G17
H6
H7
J6
J7
T7

AE23
AE26
AH26

VCC5

Q35
N-MMBT3904_NL_SOT23

VCC3

C350
X_C0.1u16Y0402

V_1P5_CORE

VCC_CPU_IO-1
VCC_CPU_IO-2
VCC_CPU_IO-3

5VREF

C358
X_C0.1u16Y0402

PART 3/3

AD17
G10
A5
AA7
AB12
AB20
AC16
AD13
AD18
AG12
AG15
AG19
AH11
B13
B16
B27
B7
C10
D15
F9
G11
G12
G16
U6

C346
C0.01u25X0402

ICH 7

V5REF1
V5REF2
VCC3_3-1
VCC3_3-2
VCC3_3-3
VCC3_3-4
VCC3_3-5
VCC3_3-6
VCC3_3-7
VCC3_3-8
VCC3_3-9
VCC3_3-10
VCC3_3-11
VCC3_3-12
VCC3_3-13
VCC3_3-14
VCC3_3-15
VCC3_3-16
VCC3_3-17
VCC3_3-18
VCC3_3-19
VCC3_3-20
VCC3_3-21
VCC3_3-22

C352
C0.1u16Y0402

VCC1_5_B
VCC1_5_B#D27
VCC1_5_B#D28
VCC1_5_B#E24
VCC1_5_B#E25
VCC1_5_B#E26
VCC1_5_B#F23
VCC1_5_B#F24
VCC1_5_B#G22
VCC1_5_B#G23
VCC1_5_B#H22
VCC1_5_B#H23
VCC1_5_B#J22
VCC1_5_B#J23
VCC1_5_B#K22
VCC1_5_B#K23
VCC1_5_B#L22
VCC1_5_B#L23
VCC1_5_B#M22
VCC1_5_B#M23
VCC1_5_B#N22
VCC1_5_B#N23
VCC1_5_B#P22
VCC1_5_B#P23
VCC1_5_B#R22
VCC1_5_B#R23
VCC1_5_B#R24
VCC1_5_B#R25
VCC1_5_B#R26
VCC1_5_B#T22
VCC1_5_B#T23
VCC1_5_B#T26
VCC1_5_B#T27
VCC1_5_B#T28
VCC1_5_B#U22
VCC1_5_B#U23
VCC1_5_B#V22
VCC1_5_B#V23
VCC1_5_B#W22
VCC1_5_B#W23
VCC1_5_B#Y22
VCC1_5_B#Y23
VCC1_5_B#AA22
VCC1_5_B#AA23
VCC1_5_B#AB22
VCC1_5_B#AB23
VCC1_5_B#AC23
VCC1_5_B#AC24
VCC1_5_B#AC25
VCC1_5_B#AC26
VCC1_5_B#AD26
VCC1_5_B#AD27
VCC1_5_B#AD28

1.5V DMI POWER

C354
X_C10u10Y0805

C357
C0.1u16Y0402

C351
X_C10000p10X0402-RH

C433
X_C1u6.3X-RH

C434
X_C0.1u16X

D26
D27
D28
E24
E25
E26
F23
F24
G22
G23
H22
H23
J22
J23
K22
K23
L22
L23
M22
M23
N22
N23
P22
P23
R22
R23
R24
R25
R26
T22
T23
T26
T27
T28
U22
U23
V22
V23
W22
W23
Y22
Y23
AA22
AA23
AB22
AB23
AC23
AC24
AC25
AC26
AD26
AD27
AD28

R279
1KR0402

S0 POWER

CP20

U21C

C364
C0.1u16Y0402

C347
X_C10u10Y0805

CP19
D

V_DMI

AD4
AD7
AD8
AD11
AD15
AD19
AD23
AE2
AE4
AE8
AE11
AE13
AE18
AE21
AE24
AE25
AF2
AF4
AF8
AF11
AF27
AF28
AG1
AG3
AG7
AG14
AG17
AG20
AG25
AH1
AH3
AH7
AH23
AH27
AH12

12
V_DMI
L19
X_80L4_30_1206

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

V_1P5_CORE

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

[INTEL-NH82801GB-A1-LF]

P4
P12
P13
P14
P15
P16
P17
P24
P27
P28
R1
R11
R12
R13
E4
AG11
C27
R14
R15
R16
R17
R18
T6
T12
T13
T14
T15
T16
T17
U4
U12
U13
U14
U15
U16
U17
U24
U25
U26
V2
V13
V15
V24
V27
V28
W6
W24
W25
W26
Y3
Y24
Y27
Y28
AA1
AA24
AA25
AA26
AB4
AB6
AB11
AB14
AB16
AB19
AB21
AB24
AB27
AB28
AC2
AC5
AC9
AC11
AD1
AD3

MICRO-STAR INT'L CO.,LTD


MSI

MS-7313
Size
Custom

Document Description

Date: Saturday, November 10, 2007


5

Rev
0A

Intel ICH7 - POWER


Sheet
1

14

of

33

L16
X_10u125mA_0805-RH-1

Clock Generator - RTM876-665

*RESET#

54
53
52

18
19
21
22
24
25
27
28

CK_SATA
CK_SATA#
CK_PE_SRC7
CK_PE_SRC7#
CK_PE_SRC2
CK_PE_SRC2#

PCIE-6
PCIE-6#
PCIE -7
PCIE -7#
*CPU_STOP#/PCIE -8
*PCI_STOP#/PCIE -8#

30
29
33
32
36
35

CK_PE_SRC6
CK_PE_SRC6#
CK_PE_SRC3
CK_PE_SRC3#

**CLKRQ#B/PCI-0
**CLKRQ#A/PCI-1

56
1

CLKRQ#A

**FS_A/PCI-2
*FS_B/PCI-3
*SEL_P4_K8#/PCI-4
*SEL_0/PCI-5
**SYNC/PCI-6F

**FS_D/REF-0
**FS_C/REF-1
**MODE/REF-2

**SEL_1/12_48M
**24_48M/SEL24_48#
*Vtt_PG#/PD

3
4
6
7
8

RN33

2
4
6
8

CK_P_33M_SIO
1
3 8P4R-33R0402
PCI_CLK0
5
PCI_CLK1
7

RN30

11
10
45

CK_PE_100M_MCH#
1
CK_PE_100M_MCH
3
5 8P4R-33R0402 CK_PE_100M_ICH#
CK_PE_100M_ICH
7

CK_PWRGD

ICH_PCLK
1
TPM_PCLK
3
CK_48M_SIO
5
CK_48M_USB_ICH
7
8P4R-33R0402

2
4
6
8

CK_P_33M_SIO C192
ICH_PCLK
C201
PCI_CLK1
C198
PCI_CLK0
C194
CK_48M_USB_ICH C214
CK_48M_SIO
C228
CK_14M_ICH
C199

NC is ok? need to pull hi?

SEL_0

R201

10KR0402

SEL_1

R207

10KR0402

CKVDD

CK_PE_100M_MCH# 10
CK_PE_100M_MCH 10
CK_PE_100M_ICH# 12
CK_PE_100M_ICH 12

CK_P_33M_SIO 16
PCI_CLK0
PCI_CLK1

SEL_P4/K8# R197

23
23

X_10KR0402

SEL_1

SEL_0

Chipset Support

SIS

VIA

Intel W/GFX

Intel

SEL_P4/K8#

X_C10p25N0402
X_C10p25N0402
X_C10p25N0402
X_C10p25N0402
X_C10p25N0402
X_C10p25N0402
X_C10p25N0402

13

MODE1

R196

10KR0402

PIN#40,41,43,44

CKVDD

Internal pull high,


no need to stuff

ICH_PCLK
12
TPM_PCLK
16
CK_48M_SIO 16
CK_48M_USB_ICH

STRAPPING RESISTOR

1
CK_PE_100M_GLAN_DP 17
3
CK_PE_100M_GLAN_DN 17
CK_PE_100M_16PORT
5
CK_PE_100M_16PORT 22
CK_PE_100M_16PORT#
7
CK_PE_100M_16PORT# 22
8P4R-33R0402

2
4
RN34 6
8

2
RN29 4
FSA
6
FSB
8
SEL_P4/K8#
SEL_0
SYNC
SEL_1
SEL24_48#

CK_96M_DREF 10
CK_96M_DREF# 10
CK_ICHSATA 13
CK_ICHSATA# 13

C203
X_C0.1u25Y0402-RH

47R0402 FSD
FSC
MODE1

55

SATA/PCIE-0
SATA#/PCIE-0#
PCIE-1
PCIE-1#
PCIE-2
PCIE-2#
PCIE-3
PCIE-3#

RN32
2
4
6
8

C202
C0.1u25Y0402-RH

CK_14M_ICH R191

XOUT

CK_DOT96
CK_DOT96#

5
5
8
8

C235
X_C0.1u25Y0402-RH

CK_14M_ICH

NC_0402_6

49

14
15

CK_H_CPU#
CK_H_CPU
CK_H_MCH#
CK_H_MCH

C215
C0.1u25Y0402-RH

13

X_10KR0402
X_0R0402FP_RST#_R

SCLK
SDATA
XIN

LINK-0/DOT96/SATA
LINK-1/DOT96#/SATA#

CK_H_CPU#
CK_H_CPU
CK_H_MCH#
CK_H_MCH

C221
C0.1u25Y0402-RH

CLK_X1
R187
R186

13,26 FP_RST#

33R0402 SMBCLK_R 47
33R0402 SMBDATA_R 46
CLK_X2
50

MCHCLK
MCHCLK#
CPUCLK
CPUCLK#

C208
X_C0.1u25Y0402-RH

CKVDD

GND
GND
GND
GND
GND
GND
GND
GND
GND

44
43
41
40

C252
C0.1u25Y0402-RH

C197
C22P50N0402

C196
C22P50N0402
13,18,22,28 SMBCLK_ISO
13,18,22,28 SMBDATA_ISO

2
12
16
20
23
31
38
39
51

CPUCLK0
CPUCLK0#
CPUCLK1
CPUCLK1#

C227
C0.1u25Y0402-RH

Y1
14.318MHZ16P_D
1
2 CLK_X2

VDD_3.3
VDD_3.3
VDD_3.3
VDD_3.3
VDD_3.3
VDD_3.3
VDD_3.3
VDD_3.3
VDD_CORE

C239
C0.1u25Y0402-RH

CLK_X1

5
9
13
17
26
34
42
48
37

RN31
8P4R-33R0402
2
1
4
3
6
5
8
7
8P4R-33R0402
CK_96M_DREF
1
CK_96M_DREF#
3
CK_ICHSATA
5
CK_ICHSATA#
7

C269
X_C1U16Y

U15
RTM876-665-LF_SSOP56-RH

R199
R205

C277
C10U10Y0805

CP14 X_Copper

CKVDD

CKVDD

VCC3

K8 3.3V swing

P4 0.8V swing
PIN#35/36

MODE

SEL24_48#

R208

10KR0402

SYNC

R195

X_10KR0402

PCIE-8 T/C

PCI_STOP#/CPU_STOP#

SEL24_48#

Pin#10

48Mhz

24Mhz

VCC3

Clock Generator Power Good Block


R180
1KR0402

LOW active

10,16 MCH_BSEL0
10,16 MCH_BSEL1

CK_PWRGD

VTT_PG

VTT_PG

R175
10KR0402
30

R185
R190

FSA
FSB

C209
X_C0.1u25Y0402-RH

Q25
N-MMBT3904_NL_SOT23

R174
X_10KR0402

MCH_BSEL0 1KR0402
MCH_BSEL1 1KR0402

R189

X_10KR0402

FSD

R194

10KR0402

FSC

CPU Frequency Selection


FS_C FS_B FS_A
0
0
0
1
1

0
1
0
0
1

1
0
0
0
0

CPU
133M
200M
266M
333M
400M

Only the selection in the table is valid

MICRO-STAR INT'L CO.,LTD


MS-7313

MSI
Size
Custom

Document Description

Date: Friday, November 09, 2007


8

Rev
0A

CLK-RTM 876-665
Sheet
1

15

of

33

LPC_AD[0..3]

LPC_AD0
LPC_AD1
LPC_AD2
LPC_AD3

33
34
35
36

LAD0
LAD1
LAD2
LAD3

CPU_BSEL2
CPU_BSEL1
CPU_BSEL0

47
46
45
44
43
42

VIDIN5/OUT5/SID
VIDIN4/OUT4
VIDIN3/OUT3
VIDIN2/OUT2
VIDIN1/OUT1
VIDIN0/OUT0

54
53
52
51
50
49

VIDOUT5/GPIO5/SIC
VIDOUT0/GPIO4
VIDOUT0/GPIO3
VIDOUT0/GPIO2
VIDOUT0/GPIO1
VIDOUT0/GPIO0

CK_P_33M_SIO
CK_48M_SIO

5
5
5

CPU_BSEL2
CPU_BSEL1
CPU_BSEL0

MCH_BSEL1
MCH_BSEL0

10,15 MCH_BSEL1
10,15 MCH_BSEL0
26,28
5

WDT#

SKTOCC#
WDT#

55
56
57
58

SLOTOCC#/GPIO06
GPIO07/Turbo1#/WDTRST#
VSI/SST
VSO

VIN1

93
94
95
96
97
98

VIN6
VIN5
VIN4
VIN3
VIN2
Vcore(VIN1)

VTIN3
VTIN2
VTIN1
HM_VREF

21
22
23
24
25
26
89
90
91
92

SST
PECI

PECI
VIN5
VIN4
VIN3

26 CPU-FAN
26 CPU-FAN_CTL
26 SYS_FAN1
C

26 SYS_FAN2

13

79

SIO_PME#
SPI_CLK
SPI_CS0#
MISO
MOSI

13

THRM#

THRM#
LED_VSB
LED_VCC

26
26
22 PLTRST_BU1#
17 PLTRST_BU2#
24 PLTRST_BU3#

DENSEL#
INDEX#
MOA#
DRVA#
DIR#
STEP#
WDATA#
WGATE#
TRK0#
WPT#
RDATA#
HDSEL#
DSKCHG#

RSTCON#

RSLCT
RPE
RBUSY
RACK#
RSLIN#
RINIT#
RERR#
RAFD#
RSTB#
PRND0
PRND1
PRND2
PRND3
PRND4
PRND5
PRND6
PRND7

R362
R361
R364

SPI_CS0#
MISO
WP_SIO0#

1
2
3
4

VCC3

2
4
6
8

DCDA#
RIA#
CTSA#
DTRA#
RTSA#
DSRA#
SOUTA
SINA
DCDB#
RIB#
CTSB#
DTRB#
RTSB#
DSRB#
SOUTB
SINB

40
41
69
70
71
72

KBRST#
A20GATE
KBDAT
KBCLK
MSDAT
MSCLK

20
48
73
117
88

Don't STUFF
A

R276
R272

1KR0402
1KR0402
X_1KR0402
X_1KR0402
X_1KR0402
X_1KR0402
15
100KR0402
100KR0402

RTSB#

PWM FAN

LINEAR FAN

RTSA#

PIN49-54=VID_OUT

PIN49-54=GPIO

PIN42-47=VIDIN

PIN42-47=VIDIN/OUT

4E

2E

SOUTA

DTRB#,SOUTB SPI_DISABLE

SPI_ENABLE

DTRA#

FAN START DUTY 100%

FAN START DUTY 60%

TPM_PCLK

STUFF

NDCDB#
NSOUTB

RTSB#
DTRB#
SOUTB

20
2
3
4
7
9

VCC
RIN1
RIN2
RIN3
RIN4
RIN5

V+
ROUT1
ROUT2
ROUT3
ROUT4
ROUT5

1
19
18
17
14
12

+12VCOM
RIB#
CTSB#
DSRB#
SINB
DCDB#

16
15
13
11

DIN1
DIN2
DIN3
GND

DOUT1
DOUT2
DOUT3
V-

5
6
8
10

NRTSB
NDTRB
NSOUTB
-12VCOM

GD75232_SSOP20

NRTSB
NRIB

1
2

H2X5[10]M_BLACK-RH
NRTSB
NDSRB#
NCTSB#
NRIB
NDCDB#
NSOUTB
NSINB
NDTRB

C272 X_C0.1u16Y0402

X_8p4C-220p50N
2
4
6
8
2
4
6
8

X_8p4C-220p50N

VTIN1

VTIN1

C341
C2200p50X0402-1
HM_VREF
5

VCC3

PE1

R256

LAN, 1394
HDD

220R0402

2
4
6
8
12
14

SERIRQ

VCC5

VIN1

1
3

7
9
11
13
15
17
19
21
23
25
27
29
31
33

8
10
12
14
16
18
20
22
24
26
28
30
32
34

VCC5
+12V

INDEX#
MOA#

D9 BAS32L_LL34
A
CLPT_VC

VCC5
RSLCT

R98

2.7KR0402

DSA#
C74
DIR#
STEP#
WDATA#
WGATE#
TRACK0#
FDD_WP#
RDATA#
HEAD#
DSKCHG#

1KR0402
2
4
6
8

VTIN2

C342
C2200p50X0402-1

RT3
X_10KRT1%
GNDHM
P-MMBT3906LT1_SOT23

C337
C2200p50X0402-1
B

GNDHM

near the PWM MOS

X_C0.1u25Y0402-RH
RN12

RPE
RBUSY
RACK#
PRND7
LPT_VC

1
2
3
4
5

10
9
8
7
6

PRND3
PRND4
PRND5
PRND6

10
9
8
7
6

LPT_VC
RSTB#
RAFD#
PRND0
RERR#

10P8R-2.7KR
RN13
RSLIN#
PRND2
RINIT#
PRND1
LPT_VC

1
2
3
4
5
10P8R-2.7KR

PRND7
1
2
RACK#
CN3
3
4
8p4C-330p50N
RBUSY
5
6
RPE
7
8
PRND3
1
2
PRND4
CN4
3
4
8p4C-330p50N
PRND5
5
6
PRND6
7
8
PRND1
1
2
RINIT#
CN5
3
4
PRND2
8p4C-330p50N
5
6
RSLIN#
7
8
RSTB#
1
2
RAFD#
CN6
3
4
8p4C-330p50N
PRND0
5
6
RERR#
7
8
RSLCT
C68
C330p50X0402

14
15
16
17
18
19
20
21
22
23
24
25
48

LPT1
RAFD#
RERR#
RINIT#
RSLIN#

MICRO-STAR INT'L CO.,LTD


MS-7313
Size
Custom

1
2
3
4
5
6
7
8
9
10
11
12
13

DSUB-PRINTERF_BURGUNDY-RH

MSI

8P4R-1KR0402

RSTB#
PRND0
PRND1
PRND2
PRND3
PRND4
PRND5
PRND6
PRND7
RACK#
RBUSY
RPE
RSLCT

Document Description

Rev
0A

SIO-Fintek F17882F & IO-Port


Sheet

Date: Friday, November 09, 2007


3

RT2
10KRT1%

PARALLAL PORT

DRVDEN0

BH2X17[4][5][6]_BLACK-RH-1
VCC5
INDEX#
R359
DSKCHG# 1
FDD_WP#
3
TRACK0#
5
RDATA#
7

R257
R262
X_200KR1%0402X_47KR1%0402-RH
VIN3
R258
R263
200KR1%0402 47KR1%0402-RH
VIN4
R259
R264
200KR1%0402 20KR1%0402
VIN5

5VSB
C338
X_C0.1u16Y0402

R255
10KR1%0402

VTIN3

PLTRST_BU3#

FDD1

JTPM1

R260
X_10KR1%0402
Q28

FLOPPY CONNECTOR

VCC3

R261
10KR1%0402

VCCP

PLTRST_BU1#

HM_VREF

GNDHM

GNDHM

PLTRST_BU2#

CP18

X_H2X7[10]M-2PITCH_BLACK-RH

CN9
1
3
5
7
1
3
5
7

Thermal Resistor

RN45
5

NSINB
NDTRB
NDSRB#
NCTSB#

2
4
6
8

C345
H1X2M_BLACK-RH
X_C1000p50X0402

VCC3

1
PLTRST#
3
LPC_AD0
5
LPC_AD1
7
LPC_AD2
9
LPC_AD3
11
LPC_FRAME# 13

X_8p4C-220p50N

JCI1

3VSB
VBAT

GNDHM

X_8p4C-220p50N
2
4
6
8
2
4
6
8

1
3
5
7
9

CN8
R265
2MR0402

3VSB

TPM_PCLK

NRIB
NCTSB#
NDSRB#
NSINB
NDCDB#

(RESERVE)

VBAT

COPEN#

68
86
4
37
99

VCC5

CASE OPEN CIRCUIT

12
12
24
24
24
24

COM1
DSUB-COMM_GREEN-RH

JCOM1

H2X5[1]M-2PITCH_BLACK-RH

KBRST#
A20GATE
KBDAT
KBCLK
MSDAT
MSCLK

NDSRA#
NRTSA
NCTSA#
NRIA

6
7
8
9

(RESERVE)

C298 X_C0.1u16Y0402

N31-2051451-H06

JLPC port for TPM

SST
PECI

5
6
8
10

MOSI
SPI_CLK

C340
X_C0.1u16Y0402

1KR0402

DY1
DY2
DY3
VSS

COM2

JSPI1

27
28
118
119
120
121
122
123
124
125
126
127
128
1
2
3
5
6
66

1
2
3
4
5

NRTSA
NDTRA
NSOUTA
CN1
-12VCOM A
NRTSA
C -12V
1
D8 BAS32L_LL34
NDSRA# 3
NCTSA# 5
AZ75232GSTR-E1_SSOP20-RH
NRIA
7
NDCDA# 1
C59
X_C0.1u25Y0402-RH
NSOUTA 3
NSINA
5
NDTRA
7
DA1
DA2
DA3
GND

CN2

C339
X_C0.1u16Y0402

R280

NDCDA#
NSINA
NSOUTA
NDTRA

VCC3

1
3
5
7
9

MISO
SPI_CS0#

C295
X_C0.1u16Y0402

WDT#

16
15
13
11

X_C0.1u25Y0402-RH

+12VCOM C
A +12V
RIA#
D3
BAS32L_LL34
CTSA#
DSRA#
SINA
DCDA#

1
19
18
17
14
12

Close to SPI ROM

C301
X_C0.1u16Y0402

VCC3

RTSA#
DTRA#
SOUTA

SPI DEBUG PROT

C343
C0.1u16Y0402

10KR0402
10KR0402

SPI_HOLD#
SPI_CLK
MOSI

W25X40VSSIG-RH

3VSB

RSTCON# R266
SKTOCC# R277

C47
VDD
RY1
RY2
RY3
RY4
RY5

U17

STRAPPING RESISTOR
R291
R305
R288
R283
R282
R295

8
7
6
5

X_C0.1u25Y0402-RH
U5
20 VCC
2 RA1
3 RA2
4 RA3
7 RA4
9 RA5

NRIA
NCTSA#
NDSRA#
NSINA
NDCDA#

M31-25X4003-W03

F71882FG-RH

DTRB#
SOUTB
SOUTA
DTRA#
RTSA#
RTSB#

VCC5

C420
C423
X_0.1u/16V/Y/4X_C10U10Y5

/CS
VCC
DO /HOLD
/WP
CLK
GND DIO

SPI_HOLD#

IRTX/GPIO42
IRRX/GPIO43
DCD1#
RI1#
FANIN1
CTS1#
FAN_CTL1
DTR1#/FAN60_100
FANIN2
RTS1#/VIDOUT_TRAP
FAN_CTL2
DSR1#
FANIN3/GPIO40
SOUT1/Config4E_2E
FAN_CTL3*/GPIO41
SIN1
D3+ (System)
DCD2
D2+
RI2#
D1+(CPU)
CTS2#
VREF
DTR2#/FWH_TRAP
RTS2#/HPWM_DC
PME#/GPIO25
DSR2#
SOUT2/SPI_TRAP
GPIO10/SPI_SLK/ FANIN4
SIN2
GPIO11/SPI_CS0#/FANCTL4
GPIO17
GPIO12/SPI_MISO/FANCTL1_1
PIO13/SPI_MOSI/BEEP
GPIO14/FWH_DIS/WDTRST#/SPI_CS1# KBRST#
GA20
OVT#
KDATA
KCLK
GPIO15/LED_VSB/ALERT#
MDAT
GPIO16/LED_VCC/Turbo2#
MCLK
PCIRST1#/GPIO20
PCIRST2#/GPIO21
VSB
PCIRST3#/GPIO22
VBAT
GPIO23/RSTCON#
VCC
ATXPG_IN/GPIO24
VCC
PWROK/GPIO32
VCC
PWSIN#/GPIO26
PWSOUT#/GPIO27
GND
S3#/GPIO30
GND
PSON# /GPIO31
GND
RSMRST# /GPIO33
GND
COPEN#
AGND(D-)

C48

4.7KR0402
WP_SIO0#
4.7KR0402
SPI_HOLD#
X_4.7KR0402 MOSI
U26

C344
C0.1u16Y0402

COPEN#

64
65
74
75
76
77
78
84
80
81
82
83
85
87

100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116

VCC3

VCC3

C265
X_C0.1u16Y0402

26
PSIN
13
PSOUT#
13,28
SLP_S3#
26
PSON#
13
RSMRST#

67

DRVDEN0
INDEX#
MOA#
DSA#
DIR#
STEP#
WDATA#
WGATE#
TRACK0#
FDD_WP#
RDATA#
HEAD#
DSKCHG#

C366
C0.1u16Y0402

26,28,29 ATX_PWR_OK

59
60
61
62
63

SLCT
PE
BUSY
ACK#
SLIN#
INIT#
ERR#
AFD#
STB#
PD0
PD1
PD2
PD3
PD4
PD5
PD6
PD7

7
17
8
9
11
12
10
14
16
18
15
13
19

10

LRESET#
LDRQ#
SERIRQ
LFRAM#
PCICLK
CLKIN

11

29
30
31
32
38
39

51

15 CK_P_33M_SIO
15
CK_48M_SIO

PLTRST#
LPC_DRQ#0

COM1

SPI FLASH ROM

U20
8,12
PLTRST#
13
LPC_DRQ#0
12
SERIRQ
13 LPC_FRAME#

52

LPC_AD[0..3]

13

16

of

33

R210=2K(R11-0202T12-R01) for 8101E;


R210=2.49k(R11-2491T12-R01) for 8111C
VDD33

0R0402

0R0402

48
47
46
45
44
43
42
41
40
39
38
37
36
35
34
33

EESK
EEDI
VDD33
EEDO
EECS

AVDD18

VDD33

C161
X_C1u6.3Y0402-RH
VDD33
ISOLATEB

1KR1%0402
15KR1%0402

VCC3

LAN_USB1B
R151
X_0R0402

R160
MDI_3X_0R0402 MDI_2MDI_1MDI_0-

RTL8111B-GR-RH

R156
0R0402

DVDD15
CTRL15/VDD33

RJ45_USBX2_LEDX2_TX-GIGA-RH-1
LINK_100_C
R171
X_330R0402 LINK_1000

For 8101E only

C176
C1000p50X0402

C169
C1000p50X0402

R200
For 8101E only

65
GND

For 8101E only


MDI_1-

X_49.9R1%0402

MDI_1+

X_2K

OPT

C241 X_C0.01u25X0402 R218

X_49.9R1%0402

MDI_0-

X_100M

R216

X_49.9R1%0402

MDI_0+

R193
For 8101E only
R193

X_0R0805

DVDD15

R230
For 8101E only

AVDD18
C246
X_C0.1u25Y0402-RH
C280
C0.1U25Y0402-RH
C219
X_C0.1u25Y0402-RH
C248
C0.1U25Y0402-RH
C250
X_C0.1u25Y0402-RH
VDD33
C216
C0.1u25Y0402-RH
C218
X_C0.1U25Y0402-RH
C282
X_C0.1U25Y0402-RH
C287
C0.1U25Y0402-RH

C242
X_C0.1U25Y0402-RH
C251
X_C0.1U25Y0402-RH
C264
C0.1u25Y0402-RH
C271
C0.1u25Y0402-RH
C279
X_C0.1U25Y0402-RH

DVDD15

DVDD15/CLKREQB
X_0R0402

R230

AVDD18

Giga-Lan

10/100-Lan

N58-22F0181-S42

N58-22F0201-S42

Link
Active
1000
100
10
19

C296
C10U10Y0805
C217
C0.1U25Y0402-RH
C261
C0.1U25Y0402-RH
C281
X_C0.1U25Y0402-RH
C238
C0.1U25Y0402-RH

C224
X_C1u16Y

CHOKE L14
CH-4.7u1A160mS-RH
For 8111C only

AVDD18

C263
X_C10u10Y0805

C222
C0.1u25Y0402-RH

X_8101E

OPT

C211,C225
For 8101E only

C234
C10u10Y0805

X_49.9R1%0402

R221
100M

C220
X_C0.1U25Y0402-RH

OPT

2K

C270
C0.1u25Y0402-RH

C249 X_C0.01u25X0402 R222

CTRL18
8101E

X_0R0805
C211
X_C22u6.3X50805-RH

VDD33

R200

C225
X_C1u16X0805-1

C158X_C1000p50X0402

RXLANN0 C286
C0.1u25Y0402-RH
RX_LANN0
12
RXLANP0 C285
C0.1u25Y0402-RH
RX_LANP0
12
CK_PE_100M_GLAN_DN
CK_PE_100M_GLAN_DN 15
CK_PE_100M_GLAN_DP
CK_PE_100M_GLAN_DP 15
TXLANN
TXLANN
12
TXLANP
TXLANP
12
PLTRST_BU2#
PLTRST_BU2# 16
WAKE#
WAKE#
13,22

C167
C0.01u16X0402-RH-1

R156
For 8111C only

16

MDI_3+
MDI_2+
MDI_1+
MDI_0+

9
10
11
12
13
14
15
16
17
18

EVDD18

CP15

For 8101E only

DVDD15/CLKREQB

EVDD18

R149
330R0402
C151
C1000p50X0402

LAN_ACTLED
LAN_LINK_UP
R225
R226

C278
X_C0.1u25Y0402-RH

EVDD18

L17
X_600L200mA-450

AVDD18

17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32

VDD33

EESK
EEDI/AUX
VDD33
EEDO
EECS
VDD15
NC10
NC11
NC12
NC13
NC14
VDD33
ISOLATEB
NC15
NC16
NC17

NC1
NC2
LANWAKEB
PERSTB
VDD15
EVDD18
HSIP
HSIN
EGND
REFCLK_P
REFCLK_N
EVDD18
HSOP
HSON
EGND
NC3

MDI_3+
MDI_3-

VCTRL18
AVDD33
MDIP0
MDIN0
AVDD18
MDIP1
MDIN1
AVDD18
NC4
NC5
NC6
NC7
NC8
NC9
VDD15
VDD33

C207
X_C0.1U25Y0402-RH

X_AT93C46-10SU-2.7-RH

CP10

CP16

C283
C0.1U25Y0402-RH

AVDD18

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16

R187
For 8111C only

8
7
6
5

C284
C0.1U25Y0402-RH

CTRL18
AVDD33
MDI_0+
MDI_0AVDD18
MDI_1+
MDI_1AVDD18
MDI_2+
MDI_2-

RSET
VCTRL15
NC18
CKTAL2
CKTAL1
NC19
VDD15
LED0
LED1
LED2
LED3
VDD33
NC20
NC21
NC22
VDD15

U16

C180 close to PIN63


C601 close to C180

64
63
62
61
60
59
58
57
56
55
54
53
52
51
50
49

DVDD15

CP17
VCC
DC
ORG
GND

C200
X_C0.1U25Y0402-RH

EECS
EESK
EEDI
EEDO

LINK_1000

C1000P50X0402

R203
VDD33

AVDD33

C230
C0.1U25Y0402-RH

C155

L13
X_600L200mA-450AVDD33

VDD33

R204
X_10KR0402
EC24
X_CD100u16EL5-RH
+

LED3

R192
X_10KR0402
U14
1 CS
2 SK
3 DI
4 DO

C292
C0.1U25Y0402-RH

C223
C0.1U25Y0402-RH

C204
C10u10Y0805

LAN_LINK_UP
LINK_100_C

CTRL15/VDD33
2.49KR1%0402RSET

L18
X_180L1.5A-90

3VSB
R215
3.6KR1%0402

C205 C27P50N0402

C303
X_C0.1u25Y0402-RH

R210

Y2
25MHZ18P_D-4

XTAL2

19

R202
0R

20

For 8111C only

VDD33

C206 C27P50N0402

21

C212 C0.1u25Y0402-RH AVDD33

22

XTAL1

R215,C601,C180,R471

R206

VDD33

Yellow
Blinking
Orange
Green
None

Link
Active
100
10

Yellow
Blinking
Green
None

19

DVDD15
R228

0R0805

R476
For 8111C only

20

20

Yellow
Orange

21
22 Green

Yellow

21
22

Green
A

Micro Star Restricted Secret


Title
Document Number

Rev

RTL8101E/8111C

MICRO-STAR INT'L CO.,LTD.


No. 69, Li-De St, Jung-He City,
Taipei Hsien, Taiwan
http://www.msi.com.tw
5

0A

MS-7313

Last Revision Date:


Wednesday, November 21, 2007
Sheet
17
33
of

DATA_A0
DATA_A1
DATA_A2
DATA_A3
DATA_A4
DATA_A5
DATA_A6
DATA_A7
DATA_A8
DATA_A9
DATA_A10
DATA_A11
DATA_A12
DATA_A13
DATA_A14
DATA_A15
DATA_A16
DATA_A17
DATA_A18
DATA_A19
DATA_A20
DATA_A21
DATA_A22
DATA_A23
DATA_A24
DATA_A25
DATA_A26
DATA_A27
DATA_A28
DATA_A29
DATA_A30
DATA_A31
DATA_A32
DATA_A33
DATA_A34
DATA_A35
DATA_A36
DATA_A37
DATA_A38
DATA_A39
DATA_A40
DATA_A41
DATA_A42
DATA_A43
DATA_A44
DATA_A45
DATA_A46
DATA_A47
DATA_A48
DATA_A49
DATA_A50
DATA_A51
DATA_A52
DATA_A53
DATA_A54
DATA_A55
DATA_A56
DATA_A57
DATA_A58
DATA_A59
DATA_A60
DATA_A61
DATA_A62
DATA_A63

3
4
9
10
122
123
128
129
12
13
21
22
131
132
140
141
24
25
30
31
143
144
149
150
33
34
39
40
152
153
158
159
80
81
86
87
199
200
205
206
89
90
95
96
208
209
214
215
98
99
107
108
217
218
226
227
110
111
116
117
229
230
235
236
2
5
8
11
14
17
20
23
26
29
32
35
38
41
44
47
50
65
66
79
82
85
88
91
94
97

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

238

42
43
48
49
161
162
167
168

DQS_A[0..7]

DQS_A#[0..7]

DQS0
DQS0#
DQS1
DQS1#
DQS2
DQS2#
DQS3
DQS3#
DQS4
DQS4#
DQS5
DQS5#
DQS6
DQS6#
DQS7
DQS7#
DQS8
DQS8#
X3
A0
A1
A2
A3
A4
A5
A6
A7
A8
A9
A10_AP
A11
A12
A13
A14
A15

7
6
16
15
28
27
37
36
84
83
93
92
105
104
114
113
46
45
X3
188
183
63
182
61
60
180
58
179
177
70
57
176
196
174
173

DQS_A0
DQS_A#0
DQS_A1
DQS_A#1
DQS_A2
DQS_A#2
DQS_A3
DQS_A#3
DQS_A4
DQS_A#4
DQS_A5
DQS_A#5
DQS_A6
DQS_A#6
DQS_A7
DQS_A#7

A16/BA2
BA1
BA0

54
190
71

SBS_A2
SBS_A1
SBS_A0

WE#
CAS#
RAS#

73
74
192

WE_A#
CAS_A#
RAS_A#

DM0/DQS9
NC/DQS9#
DM1/DQS10
NC/DQS10#
DM2/DQS11
NC/DQS11#
DM3/DQS12
NC/DQS12#
DM4/DQS13
NC/DQS13#
DM5/DQS14
NC/DQS14#
DM6/DQS15
NC/DQS15#
DM7/DQS16
NC/DQS16#
DM8/DQS17
NC/DQS17#

125
126
134
135
146
147
155
156
202
203
211
212
223
224
232
233
164
165

DQM_A0

ODT0
ODT1

195
77

ODT_A0
ODT_A1

CKE0
CKE1

52
171

SCKE_A0
SCKE_A1
SCS_A#0
SCS_A#1

CS0#
CS1#

193
76

CK0(DU)
CK0#(DU)
CK1(CK0)
CK1#(CK0#)
CK2(DU)
CK2#(DU)

185
186
137
138
220
221

SCL
SDA
X1
VREF
X2

120
119
X1
1
X2

SA0
SA1
SA2

239
240
101

100
103
106
109
112
115
118
121
124
127
130
133
136
139
142
145
148
151
154
157
160
163
166
169
198
201
204
207
210
213
216
219
222
225
228
231
234
237

DQ0
DQ1
DQ2
DQ3
DQ4
DQ5
DQ6
DQ7
DQ8
DQ9
DQ10
DQ11
DQ12
DQ13
DQ14
DQ15
DQ16
DQ17
DQ18
DQ19
DQ20
DQ21
DQ22
DQ23
DQ24
DQ25
DQ26
DQ27
DQ28
DQ29
DQ30
DQ31
DQ32
DQ33
DQ34
DQ35
DQ36
DQ37
DQ38
DQ39
DQ40
DQ41
DQ42
DQ43
DQ44
DQ45
DQ46
DQ47
DQ48
DQ49
DQ50
DQ51
DQ52
DQ53
DQ54
DQ55
DQ56
DQ57
DQ58
DQ59
DQ60
DQ61
DQ62
DQ63

CB0
CB1
CB2
CB3
CB4
CB5
CB6
CB7

DIMM1

VCC3

VDDSPD

DQM_A[0..7]

51
56
62
72
75
78
191
194
181
175
170
53
59
64
197
69
172
187
184
178
189
67

DQM_A[0..7]

DDR2 DIMM A

VDD0
VDD1
VDD2
VDD3
VDD3
VDD4
VDD5
VDD6
VDD7
VDD8
VDDQ0
VDDQ1
VDDQ2
VDDQ3
VDDQ4
VDDQ4
VDDQ5
VDDQ6
VDDQ7
VDDQ7
VDDQ8
VDDQ9

DATA_A[0..63]

55
18
19
102
68

DATA_A[0..63]

RC0
RC1
NC#19
NC/TEST
NC

VCC_DDR

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

9,20 MAA_A[0..13]

MAA_A[0..13]

DQS_A[0..7]
DQS_A#[0..7]

MAA_A0
MAA_A1
MAA_A2
MAA_A3
MAA_A4
MAA_A5
MAA_A6
MAA_A7
MAA_A8
MAA_A9
MAA_A10
MAA_A11
MAA_A12
MAA_A13
C

SBS_A2
SBS_A1
SBS_A0

9,20
9,20
9,20

WE_A#
CAS_A#
RAS_A#

9,20
9,20
9,20

ODT_A0
ODT_A1

9,20
9,20

DQM_A1
DQM_A2
DQM_A3
DQM_A4
DQM_A5
DQM_A6
DQM_A7

SCKE_A0
SCKE_A1

9,20
9,20

SCS_A#0
SCS_A#1

9,20
9,20

P_DDR_A0
N_DDR_A0
P_DDR_A1
N_DDR_A1
P_DDR_A2
N_DDR_A2

P_DDR_A0
N_DDR_A0
P_DDR_A1
N_DDR_A1
P_DDR_A2
N_DDR_A2

SMBCLK_DDR
SMBDATA_DDR

9
9
9
9
9
9

VCC_DDR

SMBCLK_DDR 19
SMBDATA_DDR 19

R211
1KR1%0402

DIMM_VREF_A
C210
C0.1u16Y0402
PLACE CLOSE
TO DIMM PIN

R212
1KR1%0402

SPD Add.= A0
A

DDRII-240_GREEN-RH

MICRO-STAR INT'L CO.,LTD


19 SMBCLK_DDR
19 SMBDATA_DDR

SMBCLK_DDR
SMBDATA_DDR

R75
R81

22R0402
22R0402

MSI

SMBCLK_ISO 13,15,22,28
SMBDATA_ISO 13,15,22,28

MS-7313
Size
Custom

Document Description

Date: Friday, November 09, 2007


8

Rev
0A

DDR II DIMM A & B


18

Sheet
1

of

33

DATA_B0
DATA_B1
DATA_B2
DATA_B3
DATA_B4
DATA_B5
DATA_B6
DATA_B7
DATA_B8
DATA_B9
DATA_B10
DATA_B11
DATA_B12
DATA_B13
DATA_B14
DATA_B15
DATA_B16
DATA_B17
DATA_B18
DATA_B19
DATA_B20
DATA_B21
DATA_B22
DATA_B23
DATA_B24
DATA_B25
DATA_B26
DATA_B27
DATA_B28
DATA_B29
DATA_B30
DATA_B31
DATA_B32
DATA_B33
DATA_B34
DATA_B35
DATA_B36
DATA_B37
DATA_B38
DATA_B39
DATA_B40
DATA_B41
DATA_B42
DATA_B43
DATA_B44
DATA_B45
DATA_B46
DATA_B47
DATA_B48
DATA_B49
DATA_B50
DATA_B51
DATA_B52
DATA_B53
DATA_B54
DATA_B55
DATA_B56
DATA_B57
DATA_B58
DATA_B59
DATA_B60
DATA_B61
DATA_B62
DATA_B63

3
4
9
10
122
123
128
129
12
13
21
22
131
132
140
141
24
25
30
31
143
144
149
150
33
34
39
40
152
153
158
159
80
81
86
87
199
200
205
206
89
90
95
96
208
209
214
215
98
99
107
108
217
218
226
227
110
111
116
117
229
230
235
236
2
5
8
11
14
17
20
23
26
29
32
35
38
41
44
47
50
65
66
79
82
85
88
91
94
97

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

238

42
43
48
49
161
162
167
168

DQS_B[0..7]

DQS_B#[0..7]

DQS0
DQS0#
DQS1
DQS1#
DQS2
DQS2#
DQS3
DQS3#
DQS4
DQS4#
DQS5
DQS5#
DQS6
DQS6#
DQS7
DQS7#
DQS8
DQS8#
X3
A0
A1
A2
A3
A4
A5
A6
A7
A8
A9
A10_AP
A11
A12
A13
A14
A15

7
6
16
15
28
27
37
36
84
83
93
92
105
104
114
113
46
45
X3
188
183
63
182
61
60
180
58
179
177
70
57
176
196
174
173

DQS_B0
DQS_B#0
DQS_B1
DQS_B#1
DQS_B2
DQS_B#2
DQS_B3
DQS_B#3
DQS_B4
DQS_B#4
DQS_B5
DQS_B#5
DQS_B6
DQS_B#6
DQS_B7
DQS_B#7

A16/BA2
BA1
BA0

54
190
71

SBS_B2
SBS_B1
SBS_B0

WE#
CAS#
RAS#

73
74
192

WE_B#
CAS_B#
RAS_B#

DM0/DQS9
NC/DQS9#
DM1/DQS10
NC/DQS10#
DM2/DQS11
NC/DQS11#
DM3/DQS12
NC/DQS12#
DM4/DQS13
NC/DQS13#
DM5/DQS14
NC/DQS14#
DM6/DQS15
NC/DQS15#
DM7/DQS16
NC/DQS16#
DM8/DQS17
NC/DQS17#

125
126
134
135
146
147
155
156
202
203
211
212
223
224
232
233
164
165

DQM_B0

ODT0
ODT1

195
77

ODT_B0
ODT_B1

CKE0
CKE1

52
171

SCKE_B0
SCKE_B1
SCS_B#0
SCS_B#1

CS0#
CS1#

193
76

CK0(DU)
CK0#(DU)
CK1(CK0)
CK1#(CK0#)
CK2(DU)
CK2#(DU)

185
186
137
138
220
221

SCL
SDA
X1
VREF
X2

120
119
X1
1
X2

SA0
SA1
SA2

239
240
101

100
103
106
109
112
115
118
121
124
127
130
133
136
139
142
145
148
151
154
157
160
163
166
169
198
201
204
207
210
213
216
219
222
225
228
231
234
237

DQ0
DQ1
DQ2
DQ3
DQ4
DQ5
DQ6
DQ7
DQ8
DQ9
DQ10
DQ11
DQ12
DQ13
DQ14
DQ15
DQ16
DQ17
DQ18
DQ19
DQ20
DQ21
DQ22
DQ23
DQ24
DQ25
DQ26
DQ27
DQ28
DQ29
DQ30
DQ31
DQ32
DQ33
DQ34
DQ35
DQ36
DQ37
DQ38
DQ39
DQ40
DQ41
DQ42
DQ43
DQ44
DQ45
DQ46
DQ47
DQ48
DQ49
DQ50
DQ51
DQ52
DQ53
DQ54
DQ55
DQ56
DQ57
DQ58
DQ59
DQ60
DQ61
DQ62
DQ63

CB0
CB1
CB2
CB3
CB4
CB5
CB6
CB7

DIMM2

VCC3

VDDSPD

DQM_B[0..7]

51
56
62
72
75
78
191
194
181
175
170
53
59
64
197
69
172
187
184
178
189
67

DQM_B[0..7]

DDR2 DIMM B

VDD0
VDD1
VDD2
VDD3
VDD3
VDD4
VDD5
VDD6
VDD7
VDD8
VDDQ0
VDDQ1
VDDQ2
VDDQ3
VDDQ4
VDDQ4
VDDQ5
VDDQ6
VDDQ7
VDDQ7
VDDQ8
VDDQ9

DATA_B[0..63]

55
18
19
102
68

DATA_B[0..63]

RC0
RC1
NC#19
NC/TEST
NC

VCC_DDR

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

9,20 MAA_B[0..13]

MAA_B[0..13]

DQS_B[0..7]
DQS_B#[0..7]

MAA_B0
MAA_B1
MAA_B2
MAA_B3
MAA_B4
MAA_B5
MAA_B6
MAA_B7
MAA_B8
MAA_B9
MAA_B10
MAA_B11
MAA_B12
MAA_B13
C

SBS_B2
SBS_B1
SBS_B0

9,20
9,20
9,20

WE_B#
CAS_B#
RAS_B#

9,20
9,20
9,20

ODT_B0
ODT_B1

9,20
9,20

DQM_B1
DQM_B2
DQM_B3
DQM_B4
DQM_B5
DQM_B6
DQM_B7

SCKE_B0
SCKE_B1

9,20
9,20

SCS_B#0
SCS_B#1

9,20
9,20

P_DDR_B0
N_DDR_B0
P_DDR_B1
N_DDR_B1
P_DDR_B2
N_DDR_B2

P_DDR_B0
N_DDR_B0
P_DDR_B1
N_DDR_B1
P_DDR_B2
N_DDR_B2

SMBCLK_DDR
SMBDATA_DDR

9
9
9
9
9
9

VCC_DDR

R219
SMBCLK_DDR 18
SMBDATA_DDR 18 1KR1%0402

DIMM_VREF_B
VCC3

C226
C0.1u16Y0402
PLACE CLOSE
TO DIMM PIN

R217
1KR1%0402

SPD Add.= A4
A

DDRII-240_ORANGE-RH

MICRO-STAR INT'L CO.,LTD


MSI

MS-7313
Size
Custom

Document Description

Date: Friday, November 09, 2007


8

Rev
0A

DDR II DIMM A & B


19

Sheet
1

of

33

CHANNEL A

V_SM_VTT DECOULPING CAPS

VTT_DDR
VTT_DDR

C111 C4.7u6.3X5

VTT_DDR
C124 X_C0.1u16Y0402

VTT_DDR

C101 X_C0.1u16Y0402
C109 C0.1u16Y0402

C134 X_C0.1u16Y0402

C99

C105 C0.1u16Y0402

C135

VTT_DDR

C108 X_C4.7u6.3X5

C138 X_C4.7u6.3X5

CHANNEL B V_SM_VTT DECOULPING CAPS

X_C0.1u16Y0402

9,18
9,18
9,18

RAS_A#
WE_A#
CAS_A#

X_C0.1u16Y0402

MAA_A4
MAA_A3
MAA_A2
MAA_A1
MAA_A9
MAA_A5
MAA_A8
MAA_A6
SBS_A2
MAA_A12
MAA_A11
MAA_A7
RAS_A#
WE_A#
CAS_A#
MAA_A13

2
4
6
8
2
4
6
8
2
4
6
8
2
4
6
8

1
3
5
7
1
3
5
7
1
3
5
7
1
3
5
7

MAA_A0
MAA_A10
SBS_A0
SBS_A1

2
4
6
8

1
3
5
7

ODT_A1
SCS_A#1
ODT_A0
SCS_A#0

1
3
5
7

2
4
6
8

VTT_DDR

RN20
8P4R-33R0402
RN22
8P4R-33R0402
RN23
8P4R-33R0402
9,19
9,19
9,19

RN17
8P4R-33R0402

RN19
8P4R-33R0402

R141
R142

8P4R-43R0402

43R0402
43R0402

9,18 MAA_A[0..13]

2
4
6
8
2
4
6
8
2
4
6
8
2
4
6
8

1
3
5
7
1
3
5
7
1
3
5
7
1
3
5
7

MAA_B10
MAA_B0
SBS_B0
SBS_B1

2
4
6
8

1
3
5
7

ODT_B1
SCS_B#1
ODT_B0
SCS_B#0

1
3
5
7

2
4
6
8

SCKE_B0
SCKE_B1

R145
R144

RN21
8P4R-33R0402
RN25
8P4R-33R0402
RN24
8P4R-33R0402

RN16
8P4R-33R0402

RN18
8P4R-33R0402

RN15

change RN
SCKE_A0
SCKE_A1

WE_B#
RAS_B#
CAS_B#

MAA_B4
MAA_B3
MAA_B2
MAA_B1
SBS_B2
MAA_B12
MAA_B11
MAA_B7
MAA_B9
MAA_B5
MAA_B8
MAA_B6
WE_B#
RAS_B#
CAS_B#
MAA_B13

RN14
8P4R-43R0402

43R0402
43R0402

change RN

9,19 MAA_B[0..13]

9,18 SBS_A[0..2]

9,19 SBS_B[0..2]

9,18 SCS_A#[0..1]

9,19 SCS_B#[0..1]

9,18 SCKE_A[0..1]

9,19 SCKE_B[0..1]

9,18 ODT_A[0..1]

9,19 ODT_B[0..1]

EMI
VCC_DDR
C93

C1U10Y0402-RH

C116 C1U10Y0402-RH

C94

X_C0.1u16Y0402

C92

X_C0.1u16Y0402

VTT_DDR

VCC_DDR

C104 C0.1u16Y0402

C118 X_C0.1u16Y0402

C106 C0.1u16Y0402
C103 C0.1u16Y0402

VCC_DDR
C136 C1U10Y0402-RH
C143 X_C1U10Y0402-RH
C152 X_C0.1u16Y0402
C112 X_C0.1u16Y0402
C125 X_C0.1u16Y0402
C137 C0.1u16Y0402

MICRO-STAR INT'L CO.,LTD


MS-7313

MSI
Size
Custom

Document Description

Date: Friday, November 09, 2007


8

Rev
0A

DDR II VTT DECOUPLING


Sheet
1

20

of

33

ALC888 CODEC

ALC888 JACK

FOR 6 Port

AUDIO1A (Upper)
LINE1_1R

SURRBACK_L

C407 C4.7u10Y0805

SURR_BL

LFEO

C408 C4.7u10Y0805

LFE_OUT

SURRBACK_R

C401 C4.7u10Y0805

SURR_BR

CENO

C410 C4.7u10Y0805

CENTER_OUT

SUR_O_R

C411 C4.7u10Y0805

SURR_OUTR

C405 C4.7u10Y0805

SURR_OUTL

FOR 6 Port

SUR_O_L

VCC3
SPDIFO

R350

38
37

MIC1_VREFO_R
LINE2_VREFO

MIC2_REF/AFILT2
L1_REFL/AFILT1

30
29

MIC2_VREFO

MIC1_REFL

28

MIC1_VREFO_L

VREF

27

AVSS1
AVDD1

26
25

MIC2_L
MIC2_R
CD_L

C416 C1u16Y

CD_GND
CD_R

C421 C1u16Y
C413 C1u16Y

1KR0402

MIC1_L

R331

470P

R356

75R0402

SURR_OUTL

R353

75R0402

LFE_OUT

R354

75R0402

LIN1R

C379 C1u16Y

LINE1_1R

LIN1L

C378 C1u16Y

LINE1_1L

MIC1_IN_R

C374 C1u16Y

MIC1_R

MIC1_IN_L

C375 C1u16Y

MIC1_L
4.7KR0402

R337

4.7KR0402

CENTER_OUT

R355

75R0402

SURRBACK_R

R352

75R0402

SURRBACK_R_J
SURRBACK_JD

SURRBACK_L

R351

75R0402

SURRBACK_L_J

470P

7
5
3
1

ALC883 JACK DETECT


R247
R243
R248

LINE_OUT_R
LINE_OUT_L
FRONT_MIC
MIC_VREF

R244
R348
R346

SENSE_B

39.2KR1%0402SURR_JD
5.1KR1%0402 SURRBACK_JD
10KR1%0402 CEN_JD

8
6
4
2

AUDIO CODE REGULATORS

FOR 6 Port

RN56
8P4R-4.7KR0402

Trace Width 30mils.

7
5
3
1

+12V
U24
LT1087S_SOT89

PRESENCE#

FLINE OUTR

LINE NEXT R

HPON

FLINE OUTL

LINE NEXT L

10

R360
39.2KR1%/2

R363
20KR1%/2

VOUT

1N5817S

JSPD1
BH1X3_BLACK-RH
1
2
3
B

0ohm resistor
C290 X_C0.1u16Y0402
C382 X_C0.1u16Y0402

CP21
CP28

For EMI

8
6
4
2

CN10
8p4C-470p50N

VIN
ADJ

GND

MICPWR

7
5
3
1

SENSE_B

MIC

1N5817S

D20

C418
C4.7u10Y0805

R322
22KR0402

R325
22KR0402

LINE_OUT_R
LINE_OUT_L
FRONT_MIC
MIC_VREF

D25

+5VR

C402
C0.1u16Y0402

FRONT_MIC
MIC_VREF
LINE_OUT_R
LINE_OUT_L

5VSB

R357
R358
100R1%0402 324R1%0402

FRONT_MIC_D
MIC_VREF_D
LINE_OUT_R_D
LINE_OUT_L_D

C400
X_C1u16Y

C371 C1u16Y
C370 C1u16Y
EC30 1+
2CD100u16EL5-RH
EC31 1+
2CD100u16EL5-RH

C396
C10u16Y1206

MIC2_L
MIC2_R
LINE2_R
LINE2_L

JAUD1
H2X5[8]M_BLACK-RH

R323
10R0402
C361
X_C1u16Y

X
S-BAT54A_SOT23
Y

RN58
8P4R-75R
1
2
3
4
5
6
7
8

JACK-AUDIOX6-26P_L-pbg_R-obl

SPDIF_OUT

5.1KR1%0402 FRONT_JD
10KR1%0402 LINE1_JD
20KR1%0402 MIC1_JD
SPDIFO

LINE_OUT_R_D
LINE_OUT_L_D
FRONT_MIC_D
MIC_VREF_D

JACK-AUDIOX6-26P_L-pbg_R-obl
AUDIO2C (Down)
1
2
14
4
15
5
16
3

C373
X_C100p16N0402

MIC2_VREFO

CENTER_OUT_J

VCC5
SENSE_A

D23

D22

JACK-AUDIOX6-26P_L-pbg_R-obl
AUDIO2B(Middle)
6
7
8
9
17

LFE_OUT_J

C260
C100p50N0402

R332

X
S-BAT54A_SOT23
Y

10
11
12
13
18

SURR_OUTL_J

C259
C100p50N0402

MIC1_VREFO_L
MIC1_VREFO_R

Azalia Front Audio Connector


Z

SURR_OUTR_J

CEN_JD

FOR 6 Port

LINE2_VREFO

X_JACK-AUDIOX6-26P_L-pbg_R-obl

SURR_JD

RN57
8P4R-47KR0402

X_JACK-AUDIOX6-26P_L-pbg_R-obl
AUDIO1C (Down)
1
2
14
4
15
5
16
3

1KR0402

C266
C100p50N0402

8P4R-10KR0402
BH1X4_BLACK-RH

R336

C273
C100p50N0402

8
6
4
2

MIC1_R

C253
C100p50N0402

7
5
3
1

LINE_FOUTL_J

C254
C100p50N0402

1
2
3
4

8
6
4
2

75R0402

MIC1_JD

CD_IN1
RN59

R328

X_JACK-AUDIOX6-26P_L-pbg_R-obl
AUDIO1B(Middle)
6
7
8
9
17

AUDIO2A (Upper)

LIN1L
LIN1R

LINE2_L
LINE2_R

CD/IN HEADERS

LINE_FOUTL

SURR_OUTR
+5VR

23
24

MIC1L
MIC2R

AVDD2
L1_REFR

32
31

21
22

MIC2L/JD2
MIC2R/JD1

CD-L
CD-GND
CD-R
18
19
20

16
17

MIC1_REFR/FMIC2
L2_REF/JD4

SURR-OUT-R
JDREF/JD3
SURR-OUTL

SPDIFO
SPDIFI
SURR_BR
SURR_BL
LFE-OUT
CEN-OUT
AVSS2
LINE2L/AUXL
LINE2R/AUXR

SENSEA

SENSEB/FMIC1
VREFOUT2

C389
C4.7u6.3X50805

14
15

36
35
34
33

C385
C0.1u16Y0402

13

FR-OUTR
FR-OUTL

LINE_FOUTR
1+
2
FR_OUTR
EC36
CD10u16EL5
FR_OUTL
LINE_FOUTL
1+
2
EC35
CD10u16EL5
+5VR
SENSE_B
R347 X_10KR0402
only for ALC 883

SENSE_A
C

10
11
12
13
18

C255
C470p50X0402

C393
X_C33p50N0402

U23
ALC888-GR-A2-RH

C384
X_C0.1u16Y0402

R338
X_10KR0402

SDATA_OUT
BIT_CLK
DVSS2
SDATA_IN
DVDD2
SYNC
RESET#
PC_BEEP

LINE_FOUTR_J
FRONT_JD

C256
C470p50X0402

5
6
7
8
9
10
11
12

ACSDIN0

75R0402

C258
C470p50X0402

22R0402

R334

C257
C470p50X0402

AC_SYNC
AC_RST#

X_0R0402

R330

LINE_FOUTR

C267
C470p50X0402

13
13

R333

1KR0402

C274
C470p50X0402

AC_SDIN0

R227

R324
22KR0402

AC_SDOUT
AC_BITCLK

13

LINE1_1L

R335
22KR0402

13
13

41
40
39

48
47
46
45
44
43
42

C394
X_C0.1u16Y0402

C387
C10u10Y0805

NC_0402_6

DVDD1
XTL_IN
XTL_OUT
DVSS1

1KR0402

20KR1%0402
+5VR

1
2
3
4

R229

LINE1_JD

Trace Width 20mils.

Place those component close to


audio connector.

MICRO-STAR INT'L CO.,LTD


MSI

MS-7313
Size
Custom

Document Description

Rev
0A

21 HD ALC888
Sheet

Date: Friday, November 09, 2007


5

21

of

33

PCIE X16 PORT

VCC3
D

3VSB
WAKE#

13,17

C308
C336

10 EXP_A_TXP_0
10 EXP_A_TXN_0
10 SDVOCTRLCLK

SDVOCTRLCLK

WAKE#

C0.1u16Y0402
EXP_A_TXP_0_C
EXP_A_TXN_0_C
C0.1u16Y0402
R254

X_0R0402SDVO_CTRL_CLK

NC_0402_6
C305 C0.1u16Y0402 EXP_A_TXP_1_C
C333 C0.1u16Y0402 EXP_A_TXN_1_C

10 EXP_A_TXP_1
10 EXP_A_TXN_1
10 EXP_A_TXP_2
10 EXP_A_TXN_2
10 EXP_A_TXP_3
10 EXP_A_TXN_3
10 SDVOCTRLDATA

C306
C334

EXP_A_TXP_2_C
C0.1u16Y0402
C0.1u16Y0402
EXP_A_TXN_2_C

C307
C335

C0.1u16Y0402 EXP_A_TXP_3_C
C0.1u16Y0402 EXP_A_TXN_3_C

SDVOCTRLDATA

R252

X_0R0402SDVO_CTRL_DATA

NC_0402_6
C

C313
C314

10 EXP_A_TXP_4
10 EXP_A_TXN_4
10 EXP_A_TXP_5
10 EXP_A_TXN_5
10 EXP_A_TXP_6
10 EXP_A_TXN_6
10 EXP_A_TXP_7
10 EXP_A_TXN_7

C0.1u16Y0402
EXP_A_TXP_4_C
C0.1u16Y0402
EXP_A_TXN_4_C

C315
C316

C0.1u16Y0402
EXP_A_TXP_5_C
C0.1u16Y0402
EXP_A_TXN_5_C

C317
C318

EXP_A_TXP_6_C
C0.1u16Y0402
C0.1u16Y0402
EXP_A_TXN_6_C

C309
C310

C0.1u16Y0402
EXP_A_TXP_7_C
EXP_A_TXN_7_C
C0.1u16Y0402

10 EXP_EN_HDR

10 EXP_A_TXP_8
10 EXP_A_TXN_8
10 EXP_A_TXP_9
10 EXP_A_TXN_9
10 EXP_A_TXP_10
10 EXP_A_TXN_10

10 EXP_A_TXP_11
10 EXP_A_TXN_11
10 EXP_A_TXP_12
10 EXP_A_TXN_12
10 EXP_A_TXP_13
10 EXP_A_TXN_13
10 EXP_A_TXP_14
10 EXP_A_TXN_14

C311
C312

C0.1u16Y0402 EXP_A_TXP_8_C
C0.1u16Y0402 EXP_A_TXN_8_C

C319
C320

C0.1u16Y0402 EXP_A_TXP_9_C
C0.1u16Y0402 EXP_A_TXN_9_C

C321
C322

C0.1u16Y0402 EXP_A_TXP_10_C
C0.1u16Y0402 EXP_A_TXN_10_C

C323
C324

C0.1u16Y0402 EXP_A_TXP_11_C
C0.1u16Y0402 EXP_A_TXN_11_C

C331
C332

C0.1u16Y0402 EXP_A_TXP_12_C
C0.1u16Y0402 EXP_A_TXN_12_C

C325
C326

C0.1u16Y0402 EXP_A_TXP_13_C
C0.1u16Y0402 EXP_A_TXN_13_C

C327
C328

C0.1u16Y0402 EXP_A_TXP_14_C
C0.1u16Y0402 EXP_A_TXN_14_C

C329
C330

10 EXP_A_TXP_15
10 EXP_A_TXN_15

C0.1u16Y0402 EXP_A_TXP_15_C
C0.1u16Y0402 EXP_A_TXN_15_C

RSVD#B12
GND
HSOP0
HSON0
GND
PRSNT2#
GND

GND
REFCLK+
REFCLKGND
HSIP0
HSIN0
GND

A12
A13
A14
A15
A16
A17
A18

B19
B20
B21
B22
B23
B24
B25
B26
B27
B28
B29
B30
B31
B32

HSOP1
HSON1
GND
GND
HSOP2
HSON2
GND
GND
HSOP3
HSON3
GND
RSVD#B30
PRSNT2##B31
GND

RSVD
GND
HSIP1
HSIN1
GND
GND
HSIP2
HSIN2
GND
GND
HSIP3
HSIN3
GND
RSVD#A32

A19
A20
A21
A22
A23
A24
A25
A26
A27
A28
A29
A30
A31
A32

B33
B34
B35
B36
B37
B38
B39
B40
B41
B42
B43
B44
B45
B46
B47
B48
B49

HSOP4
HSON4
GND
GND
HSOP5
HSON5
GND
GND
HSOP6
HSON6
GND
GND
HSOP7
HSON7
GND
PRSNT2##B48
GND

RSVD#A33
GND
HSIP4
HSIN4
GND
GND
HSIP5
HSIN5
GND
GND
HSIP6
HSIN6
GND
GND
HSIP7
HSIN7
GND

A33
A34
A35
A36
A37
A38
A39
A40
A41
A42
A43
A44
A45
A46
A47
A48
A49

B50
B51
B52
B53
B54
B55
B56
B57
B58
B59
B60
B61
B62
B63
B64
B65
B66
B67
B68
B69
B70
B71
B72
B73
B74
B75
B76
B77
B78
B79
B80
B81
B82
X1

HSOP8
HSON8
GND
GND
HSOP9
HSON9
GND
GND
HSOP10
HSON10
GND
GND
HSOP11
HSON11
GND
GND
HSOP12
HSON12
GND
GND
HSOP13
HSON13
GND
GND
HSOP14
HSON14
GND
GND
HSOP15
HSON15
GND
PRSNT2##B81
RSVD#B82
X1

RSVD#A50
GND
HSIP8
HSIN8
GND
GND
HSIP9
HSIN9
GND
GND
HSIP10
HSIN10
GND
GND
HSIP11
HSIN11
GND
GND
HSIP12
HSIN12
GND
GND
HSIP13
HSIN13
GND
GND
HSIP14
HSIN14
GND
GND
HSIP15
HSIN15
GND

A50
A51
A52
A53
A54
A55
A56
A57
A58
A59
A60
A61
A62
A63
A64
A65
A66
A67
A68
A69
A70
A71
A72
A73
A74
A75
A76
A77
A78
A79
A80
A81
A82

B12
B13
B14
B15
B16
B17
B18

+12V

EC28
CD470u16EL11.5

VCC3

PLTRST_BU1# 16

CK_PE_100M_16PORT
CK_PE_100M_16PORT#

CK_PE_100M_16PORT 15
CK_PE_100M_16PORT# 15
EXP_A_RXP_0 10
EXP_A_RXN_0 10

EXP_A_RXP_1 10
EXP_A_RXN_1 10
EXP_A_RXP_2 10
EXP_A_RXN_2 10
EXP_A_RXP_3 10
EXP_A_RXN_3 10

EXP_A_RXP_4 10
EXP_A_RXN_4 10
EXP_A_RXP_5 10
EXP_A_RXN_5 10
EXP_A_RXP_6 10
EXP_A_RXN_6 10
EXP_A_RXP_7 10
EXP_A_RXN_7 10

EXP_A_RXP_8 10
EXP_A_RXN_8 10
EXP_A_RXP_9 10
EXP_A_RXN_9 10
EXP_A_RXP_10 10
EXP_A_RXN_10 10
B

EXP_A_RXP_11 10
EXP_A_RXN_11 10
EXP_A_RXP_12 10
EXP_A_RXN_12 10
EXP_A_RXP_13 10
EXP_A_RXN_13 10
EXP_A_RXP_14 10
EXP_A_RXN_14 10

EMI

3VSB
+12V

EXP_A_RXP_15 10
EXP_A_RXN_15 10

SLOT-PCI164_WHITE-2PITCH-RH-1

C96
X_C0.1u16Y0402

A1
A2
A3
A4
A5
A6
A7
A8
A9
A10
A11

C368
X_C0.1u16Y0402

PRSNT1#
12V
12V#A3
GND
JTAG2
JTAG3
JTAG4
JTAG5
3.3V
3.3V#A10
PWRGD

C349
X_C0.1u16Y0402

X2
12V#B1
12V#B2
RSVD#B3
GND
SMCLK
SMDAT
GND
3.3V#B8
JTAG1
3.3VAUX
WAKE#

13,15,18,28 SMBCLK_ISO
13,15,18,28 SMBDATA_ISO

X2
B1
B2
B3
B4
B5
B6
B7
B8
B9
B10
B11

SMBCLK_ISO
SMBDATA_ISO

+12V

PCI_E1

+12V

VCC3

R269
X_1.1KR1%0402

MICRO-STAR INT'L CO.,LTD

EXP_EN_HDR

MS-7313

MSI
Size
Custom

Document Description

Date: Friday, November 09, 2007


8

Rev
0A

PCI EXPRESSX16&X1
Sheet
1

22

of

33

PCI SLOT 1 (PCI VER: 2.2 COMPLY)


-12V

-12V

VCC5

VCC3
15

PCI_CLK0
PREQ#0

12
12

AD31
AD29

12
12

AD27
AD25

12
12

C_BE#3
AD23

12
12

AD21
AD19

12
12

AD17
C_BE#2

12

IRDY#

12
C

DEVSEL#
12

LOCK#
PERR#

12

SERR#

12

12
12
12
12

12
12

C_BE#1
AD14
AD12
AD10

B52
B53
B54
B55
B56
B57
B58
B59
B60
B61
B62

AD8
AD7

12
12

AD5
AD3

12

AD1
ACK#64

PCI2

-12V
TCK
GND
TDO
+5V
+5V
INTB#
INTD#
PRSNT#1
RESERVED1
PRSNT#2
GND
GND
RESERVED2
GND
CLK
GND
REQ#
+5V(I/O)
AD31
AD29
GND
AD27
AD25
+3.3V
C/BE#3
AD23
GND
AD21
AD19
+3.3V
AD17
C/BE#2
GND
IRDY#
+3.3V
DEVSEL#
GND
LOCK#
PERR#
+3.3V
SERR#
+3.3V
C/BE#1
AD14
GND
AD12
AD10
GND
X1

TRST#
+12V
TMS
TDI
+5V
INTA#
INTC#
+5V
RESERVED3
+5V(I/O)
RESERVED4
GND
GND
3.3VAUX
RST#
+5V(I/O)
GNT#
GND
PME#
AD30
+3.3V
AD28
AD26
GND
AD24
IDSEL
+3.3V
AD22
AD20
GND
AD18
AD16
+3.3V
FRAME#
GND
TRDY#
GND
STOP#
+3.3V
SMBCLK
SMBDAT
GND
PAR
AD15
+3.3V
AD13
AD11
GND
AD9
X2

AD8
AD7
+3.3V
AD5
AD3
GND
AD1
+5V(I/O)
ACK64#
+5V
+5V

C/BE#0
+3.3V
AD6
AD4
GND
AD2
AD0
+5V(I/O)
REQ64#
+5V
+5V

A1
A2
A3
A4
A5
A6
A7
A8
A9
A10
A11
A12
A13
A14
A15
A16
A17
A18
A19
A20
A21
A22
A23
A24
A25
A26
A27
A28
A29
A30
A31
A32
A33
A34
A35
A36
A37
A38
A39
A40
A41
A42
A43
A44
A45
A46
A47
A48
A49
X2

VCC5

PIRQ#A
PIRQ#C

PIRQ#C
PIRQ#A

VCC5
VCC3
3VSB

VCC3

PCIRST#

12
15

ID1

PGNT#0

12

PCI_PME#
AD30

12
12

AD28
AD26

12
12

AD24

R315

12

AD22
AD20

12
12

AD18
AD16

12
12

FRAME#

12

TRDY#

12

STOP#

12

PCI_CLK1
PREQ#1
AD31
AD29
AD27
AD25

AD16
330R0402

C_BE#3
AD23
AD21
AD19
AD17
C_BE#2
IRDY#
DEVSEL#
LOCK#
PERR#
SERR#

A52
A53
A54
A55
A56
A57
A58
A59
A60
A61
A62

PAR
AD15

12
12

AD13
AD11

12
12

AD9

12

C_BE#0

12

AD6
AD4

12
12

AD2
AD0

12
12

C_BE#1
AD14
AD12
AD10

AD8
AD7
AD5
AD3
AD1

REQ#64

ACK#64

B1
B2
B3
B4
B5
B6
B7
B8
B9
B10
B11
B12
B13
B14
B15
B16
B17
B18
B19
B20
B21
B22
B23
B24
B25
B26
B27
B28
B29
B30
B31
B32
B33
B34
B35
B36
B37
B38
B39
B40
B41
B42
B43
B44
B45
B46
B47
B48
B49
X1

-12V
TCK
GND
TDO
+5V
+5V
INTB#
INTD#
PRSNT#1
RESERVED1
PRSNT#2
GND
GND
RESERVED2
GND
CLK
GND
REQ#
+5V(I/O)
AD31
AD29
GND
AD27
AD25
+3.3V
C/BE#3
AD23
GND
AD21
AD19
+3.3V
AD17
C/BE#2
GND
IRDY#
+3.3V
DEVSEL#
GND
LOCK#
PERR#
+3.3V
SERR#
+3.3V
C/BE#1
AD14
GND
AD12
AD10
GND
X1

B52
B53
B54
B55
B56
B57
B58
B59
B60
B61
B62

AD8
AD7
+3.3V
AD5
AD3
GND
AD1
+5V(I/O)
ACK64#
+5V
+5V

SLOT-PCI120P-RH

TRST#
+12V
TMS
TDI
+5V
INTA#
INTC#
+5V
RESERVED3
+5V(I/O)
RESERVED4
GND
GND
3.3VAUX
RST#
+5V(I/O)
GNT#
GND
PME#
AD30
+3.3V
AD28
AD26
GND
AD24
IDSEL
+3.3V
AD22
AD20
GND
AD18
AD16
+3.3V
FRAME#
GND
TRDY#
GND
STOP#
+3.3V
SMBCLK
SMBDAT
GND
PAR
AD15
+3.3V
AD13
AD11
GND
AD9
X2

A1
A2
A3
A4
A5
A6
A7
A8
A9
A10
A11
A12
A13
A14
A15
A16
A17
A18
A19
A20
A21
A22
A23
A24
A25
A26
A27
A28
A29
A30
A31
A32
A33
A34
A35
A36
A37
A38
A39
A40
A41
A42
A43
A44
A45
A46
A47
A48
A49
X2

C/BE#0
+3.3V
AD6
AD4
GND
AD2
AD0
+5V(I/O)
REQ64#
+5V
+5V

A52
A53
A54
A55
A56
A57
A58
A59
A60
A61
A62

PIRQ#B
PIRQ#D
VCC5

VCC3
3VSB
PCIRST#
PGNT#1

12

PCI_PME#
AD30
AD28
AD26
AD24
ID2 R314

AD17
330R0402

AD22
AD20
AD18
AD16
FRAME#
TRDY#
STOP#
C

PAR
AD15
AD13
AD11
AD9
C_BE#0
AD6
AD4
AD2
AD0
REQ#64

SLOT-PCI120P-RH

IDSEL = AD17
MASTER = PREQ#1
PIRQ#B

IDSEL = AD16
MASTER = PREQ#0
PIRQ#A

+12V

PCI1

PIRQ#B
PIRQ#D

PCI SLOT 2 (PCI VER: 2.2 COMPLY)

+12V
B1
B2
B3
B4
B5
B6
B7
B8
B9
B10
B11
B12
B13
B14
B15
B16
B17
B18
B19
B20
B21
B22
B23
B24
B25
B26
B27
B28
B29
B30
B31
B32
B33
B34
B35
B36
B37
B38
B39
B40
B41
B42
B43
B44
B45
B46
B47
B48
B49
X1

PCI PULL-UP / DOWN RESISTORS

PREQ#0
PREQ#1

8P4R-2.7KR0402
1
VCC5
3
5
7
1
3 8P4R-2.7KR0402
5
7

12
12
12
12

8
6
4
2

PIRQ#C
PIRQ#A
PIRQ#B
PIRQ#D

7
5
3
1

VCC3
RN48
8P4R-8.2KR0402
VCC5

2 8P4R-4.7KR0402
4
6
VCC5
8

EC32

EC29

CD470u16EL11.5
2

RN40 1
3
REQ#64
5
ACK#64
7

VCC3

+1

12
12

RN50
2
4
6
8
2
RN49 4
PREQ#0
6
PREQ#1
8
PREQ#2
PREQ#3
PREQ#4
PREQ#5

PREQ#2
PREQ#3
PREQ#4
PREQ#5

+1

12
12
12
12

X_CD470u16EL11.5

RN51
SERR#
PERR#
LOCK#
STOP#

VCC5

1
2
3
4
5

10
9
8
7
6

DEVSEL#
TRDY#
IRDY#
FRAME#

VCC5
A

AD[0..31]

10P8R-2.7KR

C_BE#[0..3]

AD[0..31]

12

C_BE#[0..3]

12

MICRO-STAR INT'L CO.,LTD


MS-7313

MSI
Size
Custom

12 PREQ#[0..5]

Document Description

Date: Tuesday, November 13, 2007


8

Rev
0A

PCI Slot 1 &2


Sheet
1

23

of

33

ATA 33/66/100 IDE Connectors

PS2 KEYBOARD & MOUSE CONNECTOR


USB_RSTR1

IDE1
BH2X20[20]_BLUE-RH-2

R198

R176
10KR0402 4.7KR0402
20KR1%0402
VCC5

VCC3

13

RN1
8P4R-4.7KR0402

C11
X_C0.1u16Y0402

16

MSDAT

16

MSCLK

16

KBDAT

16

KBCLK

MSDAT

FB3

X_0R

MS_DT

MSCLK

FB4

X_0R

MS_CK

KBDAT

FB1

X_0R

KB_DT

KBCLK

FB2

X_0R

KB_CK

NC_0603_10

13
13
13

RN0603

R184

7
8
11
12

R15
C10
X_1KR0402 X_C0.1u16Y0402
10

MS

1
2
5
6
C14
C180p50N0402

ATADET0
PD_A2
PD_CS#3

PDD[8..15]

JKBMS1
CONN-KB_MS-RH

C18
C180p50N0402

22
24
26
28
30
32
34
36
38
40
C189
X_C4700p25X0402

R188

PDD8
PDD9
PDD10
PDD11
PDD12
PDD13
PDD14
PDD15

C24
C180p50N0402

PD_DREQ
PD_IOW#
PD_IOR#
PD_IORDY
PD_DACK#
IDE_IRQ
PD_A1
PD_A0
PD_CS#1
IDE_LED#

2
4
6
8
10
12
14
16
18

C29
C180p50N0402

13
13
13
13
13
12
13
13
13
26

1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39

16
17

HDRST#P

9
4

KB

13
14
15

33R0402

PDD7
PDD6
PDD5
PDD4
PDD3
PDD2
PDD1
PDD0

2
4
6
8

R253

16 PLTRST_BU3#
13
PDD[0..7]

1
3
5
7

10KR0402

SERIAL ATA CONNECTOR BLOCK

SATA1

13
13

SATA_TX#0
SATA_TX0

C419 C0.01u16X0402
C417 C0.01u16X0402

S_RX0
S_RX#0

C414 C0.01u16X0402
C412 C0.01u16X0402

S_TX#0
S_TX0

SATA3

13
13
13
13

SATA_RX2
SATA_RX#2
SATA_TX#2
SATA_TX2

C388 C0.01u16X0402
C386 C0.01u16X0402

S_RX2
S_RX#2

C376 C0.01u16X0402
C372 C0.01u16X0402

S_TX#2
S_TX2

8
7
6
5
4
3
2
1
9

SATA7P_PURPLE-P-RH

RX+
TX+
GND
RX- TXGND
GND
GND
GND

SATA_RX0
SATA_RX#0

RX+
TX+
GND
RX- TXGND
GND
GND
GND

13
13
B

8
7
6
5
4
3
2
1
9

SATA7P_PURPLE-P-RH

SATA2

SATA_TX#1
SATA_TX1

C409 C0.01u16X0402
C406 C0.01u16X0402

S_TX#1
S_TX1

8
7
6
5
4
3
2
1
9

SATA4

13
13
13
13

SATA_RX3
SATA_RX#3
SATA_TX#3
SATA_TX3

C392 C0.01u16X0402
C391 C0.01u16X0402

S_RX3
S_RX#3

C380 C0.01u16X0402
C377 C0.01u16X0402

S_TX#3
S_TX3

8
7
6
5
4
3
2
1
9

SATA7P_PURPLE-P-RH

RX+
TX+
GND
RX- TXGND
GND
GND
GND

SATA_RX1
SATA_RX#1

13
13

S_RX1
S_RX#1

RX+
TX+
GND
RX- TXGND
GND
GND
GND

13
13

C425 C0.01u16X0402
C424 C0.01u16X0402

SATA7P_PURPLE-P-RH

MICRO-STAR INT'L CO.,LTD


MS-7313

MSI
Size
Custom

Document Description

Date: Friday, November 09, 2007


8

Rev
0A

IDE & SATA Connectors


Sheet
1

24

of

33

POWER CIRCUIT FOR USB PORT 2,3

USB_EN

EN

VOUT2

5
6

USB_EN

EN

UP7533AM8_SOT23-8-RH

VOUT1
VOUT2

U25
28

USB_DRV
USB_DRV
13 USB_OCP#2

USB_EN

5
6

S3#
OC#

EN

UP7533AM8_SOT23-8-RH

REAR PANEL USB CONNECTOR FOR USB PORT 0,1

C422
X_C10u10Y0805

USB_FSTR0

VOUT1

VOUT2

U27
USB_DRV
USB_DRV
13 USB_OCP#3

28

5
6

USB_EN

S3#
OC#

1
2

USB_RSTR1

1
2

1
2

S3#
OC#

5VCC
5VSB

VOUT1

U9
USB_DRV
USB_DRV
13 USB_OCP#1

28

GND

USB_EN

13

GND

USB_RSTR

5VSB

VCC5

C415
X_C10u10Y0805

S3#
OC#

5VCC
5VSB

5
6

USB_DRV

5VCC
5VSB

U11
USB_DRV
13 USB_OCP#0

POWER CIRCUIT FOR USB PORT 6,7

5VSB

VCC5

C148
X_C10u10Y0805

GND

1
2

C173
X_C10u10Y0805

28

POWER CIRCUIT FOR USB PORT 4,5

5VSB

VCC5

USB_FSTR1

5VCC
5VSB

5VSB

VCC5

EN

UP7533AM8_SOT23-8-RH

VOUT1

VOUT2

GND

POWER CIRCUIT FOR USB PORT 0,1

UP7533AM8_SOT23-8-RH

FRONT PANEL USB CONNECTOR FOR USB PORT 4,5


USB_FSTR0

USBP3
USBN3

13
13

USBP1
USBN1

7
8

D16
X_ESD-IP4220

USBP3
USBN3

L22

USBP1

USBN4
USBP4

USBN1

RJ45_USBX2_LEDX2_TX-GIGA-RH-1

N58-22F0181-S42

NEAR USB

13
13

USBN4
USBP4

5
6

1
2

USBN4
USBP4

13
13

USBN5
USBP5

7
8

3
4

USBN5
USBP5

CONNECTOR

JUSB1

1
3
5
7

VCC
USB0USB0+
GND

VCC
USB1USB1+
GND
USBOC

2
4
6
8
10

USBN5
USBP5

D21

USBN3
USBP3

3
4

23
24
25
26
27
28
29
30

13
13

PWR
GND
USB-5
GND
GND
USB+
UP
GND
GND
GND
PWR
GND
USB-1
GND
USB+
GNDDOWNGND

USB_FSTR0

C426
X_C0.1u25Y0402-RH

LAN_USB1A

5
6
7
8
1
2
3
4

USBN1
USBP1

L11 X_CMC-L12-121D017-LF
5
1
6
2

EC22
CD470u16EL11.5-RH

+
C147
C0.1U10X0402-1

CD470u16EL11.5-RH

EC37
USB_RSTR

USBN5

USBN4

USBP5

USBP4

H2X5[9]M_COLOR-RH

CONNECTOR

NEAR USB

USB_RSTR

ESD-IP4220

N31-2051581-H06

X_CMC-L12-121D017-LF

REAR PANEL USB CONNECTOR FOR USB PORT 2,3

FRONT PANEL USB CONNECTOR FOR USB PORT 6,7


USB_FSTR1

USBP2
USBN2

7
8

3
4

USBN0
USBP0

11
5

1
2
3
4

UP
1

10

DOWN

5
6
7
8

USBN2
USBP2

D15
X_ESD-IP4220

USBN7
USBP7

USBP0

USBP2

USBN0

USBN2

L23

12

N58-14M0031-L06

13
13

USBP6
USBN6

5
6

1
2

USBP6
USBN6

13
13

USBP7
USBN7

7
8

3
4

USBP7
USBN7

NEAR USB

CONNECTOR

1
3
5
7

VCC
USB0USB0+
GND

VCC
USB1USB1+
GND
USBOC

2
4
6
8
10

USBN6
USBP6

USB1

JUSB2
D24

USBP7

USBP6

USBN7

USBN6

H2X5[9]M_COLOR-RH

13
13

USBP0
USBN0

USBN2
USBP2

USB_FSTR1

C427
X_C0.1u25Y0402-RH

13
13

CD470u16EL11.5-RH

EC19
CD470u16EL11.5-RH

L5 X_CMC-L12-121D017-LF
5
1
6
2

EC38

USB_RSTR1

+
C141
C0.1u10X0402-1

CONNECTOR

NEAR USB

USB_RSTR1

N31-2051581-H06

ESD-IP4220

X_CMC-L12-121D017-LF

MICRO-STAR INT'L CO.,LTD


MSI

MS-7313
Size
Custom

Document Description

Date: Tuesday, November 20, 2007


5

Rev
0A

USB CONNECTORS
Sheet
1

25

of

33

ATX Connector

GND

GND

16

P_ON

5V

GND

GND

5V

GND

R285

2
4
6
8

330R

HDD+ 1

HDD+

PLED

HD_LED 3

HDD-

SLED

VCC5
13,15
16,28

R143
4.7KR0402

GND

20

-5V

POK

21

5V

5VSB

5VSB

22

5V

+12V

10

+12V

23

5V

+12V

11

24

GND

3.3V

12

ATX_PWR_OK 16,28,29

R309
R308

10KR0402
X_0R0402

RESET-

PWSW+

RESET+

PWSW-

NC_0402_6

7A

C130
X_C0.1u16Y0402

C121
X_C0.1u16Y0402

C363
X_C0.1u16Y0402

19

VCC3
FP_RST#
WDT#

PSIN

N31-2051421-H06

R275
2.2KR0402 B

SPKR

R299
4.7KR0402

RN37
8P4R-100R
1
2
3
4
5
6
7
8

SUS_LED

PWR_LED

H2X5[10]M_COLORS-RH

16

RN46

16

LED_VCC

16

LED_VSB

1
3
5
7

2
4
6
8

Q36
N-MMBT3904_NL_SOT23
SUS_LED

3VSB

8P4R-4.7KR0402

Q37
N-MMBT3904_NL_SOT23

VCC5

D18
BAS32L_LL34
C

Q33
N-MMBT3904_NL_SOT23

13

PWR_LED
SUS_LED

NC

MSI Front Panel Connector

RN39
8P4R-330R0402

C365
X_C1U10Y0402-RH

GND

18

VCC5

JFP1

C362
X_C0.1u16Y0402

17

IDE_LED#

15

24

3VSB

ZS-BAT54A_SOT23

1
3
5
7

3.3V

SATALED#

-12V

LED ( for Fintek 71882)

D19
13

25
25

14

C113
X_C0.1u16Y0402

C139
X_C0.1u16Y0402

15A

C367
X_C1U10Y0402-RH

C153
X_C0.1u16Y0402

C145
X_C1000p50X0402
VCC5

3.3V

4.7KR0402

3.3V

10A

C157
X_C0.1u16Y0402

R148
PSON#

VCC3
C160
C149
X_C0.1u16Y0402X_C0.1u16Y0402

16

5VSB

ATX1
PWRCONN24P_CREAM-RH-1

13

5VSB

INTEL/PB Front Panel Connector


-12V VCC3

R267
X_0R0402

JFP2

GND

SUS_LED

SLED

PWR_LED

PLED

SPEAKER

BUZ+

BUZ-

VCCSPK

VCC5

H2X4[7]M_COLOR-RH

CPU FAN

SYSTEM FAN
+12V

VCC5

R51
10KR0402

16 CPU-FAN_CTL

PWR FAN
+12V

+12V

D6
X_BAS32L_LL34
C
A
R64
4.7KR0402
R50
200R0402

R59
27KR0402

CPU-FAN

CPUFAN1
4
3
MEC1
2
1

R42
4.7KR0402

16

R48
27KR0402
SYSFAN2
3
2
1

R58
10KR0402

SYS_FAN1

R60
4.7KR0402

16

SYS_FAN2

SYSFAN1
3
2
1

R53
10KR0402

C9
BH1X3B-FR_WHITE-RH
X_C10u16X51206-RH

C45
X_C10u16X51206-RH BH1X4B_WHITE-RH-2

R61
27KR0402

16

R66
10KR0402

C49
BH1X3B-FR_WHITE-RH
X_C10u16X51206-RH

MICRO-STAR INT'L CO.,LTD


MSI

MS-7313
Size
Custom

Document Description

Date: Friday, November 09, 2007


5

Rev
0A

ATX & Front Panel & FAN


Sheet
1

26

of

33

PLACE CLOSE TO VGA CONNECTOR

Video Connector

V_2P5_MCH
Y

10

VGA_RED

C132
X_C0.1u16Y0402

PLACE CLOSE TO MCH,


WITHIN 0.5 INCH.

D14 1PS226_SOT23
X

as close as possible to VGA connector


within 0.5 inch

L4
80L700mA-150-RH

VGA_RED

V_2P5_MCH

R183
150R1%0402
Y

D13 1PS226_SOT23
X

R139
150R1%0402

C123

C122

X_C10p50N0402

C10p50N0402

Thw R ,G ,B route lengths should be length match to 200mils.

10

VGA_GREEN

L2
80L700mA-150-RH

VGA_GREEN
C119

V_2P5_MCH

R181
150R1%0402

C120

D12 1PS226_SOT23
X

R135
150R1%0402

X_C10p50N0402

10

VGA_BLUE

C10p50N0402

L1
80L700mA-150-RH

VGA_BLUE
R178
150R1%0402

C114
R133
150R1%0402

C115
C10p50N0402
X_C10p50N0402

V_2P5_MCH
VCC5
C

VCC5

5VDDCCL
N-2N7002_SOT23

VCC5

Q21

10 MCH_DDC_CLK

VCC5

FS1

D11
1PS226_SOT23Z

D10
1PS226_SOT23

VCC5

VSYNC_5V

R127
R120

HSYNC_5V

R121

100R0402

22R1%0402
22R1%0402

VGA_15

15

VSYNC_5VL

14

HSYNC_5VL

13

VGA_12

12

R122
R128

5VDDCDA

R123

100R0402

10KR0402
CN7

N-2N7002_SOT23
8
6
4
2

X_8P4C-100P50N

JVGA1
5
10
4
9
3
8
2
7
1
6

11

5VDDCDA

7
5
3
1

10 MCH_DDC_DATA

Q20

2.2KR0402

VGA_9.1

F-MICROSMD110F-RH
C98
X_C0.1u16Y0402

5VDDCCL
V_2P5_MCH

17

10KR0402

VGA_B
VGA_G
VGA_R

16

R126

2.2KR0402

R129

DSUB-VGAF_BLUE-RH-2

VCC5

N51-15F0391-F02

10

VSYNC

VSYNC

U8

VCC
Y

VSYNC_5V

GND

X_NC7SZ08M5X_SOT23-5

R140

0R0402

VCC5

10

HSYNC

HSYNC

U7

VCC
Y

HSYNC_5V

GND

R136

X_NC7SZ08M5X_SOT23-5
0R0402

MICRO-STAR INT'L CO.,LTD


MS-7313

MSI
Size
Custom

Document Description

Date: Friday, November 09, 2007


8

Rev
0A

VGA Connector
Sheet
1

27

of

33

5VDIMM FOR DDR

10KR0402

C42

X_C0.1u16Y0402

5VSBDRV1

X_0/4

R155

27KR0402

C22

C156

C18000p16X0402

Q5
N-APM3023NUC-TRL_TO252-RH
C21
X_C0.022u16X0402-RH
S

X_4.7KR0402

C
5VSB

VCC3

3VSB, ??A

VTT_SEL

EC21
CD1000u63EL15-RH

GPIO,Defualt=L
H:Support S0/S3/S5
L:Support S0/S3

V_FSB_VTT=1.1V

For future KENTSFIELD processor.


(FSB1333, Quad-Core)

VTT_SEL = H

V_FSB_VTT=1.2V

For normal processors.

3VSB

R312
10KR0402
R316
200KR0402 5VDRV1

Reference Voltage

5VSB

5VSB

EC34
CD470u16EL11.5

R242

3VSB

X_10R0402 C302X_C0.1u16Y0402
R237
X_11KR1%0402
U18

13,15,18,22 SMBDATA_ISO
5VDRV1

R238

R246

X_0R0402 3

SCL

R245

X_0R0402 4

SDA

200KR0402

USB_DRV

EN

R79
4.7KR0402

1.8V

1_8VREF

1.25V

1_25VREF

1.2V

1_2VREF

VID_GD#

1_8VREF
C

R317
3.3KR0402

V_FSB_VTT R88

1_25VREF
1_2VREF

2
USB_DRV
C179
C4.7u10Y0805

USB_DRV 25
VCC3

1_8VREF

1_25VREF

1_2VREF
1

39.2KR1%0402
C294
C297
C293
X_C0.1u16Y0402X_C0.1u16Y0402X_C0.1u16Y0402
R173
18KR1%0402

CHIP_PWGD
C

R177

FB

GND

R239
56KR1%0402

EC33
CD470u16EL11.5
+

EN

V_2P5_MCH

DDR REF
NB 1.25V REF
V_FSB_VTT REF

V_2P5_MCH
C178
X_C0.1u16Y0402

C170
C1u6.3Y0402-RH

U12
UP7707M5-00_SOT23-5-RH
VIN
VOUT 5

N-MMBT3904_NL_SOT23

C58
C1u6.3Y0402-RH

X_UP6261BM8_SOT23-8-RH

VCC3

Q30

13,30 ICH_VRM_PGD
16,26,29 ATX_PWR_OK

2
4
6
8

1
3
5
7

+12V

V_1P5_CORE

Q31
N-MMBT3904_NL_SOT23

VCC3

C
Q27

16,26

WDT#

WDT#
R278

20KR1%0402

C0.1u16Y0402

N-APM2054NDC-TRL_SOT89-LF
LM358DR2G_SOIC8

R251
20KR0402-2

B
Q34
2N3904

B
Q32
2N3904

V_1P05_CORE

EC27
CD470u16EL11.5

R250
10.7KR1%0402

C304

U19A

R274
4.7KR0402
VCC1_05REF

Q29

N-MMBT3904_NL_SOT23
N-MMBT3904_NL_SOT23

SB 1.05V 2A

R249
14.7KR1%0402

8,13

8P4R-4.7KR0402

VCC3

PLACE NEAR PIN OUT

CHIP_PWGD

B
E

RN35

V_2P5_MCH

6,30

Q13

10KR0402
B
E

UP7706U8_PSOP8-RH

13,15,18,22 SMBCLK_ISO

V_2P5_MCH, 100mA

Q23
S
X_N-2N7002_SOT23
X_N-MMBT3904_NL_SOT23

C383
C10u10Y0805

FB

C150
X_C10u16Y1206

VTT_SEL = L

1
GND

VREF

GND

VIN

X_0R04025

R153
X_1KR0402
Q24

N-APM3023NUC-TRL_TO252-RH

6
C369
C0.015u16X0402

R318

VOUT

V_FSB_VTT

X_0R0402

G 5VDRV1
D

EN

VCTRL

POK

R150

X_4.7KR0402

1
C

V_FSB_VTT

C1u6.3Y0402-RH
Q38

U22

R154
20KR

10R0402 C381

R152
X_300KR1%0402

R134
X_1KR0402

R168

5VSB

LM358DR2G_SOIC8

R164

+12V

Q22
N-P45N02LDG_TO252-RH

R20
1KR

R0402_6

UP7501M8_SOT23-8-RH

U10A

5VDRV1

5VCC_DRV

C0.1u16Y0402

V_FSB_VTT

C33
X_C0.1u25Y

VCC5

R327

VCC5

GND
3

MODE

R161

5VSB_DRV

1_2VREF

5VSB

S3#
S5#

V_1P5_CORE

near U36 Pin12

G P-P06P03LCG_SOT89-3-RH

1
2
5VCC
5VSB

5
6

SLP_S3#
SLP_S5#

1KR1%0402

Q8
U3

13,16
13

1.2V

5VSB

R162

1.1KR1%0402

R41

R163

V_2P5_MCH

10R0402

R57

510R0402

R46

GND

16,26,29 ATX_PWR_OK

+12V
5VSB
5VDIMM

VCC5

MICRO-STAR INT'L CO.,LTD


MS-7313

MSI
Size
Custom

Document Description
Sheet

Date: Friday, November 09, 2007


5

Rev
0A

ACPI controller UPI


1

28

of

33

DDR II 1.8V POWER

CHOKE1
CH-1.2u8A6m-RH
1
2

R35
X_0R
N-2N7002_SOT23
C31
X_C0.01u16X0402

1
1
2
3
4
9

R124
1KR1%0402

DDRVTT_REF

W83310DG_SOP8-RH

VTT_DDR

R125
1KR1%0402

VCC_DDR

C
E

de- VCC_DDR high frequency noise.

C102
X_C0.1u16Y0402

C128
C0.1u16Y0402

C97
C0.1u16Y0402

Q6
B

C247
C0.1u16Y0402

X_C0.1u16Y0402

N-MMBT3904_NL_SOT23
C

VIN
GND
VREF1
VOUT
GND

S
R23
X_43KR

R45
2KR1%0402

VREF2
ENABLE
VCNTL
BOOT_SEL

1.25V/2.9A

R112
2.2R0805

N-P75N02LDG_TO252
C72
C3300p50X0402

Q17

DDR2_LG

UP6103S8_SOP8-RH

8
7
6
5

Io=30A
2

D
S
D

5
VCC
GND

8
2
4

PHASE
UG
LG

U6

CHOKE2
VCC_DDR
CH-1.2u18A4m-RH
1
2

N-P75N02LDG_TO252

EC16
CD470u6.3EL11-RH-1
+

Q7

C39
X_C3300p50X0402

Q15

EC12
CD1000u63EL15-RH
+

R24
X_2.2R0805
6 FB

BOOT

C6
C1u25X0805

3VSB

EC13
CD1000u63EL15-RH
+

N-MMBT3904_NL_SOT23

D
G

C46

Vref

R10
0R

VCC_DDR
VCC_DDR

VCC_DDR

EC17
CD1000u63EL15-RH
+

8P4R-1KR0402
2
4
6
Q10
8
B

R31
4.42KR1%0402-RH

C1u25X0805

C154 X_C1u10Y

13
SLP_S4#
16,26,28 ATX_PWR_OK

RN2
1
3
5
7

C13
X_C1u6.3Y0402-RH

5VSB

U2
C17
X_C3300p50X0402

1_8VREF

R17
X_3.01KR1%0402

2.2R0805 C30

DDR VTT Power

EC18
X_CD1000u63EL15-RH
+

R40

To CPU Copper trace width > 250mils , Fill


island behind DIMM > 400mils .

5VDIMM
C35
X_C0.01u16X0402

R52
X_0R

X
Z

EC6
CD1000u16EL20-RH-3
+

D4
S-BAT54C_SOT23

EC5
CD1000u16EL20-RH-3
+

C51
C10u10Y0805

5VDIMM_IN

5VDIMM

+12V

1_8VREF

Do it need so many?

1.5V Core

For cost down


VCC_DDR

VCC_DDR

R234
27KR1%0402-RH

1+

R233
53.6KR1%0402

EC23
CD1000u63EL15-RH
2

+12V

Q26
V_1P5_CORE

G
S

N-P50N03LS_TO263-RH

R232
1KR1%0402

Io=22.8A

EC25
CD1000u63EL15-RH
+

249R1%0402

EC26
CD1000u63EL15-RH
+

R231

LM358DR2G_SOIC8
1

EC20
X_CD1000u63EL15-RH
+

C291
C0.1u16Y0402

R235
X_39KR0402

1_25VREF

1_25VREF

U19B

MICRO-STAR INT'L CO.,LTD


MS-7313

MSI
Size
Custom

Document Description
Sheet

Date: Friday, November 09, 2007


5

Rev
0A

NB Core Power & DDR Power


1

29

of

33

OVP

OCSET

LGATE3

L6703TR_TQFP64-RH

58

R130
0R0805

LGATE3_L

32

LGATE3

Q19

G
S

R119
2.2R0805

CP27

C100
C1000p50X0402

R34
45.3KR1%0402

VCCP

CP26

SS/LTBG

C16
C0.22u10Y0402

C20
C0.01u25X0402

BOOT1

C40
C0.1u25X

BOOT1

C34
C32

UGATE1

PHASE1
N.C.1

63
64

LGATE1

61

CS1-

31

CS1-

CS1+

30

CS1+

23

R47
15KR1%0402 COMP_VRM_C
C38
C6800p16X0402
FB
22

UGATE1_R

Q4
N-P0903BD_TO252

G
S

R18
PHASE1

COIL1
CH-0.25u40A0.65m-RH
1
2

10KR

R33
0R0805

LGATE1_L

FOR EMI

LGATE1

Q9

R54
2.2R0805

CP22

CP23

C44
C1000p50X0402

R28
45.3KR1%0402

N-P0903BD_TO252

LTB

R19
1.2KR1%0402

12VIN

C142
X_C0.1u25Y0402-RH

UGATE1

C10u16Y1206
C1u16Y

C140
X_C0.1u25Y0402-RH

18

SS_END

R29
0R0805

1.54KR1%0402

R30

CS3+

CS3-

29
28

CS3CS3+

OSC/OVP_FAULT

C41
C220p16X0402
COMP_VRM

VCCP

12VIN

R63
1KR0402
C27
R32
X_C330p50X0402
LTB_R X_680R0402-RH
LTB

COMP
C15
C0.22u10Y0402

C12
C0.01u25X0402

FB

R37
X_0R0402

DROOP

20

VSEN

36

FBG

N.C.

49

INT 3

48

R36
2KR1%0402

R55
0R0402

VCC_SENSE

THERMAL PAD

INT 2

VRM_GD Circuit

47

VCC3

NC_0402_6
R22
X_0R0402

VTT_PG

NC_0402_6
R21
1KR0402

VRM_GD

5VSB

VCCP

65

NC.4
14

NC.5
13

NC.6
12

NC.8

NC.7
11

VSS_VRM_SENSE_R
R4
0R0402

NC.9

VCC_VRM_SENSE_R

52

C3
X_C1u25X0805

25

C43
X_C1000p50X0402

R62
681R1%0402

NC.10

RT1
X_10KRT1%

24

C37
X_C3300p50X0402
VSEN_R

21

R49
X_180R1%0402

VSEN

VCCP

12KR0402

46

R1
100R1%0402

COIL3
CH-0.25u40A0.65m-RH
1
2

PHASE3

EC9
CD680u4EL9-RH
+

3
4

EC10
CD680u4EL9-RH
+

37

VRM_GD

NTC-Near Inductor

Q18
N-P0903BD_TO252

10KR

N-P0903BD_TO252

Q3
N-MMBT3904_NL_SOT23
D1
X_BAS32L_LL34

UGATE3_R

R118

EC15
CD680u4EL9-RH
+

R117
0R0805

UGATE3

EC2
CD680u4EL9-RH
+

SP-CAP
VCCP

C10u16Y1206
C1u16Y

EC4
CD680u4EL9-RH
+

UGATE3

INT 1

R25
118KR1%0402
R26
X_0R0402

B
10KR1%0402

VCCP

C85
X_C0.1u25Y

EC3
CD680u4EL9-RH
+

C66
C64

C75
X_C0.1u25Y

EC7
CD680u4EL9-RH
+

R27

BOOT3

C55
C0.1u25X

BOOT3

C69
X_C0.1u25Y

EC11
X_C100u2Sp-LF
+

X_150KR0402

C28
C0.01u25X0402
12VIN

VCCP

R3

C25
C0.22u10Y0402

N.C.3
PHASE3
35

C95
X_C0.1u25Y

EC41
X_C100u2Sp-LF
+

R8

62
CS2+

C100p50N0402

C10p25N0402

R43
45.3KR1%0402

R38
2.2KR1%0402

VID_SEL

12.1KR1%0402

C63
C1000p50X0402

VCCP

CP25

34

C8

CP24

VID7

R14

R97
2.2R0805

EC39
X_C100u2Sp-LF
+

R7
X_4.7KR0402

26

CS2+

Q14

12VP

VID6

38

C5

CS2-

LGATE2

EC40
X_C100u2Sp-LF
+

CS2-

27

R70
0R0805

COIL4
CH-1.2u18A3m-RH

39

VID7

33

LGATE2_L

VID6

16

55

PHASE2

VID5

137KR1%0402

LGATE2

N-P0903BD_TO252

40

R12

N.C.2
PHASE2

COIL2
CH-0.25u40A0.65m-RH
1
2

VID4

7
8

VCCP

Q16
N-P0903BD_TO252

10KR

VID3

41

UGATE2_R

0.8375V~1.6V
100A

42

VID4

R111
0R0805

UGATE2

C10u16Y1206
C1u16Y

VID3

BOOT2

C84
C83

VID2

12VIN

12VIN

VID1

43

Y BOOT1

C53
C0.1u25X

JPW1
PWRCONN4P_CREAM-RH-1

44

VID2

10

S-BAT54A_SOT23
X

R105

C7
X_C1000p50X0402

12VP

VCCDR1

56

60
PGND1

VCCDR2

57

59

51

53

54
PGND2

VCCDR3

PGND3

NC.12

NC.11

19
50

C
E

15

UGATE2

VID0

VID1

VID_SEL

VCC

SGND
SGND
OUTEN

BOOT2

Y
D5

VBOOT

C2
X_C0.01u25X0402

EC1
CD1000u16EL20-RH-3
+

45

VID5

R2
1KR0402

R56
2.2R0805

C26 C1u25X0805
C19 C1u25X0805

BOOT3

GND

12VP Z

EC14
CD1000u16EL20-RH-3
+

17

S-BAT54A_SOT23
X BOOT2

X_0R0805

GND

2.2R0805

R39

12V

R44

S-BAT54C_SOT23

12V

U4

VID0

2.2R0805

EC8
CD1000u16EL20-RH-3
+

R74
X_2.2KR0402

C54
C1000p50X0402

C52
C1u25X0805

R76

CP1
C50
X_C1000p50X0402

12VP
D7

to

C36
C1u25X0805

R69
VID_GD#10KR0402

VID_PG

Q12
N-MMBT3904_NL_SOT23

VID_GD#

D2
Pin19 & C547 through 2
VIAs
short Layer2
Layer3

R68
10KR0402

VID[0..7]

VID[0..7]

6,28

R67
X_10KR0402

VCC_DDR

12VP

5VSB

R16
1KR0402

R11
X_0R0402

connect

to

15
CLK-GEN
A

C1
X_C0.1u10X0402

R6
2.2KR0402

ICH_VRM_PGD
connect

C
B

C
Q2
B
N-MMBT3904_NL_SOT23E Q1
C4
R5
X_C10u10Y0805
N-MMBT3904_NL_SOT23
20KR1%0402

to

ICH7

13,28
<OrgAddr1>

MICRO-STAR INT'L CO.,LTD

R9
100R1%0402

R13
0R0402

VSS_SENSE

ST L6703 3PHASE FOR VR11

BOTTOM PAD CONNECT TO GND


Through 9 VIAs

Title

VRM11
Size

Document Number

Date:

Friday, November 09, 2007

Rev

MS-7313
5

Sheet
1

0A
30

of

33

ICH7 HEATSINK

MCH HEATSINK

U3_X1
U2_X1
MEC1

MEC1
MEC1

MEC1

MEC2

MEC2

MEC2

HS-MS1391

MEC2
HS-MS7097

BAT1_X1
BAT-BCR2032P-RH
PCB1
PCB
CON2_1

Mounting Holes

Optics Orientation Holes

9
1

MH3

9
1

MH2

9
1

Simulation
MH1

FM1
7

X_J1
SIM2 2
1

1
SIM1 2

X_OPTICS

FM5
MH4

FM13

FM11

FM6

X_OPTICS

X_OPTICS

X_OPTICS

FM14

X_OPTICS

X_OPTICS

X_OPTICS

X_OPTICS

FM10

FM4

FM3

FM8

FM7

X_OPTICS

X_OPTICS

X_OPTICS

X_OPTICS

X_OPTICS

X_OPTICS

FM12

9
1

MH5

MH6

FM9

9
1

4
9
1

5
8

VCC5

FM2

X_J2

MICRO-STAR INT'L CO.,LTD


MS-7507

MSI
Size
Custom

Document Description
Sheet

Date: Tuesday, November 13, 2007


5

Rev
0A

MANUAL PARTS
1

31

of

33

ICH7
GPIO

SIO Fintek71882FG(CONTINUE)
Alt Func

PIN

I/O/NC

PU

SMI

TOL

DEFAULT

3.3V

GPI

CORE

5V

CORE

5V

CORE

5V

I/OD

CORE

GPIO0 Unmultiplexed AB18

I/O

GPIO1

REQ5#

C8

I/O

GPIO2

PIRQE#

G8

I/OD

GPIO3

PIRQF#

F7

I/OD

GPIO4

PIRQG#

F8

GPIO5

PIRQH#

G7

POWER
CORE

SIGNAL NAME

GPIO

Alt Func

PIN

GPI0(pull high)

GPIO0

VIDOUT0

49

MCH_BSEL0

Usage

Input/Output
O12

GPI

PREQ#5

GPIO1

VIDOUT1

50

MCH_BSEL1

O12

GPI

GPIO2(pull high)

GPIO2

VIDOUT2

51

MCH_BSEL2

O12

GPI

GPIO3(pull high)

GPIO3

VIDOUT3

52

NC

O12

5V

GPI

GPIO4(pull high)

GPIO4

VIDOUT4

53

NC

O12

I/OD

CORE

5V

GPI

GPIO5(pull high)

GPIO5

VIDOUT5/SIC

54

NC

I/OOD12t

GPIO6 Unmultiplexed AC21

I/O

CORE

3.3V

GPI

ATADET0

GPIO6

SLOTOCC#

55

GPO

I/OOD12t

GPIO7 Unmultiplexed AC18

I/O

CORE

3.3V

GPI

STRAPPED HI

GPIO7

Turbo1#/WDTRST#

56

WDTRST#

OD12-5v

GPIO8 Unmultiplexed E21

I/O

Resume

3.3V

GPI

STRAPPED HI

GPIO15

LED_VSB/ALERT#

64

LED_VSB

OD12

GPIO9 Unmultiplexed E20

I/O

Resume

3.3V

GPI

STRAPPED HI

GPIO16

LED_VCC/Turbo2#

65

LED_VCC

OD12

GPIO10 Unmultiplexed A20

I/O

Resume

3.3V

GPI

STRAPPED HI

GPIO20

PCIRST1#

74

PCIRST1#

OD12

GPIO11 SMBALERT# B23

I/O

Resume

3.3V

Native

STRAPPED HI

GPIO21

PCIRST2#

75

PCIRST2#

O12

GPIO12 Unmultiplexed F19

I/O

Resume

3.3V

GPI

SIO_PME#

GPIO22

PCIRST3#

76

PCIRST3#

O12

GPIO13 Unmultiplexed E19

I/O

Resume

3.3V

GPI

STRAPPED HI

GPIO23

RSTCON#

77

RSTCON#

OD12

GPIO14 Unmultiplexed R4

I/O

Resume

3.3V

GPI

STRAPPED HI

GPIO24

ATXPG_IN

78

ATXPG_IN

GPIO15 Unmultiplexed E22

I/O

Resume

3.3V

GPI

STRAPPED HI

GPIO32

PWROK

84

PWROK

OD12

GPIO16 Unmultiplexed AC22

I/O

CORE

3.3V

GPO

NC

GPIO26

PWSIN#

80

PWSIN#

INts5v

GPIO17 GNT5#

I/O

CORE

3.3V

GPO

STRAPPED L

GPIO27

PWSOUT#

80

PWSOUT#

OD12

GPIO18 Unmultiplexed AC20

I/O

CORE

3.3V

GPO

NC

GPIO30

S3#

82

S3#

GPIO19 SATA_1GP

AH18

I/O

CORE

3.3V

GPI

STRAPPED HI

GPIO31

PSON#

83

PSON#

OD12-5v

GPIO20 Unmultiplexed AF21

I/O

CORE

3.3V

GPO

NC

GPIO33

RSMRST#

85

RSMRST#

OD12

GPIO21 SATA_0GP

AF19

I/O

CORE

3.3V

GPI

STRAPPED HI

GPIO40

FANIN3

25

FANIN3

GPIO22 REQ4#

A13

I/O

CORE

3.3V

Native

STRAPPED HI

GPIO41

FAN_CTL3

26

GPIO23 LDRQ_1#

AA5

D8

FAN_CTL3(NC)

INts5v
OD12-5v

CORE

3.3V

Native

STRAPPED HI

GPIO25

PME#

79

PME#

OD12-5v

I/O

Resume

3.3V

GPO

NC

GPIO10

SPI_SLK/FANIN4

59

GPIO10(NC)

I/OOD12t

GPIO25 Unmultiplexed D20

I/O

Resume

3.3V

GPO

GPIO25(high 7507,low 7398)

GPIO11

SPI_CS0#/FANCTL4

60

GPIO11(NC)

I/OOD12t

GPIO26 Unmultiplexed A21

I/O

Resume

3.3V

GPO

USB_EN

GPIO12

SPI_MISO/FANCTL1_1

61

GPIO12(NC)

I/OOD12t

GPIO27 Unmultiplexed B21

I/O

Resume

3.3V

GPO

NC

GPIO13

SPI_MOSI/BEEP

62

GPIO28 Unmultiplexed E23

I/O

Resume

3.3V

GPO

NC

GPIO14

FWH_DIS/WDTRST#/SPI_CS1# 63

GPIO29 OC5#

C3

I/O

Resume

3.3V

GPI

USB_OCP#2

GPIO42

IRTX

27

GPIO30 OC6#

A2

I/O

Resume

3.3V

GPI

USB_OCP#3

GPIO43

IRRX

28

IRRX

GPIO31 OC7#

B3

I/O

Resume

3.3V

GPI

USB_OCP#3

GPIO17

66

NC

GPIO32 Unmultiplexed AG18

I/O

CORE

3.3V

GPO

BIOS_WP#(fill with 1)

GPIO33 Unmultiplexed AC19

I/O

CORE

3.3V

GPO

NC

GPIO34 Unmultiplexed U2

I/O

CORE

3.3V

GPO

NC

GPIO35 SATACLKREQ# AD21

I/O

CORE

3.3V

GPO

NC

GPIO36 SATA2GP

AH19

I/O

CORE

3.3V

GPI

STRAPPED HI

GPIO37 SATA3GP

AE19

I/O

CORE

3.3V

GPI

STRAPPED HI

GPIO38 Unmultiplexed AD20

I/O

CORE

3.3V

GPI

STRAPPED HI

GPIO39 Unmultiplexed AE20

I/O

CORE

3.3V

GPI

STRAPPED HI

GPIO48 GNT4#

I/O

CORE

3.3V

Native

A14

INts5v

I/O

I/O
V_CPU_IO N
N
V_CPU_IO
Native
GPIO49 CPUPWRGD AG24
Following are the GPIOs that need to be terminated properly if not used:
GPIO[39:36,23:21,19,7:0]: default as inputs and should be pulled up to Vcc3_3 if unused.
GPIO[31:29,15:8]: default as inputs and should be pulled up to VccSus3_3 if unused.

AIN

GPIO24 Unmultiplexed R3

BEEP(NC)

OD24

GPIO14

I/OOD12t

IRTX

NOTES

O12
INts
I/OOD12t

PCI Config.
DEVICEMCP1 INT PIN REQ#/GNT#
PIRQ#A
PIRQ#B
PREQ#0
PCI1
PIRQ#C
PGNT#0
PIRQ#D
PIRQ#B
PIRQ#C
PCI2
PREQ#1
PIRQ#D
PGNT#1
PIRQ#A

IDSEL
AD16

AD17

CLOCK
PCI_CLK0

PCI_CLK1

DDRII DIMM Config.


DEVICE

ADRRESS

DIMM A

A0H

DIMM B

A4H

CLOCK
P_DDR0_A/N_DDR0_A
P_DDR1_A/N_DDR1_A
P_DDR2_A/N_DDR2_A
P_DDR0_B/N_DDR0_B
P_DDR1_B/N_DDR1_B
P_DDR2_B/N_DDR2_B

STRAPPED HI
H_PWRGD

JCI1

Chassis Intrusion

Open

Normal

(1-2)

Chassis Open

JUMPER SETTING
(1-2)NORMAL

JBAT1

(2-3)CLEAR

Title
BIOS Request Form
Size
C
Date:
5

Document Number
MS-7313

Rev
0A

Friday, November 09, 2007

Sheet
1

32

of

33

0A Change list:
1.Remove 1394 & PCIE-X1;
2.modify usb1
3.Remove EC18,EC19,EC57,EC62,D19D22D24D25R87,R91,R92,R96
4.5VREF Change 5817 to 3904
D

6.Change DDR Chock to 8A, 18A


7.LANEEPROM R201,U29,R213;
8.LED_VCC,LED_VSB;ICH_VRM_PGD;ATX_PWR_OK
9.Modify page NO. and off page ;
10.Change EC64,EC65,EC88 TO MLCC C76 , C77 , C85
11.Change audio 6 Port to 3 Port
12.power circuit update :R372 RT3 & R244
13.remove D52 change C278 to 0805 10U
14.For EMI Request:remove C91 ,ADD 2 pcs VCC_DDRVTT_DDR 0.1uf cap : C262 C266 ;
ADD CTRL18GND 0.1ufcapC221 , AVDD33GND 0.1uf cap:C230 , AVDD18GND 0.1ufcapC219
15.Modify LPT:remove D7,D8 ;change 8P4R to 10P8R RN74,RN75;
16.Modify PCI RN39,RN40 8P4R to RN76 10P8R AND remove c148, c187 for EMI;
17. USB CONNECTOR netname
For CostDown
18.Delet: EC33,EC35VCC5for USB power;EC31 for 3VSB power;EC45 for 5VCC power;EC49,EC89 for 3VCC power
19.Delet EC68 (VCCP) for power team ; Change H/L-mos to D03-0903BDB-N03 H-MOS D03-75N022B-N03 L-MOS
20.Change EC40 to C616(1206) ; C608,C609 change to 1206
21.Change Q17 TO252 to SOT_89
22.Remove C206,C267,C238,RN16 ,R265,R266,C138; Change C237,C601 22uf to 10uf;
Remove R118,R119 USE RN31; Remove R384,R388,R389 USE RN77;
23.Change R215 0805 to 0603 ,Remove C269, R226 ,R75; Change L-mos D03-75N022B-N03 to D03-0903BDB-N03;
Remove R163,RT1,Stuff Q19 for system Tem;
RemoveC173,C224,C56,C57,C58
24.Delet Q26,R393,R202,Q42,R343,C277,R168,Q43,C276,C276,D19,R435,R161
25.Remove U9,U10,And stuff R479,R480 for VGA; Remove C189,C200,C271
26.Swap JUSB1 PIN and LPT PIN ,Delet EC12 for Power Team,Delet c224 C186;
Delet R400 R403 R406 change to line,Delet R335 C266 D28 CP34 C229 C345 C465 C109 C148 CP48 C43
27.Dealet CP32 CP46 For EMI ,Rename ,Delet C23 for power team ,Change R171 0603 to 0402
28.Change PGND to GND For EMI
28.Change X_J2 GND to GNDF For LAYOUT

CP27 CP28

Title
History
Size
Document Number
CustomMS-7313
Date:
5

Rev
0A

Saturday, November 10, 2007

Sheet
1

33

of

33

You might also like