You are on page 1of 41

3

2
/
8

9
8
7
6

H4
HOLEB
2
3
4
5

H10
HOLEC256D106

H12
HOLEC335D185
9
8
7
6

9
8
7
6

H2
HOLEB
2
3
4
5

9
8
7
6

H22
HOLEB
2
3
4
5

9
8
7
6

H20
HOLEB
2
3
4
5
1

H6
HOLEC335D185

H17
HOLEB
2
3
4
5

9
8
7
6

H16
HOLEB
2
3
4
5
1

H13
HOLEC335D185

H23
HOLEA

HOLEA

H14
HOLEC256D106

H18

H5
HOLEC335D185
9
8
7
6
1

H1
HOLEB
2
3
4
5
1

9
8
7
6
1

2
3
4
5

1
H21
HOLEB

GND

9 0
1 .
1

1
1
/
3

3
2
/
8
1
3
/
0
1

7 H
.
1 1

8 D
.
1 1

6 0
1 .
1

3
2
/
8

3
2
/
8

0 0
.
1 1

3
2
/
8

3
2
/
8

9 0
.
0 1

3
2
/
8

5 0
1 .
1

8 0
0 .
1

3
2
/
8

3
2
/
8

7 0
.
0 1

3
2
/
8

4 0
.
1 1

6 0
.
0 1

3
2
/
8

3
2
/
8

5 0
0 .
1

3
2
/
8

3 0
.
1 1

4 0
0 .
1

3
2
/
8

2 0
1 .
1

3 0
.
0 1

3
2
/
8

3
2
/
8
1
3
/
0
1

2 0
.
0 1

1
1
/
3

1 D
1 .
1

1 H
0 .
1

E
T
A
D

X = 0805 TYPE
Y = 1206 TYPE
C3216 = A TYPE
C3528 = B TYPE
C6032 = C TYPE
C7343 = D TYPE

4 0
2 .
1

5 B
.
2 1

6 0
.
2 1

7 0
2 .
1

8 0
.
2 1

9 0
.
2 1

0 G
3 .
1

1 C
3 .
1

2 G
3 .
1

3 G
.
3 1

4 0
3 .
1

5 0
3 .
1

3
2
/
8

3
2
/
8

6
2
/
9

3
2
/
8

3
2
/
8

3
2
/
8

3
2
/
8

7
2
/
2

3
2
/
8

7
2
/
2

7
2
/
2

3
2
/
8

3
2
/
8

3
2
/
8

3 0
2 .
1

3
2
/
8

8 0
3 .
1

2 0
.
2 1

6
2
/
9

7 0
.
3 1

1 B
.
2 1

3
/
2
1

3
2
/
8
8
2
/
1
1

0 F
2 .
1

E
T
A
D

6 E
.
3 1

V
E
R

E
G
A
P

P.Leader

Approved

by

Checked

by

Designed

by

V
E
R

9 0
3 .
1

0 0
.
4 1

1 H
.
4 1

E
T
A
D

3
2
/
8

3
2
/
8

1
1
/
3

E
G
A
P

P/N : KK0G732011010

9
8
7
6

H24
HOLEB
2
3
4
5

H27
H8
H26
H25
H9
H11
HOLEC217D67BC67 HOLEC217D67BC67 HOLEC217D67BC67 HOLEC217D67BC67 HOLEC217D67BC67 HOLEC217D67BC67

H7
HOLEC217D67BC67

H19
HOLEA
9
8
7
6
1

H3
HOLEB
2
3
4
5

HOLEA

V
E
R

E
G
A
P

H15

01:G732 M/B DESCRIPTION


02:CPU_FSBI
03:CPU_FSBII
04:CPU_POWER
05:SIS645-DX(HOST/AGP)
06:SIS645-DX(RAM CONTROLLER)
07:SIS645-DX(HYPER ZIP/AGP)
08:SIS645-DX(POWER)
09:DDR_SODIMM
10:DDR_TERMINATION RESISTOR
11:VGA_IO
12:VGA_MEM
13:VGA_POWER
14:DDR_SG4Mx32_BGA_A
15:DDR_SG4Mx32_BGA_B
16:962(PCI/IDE)
17:962(MISC)
18:962(USB CONTROLLER)
19:962(POWER)
20:KBC PC87591
21:W832517D LPC SUPER I/O
22:CRT & P.P.
23:AUDIO DJ
24:HDD & CD-ROM CONN.
25:AUDIO & AMP
26:LCD CRT &TV CONN
27:USB CONN &RJ11
28:TI 1410 CONTROLLER
29:MINIPCI & PCMCIA SLOT
30:RTL8201 LAN PHY
31:RTL8801 1394 PHY
32:CLOCK GENERATOR
33:CLOCK BUFFER
34:RTC&POWER GOOD
35:POWER SWITCH
36:SELECTOR
37:MAX1772 CHARGER
38:MAX1632 SYSTEM POWER
39:HIP6301 CPU POWER
40:VGA & DDR POWER
41:HISTORY

ECS CORP. (G732 M/B )


Title
G732 M/B DESCRIPTION PAGE

Size
A3

Document Number

Date:

Tuesday, March 11, 2003

Rev
1.H

G732-1-4-01
Sheet

of

41

RS-0
RS-1
RS-2

B21
B22
A23
A25
C21
D22
B24
C23
C24
B25
G22
H21
C26
D23
J21
D25
H22
E24
G23
F23
F24
E25
F26
D26
L21
G26
H24
M21
L22
J24
K23
H25
M23
N22
P21
M24
N23
M26
N26
N25
R21
P24
R25
R24
T26
T25
T22
T23
U26
U24
U23
V25
U21
V22
V24
W26
Y26
W25
Y23
Y24
Y21
AA25
AA22
AA24

D0
D1
D2
D3
D4
D5
D6
D7
D8
D9
D10
D11
D12
D13
D14
D15
D16
D17
D18
D19
D20
D21
D22
D23
D24
D25
D26
D27
D28
D29
D30
D31
D32
D33
D34
D35
D36
D37
D38
D39
D40
D41
D42
D43
D44
D45
D46
D47
D48
D49
D50
D51
D52
D53
D54
D55
D56
D57
D58
D59
D60
D61
D62
D63

F1
G5
F4

RS0
RS1
RS2

NC
NC

A7
A22

L25
K26
K25
J26
AE21
AF24
AF25
AD3
AD2
DEP3
DEP2
DEP1
DEP0
NC
NC
NC
NC
NC

HD-0
HD-1
HD-2
HD-3
HD-4
HD-5
HD-6
HD-7
HD-8
HD-9
HD-10
HD-11
HD-12
HD-13
HD-14
HD-15
HD-16
HD-17
HD-18
HD-19
HD-20
HD-21
HD-22
HD-23
HD-24
HD-25
HD-26
HD-27
HD-28
HD-29
HD-30
HD-31
HD-32
HD-33
HD-34
HD-35
HD-36
HD-37
HD-38
HD-39
HD-40
HD-41
HD-42
HD-43
HD-44
HD-45
HD-46
HD-47
HD-48
HD-49
HD-50
HD-51
HD-52
HD-53
HD-54
HD-55
HD-56
HD-57
HD-58
HD-59
HD-60
HD-61
HD-62
HD-63

VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC
VCC

U37A

A35
A34
A33
A32
A31
A30
A29
A28
A27
A26
A25
A24
A23
A22
A21
A20
A19
A18
A17
A16
A15
A14
A13
A12
A11
A10
A9
A8
A7
A6
A5
A4
A3

AB1
Y1
W2
V3
U4
T5
W1
R6
V2
T4
U3
P6
U1
T2
R3
P4
P3
R2
T1
N5
N4
N2
M1
N1
M4
M3
L2
M6
L3
K1
L6
K4
K2

HA-31
HA-30
HA-29
HA-28
HA-27
HA-26
HA-25
HA-24
HA-23
HA-22
HA-21
HA-20
HA-19
HA-18
HA-17
HA-16
HA-15
HA-14
HA-13
HA-12
HA-11
HA-10
HA-9
HA-8
HA-7
HA-6
HA-5
HA-4
HA-3

VID0
VID1
VID2
VID3
VID4

AE5
AE4
AE3
AE2
AE1

VID0
VID1
VID2
VID3
VID4

REQ4
REQ3
REQ2
REQ1
REQ0

H3
J3
J4
K5
J1

HREQ-4
HREQ-3
HREQ-2
HREQ-1
HREQ-0

BPM5
BPM4
BPM3
BPM2
BPM1
BPM0

AB4
AA5
Y6
AC4
AB5
AC6

P2-1
P2-2
P2-3
P2-4
P2-5
P2-6

AD25
A6
Y3
W4
U6
AB22
AA20
AC23
AC24
AC20
AC21
AA2
AD24

P2-7

TESTHI12
TESTHI11
TESTHI10
TESTHI9
TESTHI8
TESTHI7
TESTHI6
TESTHI5
TESTHI4
TESTHI3
TESTHI2
TESTHI1
TESTHI0

H1 VSS
H4 VSS
H23 VSS
H26 VSS
A11 VSS
A13 VSS
A15 VSS
A17 VSS
A19 VSS
A21 VSS
A24 VSS
A26 VSS
A3 VSS
A9 VSS
AA1 VSS
AA11 VSS
AA13 VSS
AA15 VSS
AA17 VSS
AA19 VSS
AA23 VSS
AA26 VSS
AA4 VSS
AA7 VSS
AA9 VSS
AB10 VSS
AB12 VSS
AB14 VSS
AB16 VSS
AB18 VSS
AB20 VSS
AB21 VSS
AB24 VSS
AB3 VSS
AB6 VSS
AB8 VSS
AC11VSS
AC13VSS
AC15VSS
AC17VSS
AC19VSS
AC2 VSS
AC22VSS
AC25VSS
AC5 VSS
AC7 VSS
AC9 VSS
AD1 VSS
AD10VSS
AD12VSS
AD14VSS
AD16VSS
AD18VSS
AD21VSS
AD23VSS
AD4 VSS
AD8 VSS
AE11 VSS
AE13 VSS
AE15 VSS
AE17 VSS
AE19 VSS
AE22 VSS
AE24 VSS
AE26 VSS
AE7 VSS
AE9 VSS
AF1 VSS
AF10 VSS
AF12 VSS
AF14 VSS
AF16 VSS
AF18 VSS
AF20 VSS
AF26 SKTOCC#
AF6 VSS
AF8 VSS
B10 VSS
B12 VSS
B14 VSS
B16 VSS
B18 VSS
B20 VSS
B23 VSS
B26 VSS
B4 VSS
B8 VSS
C11 VSS
C13 VSS
C15 VSS
C17 VSS
C19 VSS
C2 VSS

A10
A12
A14
A16
A18
A20
A8
AA10
AA12
AA14
AA16
AA18
AA8
AB11
AB13
AB15
AB17
AB19
AB7
AB9
AC10
AC12
AC14
AC16
AC18
AC8
AD11
AD13
AD15
AD17
AD19
AD7
AD9
AE10
AE12
AE14
AE16
AE18
AE20
AE6
AE8
AF11
AF13
AF15
AF17
AF19
AF2
AF21
AF5
AF7
AF9
B11
B13
B15
B17
B19
B7
B9
C10
C12
C14
C16
C18
C20
C8
D11
D13
D15
D17
D19
D7
D9
E10
E12
E14
E16
E18
E20
E8
F11
F13
F15
F17
F19
F9

VCCP

VCCP

R23
R33
R392
R352
R20
R356
R371

51.1_1%

R62

51.1_1%

R22

51.1_1%

P2-8
P2-9

P2-11 R43
P2-10
R362

51.1_1%
51.1_1%
51.1_1%
51.1_1%
51.1_1%
51.1_1%

VCCP

51.1_1%
51.1_1%

MPGA478B_P4

GND
GND

RS-[0..2]
5

HD-[0..63]

HA-[3..31]
5

39

HREQ-[0..4]
VID[0..4]

RS-[0..2]
HD-[0..63]

HA-[3..31]
HREQ-[0..4]

ECS CORP. (G732 M/B )

VID[0..4]
Title

CPU_FSBI-1

Size
A3

Document Number

Date:

Tuesday, March 11, 2003

Rev
1.0

G732-1-4-01
Sheet

of

41

1
VCCP

HDSTBP-[0..3]

5 HDSTBN-[0..3]

CPUGTLVREFA
CPUGTLVREFB

HDSTBN-[0..3]

R67
R34

49.9_1%
49.9_1%

DBI-[0..3]

DBI-[0..3]

CB2

CB3

CB4

R71

CB6

CB1

CB5

R24

220PF

220PF

1UF

100_1%

220PF

220PF

1UF

100_1%

HASTB-[0..1]

CLOSE TO U30
VCCP

L48
4_7UH_X
1

U37B

L2

VCCIOPLL

AE23

VCCIOPLL

VCCA

AD20

VCCA

AD22

VSSA

CT33

47UF/10V-D VSSA

CT9
R374
R370

4_7UH_X
47UF/10V-D
P3-1
P3-2

51_1%
51_1%

AC26
AD26

DBI-3
DBI-2
DBI-1
DBI-0

V21
P26
G25
E21

HASTB-1
HASTB-0
DBRESET

17
17

NMI
INTR

32
32

CPUCLK-0
CPUCLK0
51.1_1%
R66
R69
51.1_1%

VCC_SENSE

NMI
INTR

E5
D1

CPUCLK-0
CPUCLK0

AF23
AF22

COMP1
COMP0
HDSTBP-3
HDSTBP-2
HDSTBP-1
HDSTBP-0
HDSTBN-3
HDSTBN-2
HDSTBN-1
HDSTBN-0

C201
0.1UF
VSS_SENSE

39 VCC_SENSE

R5
L5
AE25

P1
L24
W23
P23
J23
F21
W22
R22
K22
E22

VCC_SENSE
VSS_SENSE

A5
A4
E11
E13
E15
E17
E19
E23
E26
E4
E7
E9
F10
F12
F14
F16
F18
F2
F22
F25
F5
F8
G21
G24
G3

DB#3
DB#2
DB#1
DB#0
ADSTB1
ADSTB0
DBRESET
LINT1
LINT0
BCLK1
BCLK0
COMP1
COMP0
STBP3
STBP2
STBP1
STBP0
STBN3
STBN2
STBN1
STBN0
VCC_SENSE
VSS_SENSE

VCCP

IERR
MCERR
FERR
STPCLK
BINIT
INIT
RSP
DBSY
DRDY
TRDY
ADS
LOCK
BR0
BNR
HIT
HITM
BPRI
DEFER
TCK
TDI
TMS
TRST
TDO
PROCHOT
IGNNE
SMI
A20M
SLP
PWRGOOD
RESET

THERMDA
THERMDC
THERMTRIP

AC3
V6
B6
Y4
AA3
W5
AB2
H5
H2
J6
G1
G4
H6
G2
F3
E3
D2
E2
D4
C1
F7
E6
D5
C3
B2
B5
C6
AB26
AB23
AB25
B3
C4
A2

BSEL0
BSEL1

AD6
AD5

AP0
AP1

AC1
V5

VCCVID
VCCVIDPRG

AF4
AF3

VCCP
FERRSTPCLKINITDBSYDRDYHTRDYADSHLOCKBREQ0BNRHITHITMBPRIDEFERHTCK
HTDI
HTMS
HTRSTHTDO
PROCHOTIGNNESMIA20MCPUSLPCPUPWRGD
CPURST-

FERRSTPCLK-

17
17

INIT-

17

DBSYDRDYHTRDYADSHLOCKBREQ0BNRHITHITMBPRIDEFER-

5
5
5
5
5
5
5
5
5
5
5

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

R447

62 1%

BREQ0-

R410

51_1%

PROCHOT-

R88

62 1%

CPUPWRGD

R38

51_1%

THERMTRIP-

R101

62 1%

A20M-

R103

56 1%

STPCLK-

R49

56 1%

CPUSLP-

R384

56 1%

C61

0.1UF
C91

0.1UF

SMI-

R102

56 1%

INIT-

R54

56 1%

IGNNE-

R94

56 1%

INTR

R83

56 1%
56 1%

C71

0.1UF

DXP
DXN
THERMTRIP-

IGNNESMIA20MCPUSLPCPUPWRGD
CPURST-

17
17
17
17
5
5

DXP
DXN

20
20

C141

0.1UF
NMI

R79

HTMS

R78

39

DBRESET

R361

150

CPURST-

R389

51_1%

HTDO

R84

75

HTDI

R100

150

HTCK

R81

27

HTRST-

R80

680

C195

0.1UF
C37

0.1UF
C58

VCC_VID
VCC_VID
GND

0.1UF
VCC_VID =1.2V/30mA

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

FERR-

C108

Y5
Y25
Y22
Y2
W6
W3
W24
W21
V4
V26
V23
V1
U5
U25
U22
U2
T6
T3
T24
T21
R4

0.1UF

G6
J2
J22
J25
J5
K21
K24
K3
K6
L1
L23
L26
L4
M2
M22
M25
M5
N21
N24
N3
N6
P2
P22
P25
P5
R1
R23
R26

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

ITP_CLK0
ITP_CLK1

AA21
AA6
F20
F6

GTLREF0
GTLREF1
GTLREF2
GTLREF3

HASTB-[0..1]

C25
C5
C7
C9
D10
D12
D14
D16
D18
D20
D21
D24
D3
D6
D8
E1
C22

CLOSE TO U30

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

HDSTBP-[0..3]

MPGA478B_P4

A
ECS CORP. (G732 M/B )
Title
CPU_FSBII-2

Size
A3

Document Number

Date:

Tuesday, March 11, 2003

Rev
1.0

G732-1-4-01
Sheet

of

41

D
VCCP

VCCP

Put these capacitors at processor NORTH SIDE

Put these capacitors INSIDE PROCESSOR CAVITY

CT2

CT7

CT8

10UF/10V_1206

10UF/10V_1206

10UF/10V_1206

CT1
10UF/10V_1206
CT29

CT27

CT36
10UF/10V_1206

CT28

10UF/10V_1206

CT34

10UF/10V_1206

CT30

CT31

CT35

CT38

10UF/10V_1206
CT32

10UF/10V_1206

10UF/10V_1206

10UF/10V_1206

10UF/10V_1206

CT3

CT4

CT5

CT6

10UF/10V_1206

10UF/10V_1206

10UF/10V_1206

10UF/10V_1206

10UF/10V_1206

CT42

10UF/10V_1206
CT43

10UF/10V_1206
CT41

CT37

10UF/10V_1206

10UF/10V_1206

CT40

10UF/10V_1206

CT39

10UF/10V_1206

10UF/10V_1206

CLOSE TO U30 BOTTOM SIDE


VCCP
VCCP

Put these capacitors at processor SOUTH SIDE


CT10

GND

10UF/10V_1206
CT50

CT49

CT51

B
10UF/10V_1206
CT48

10UF/10V_1206

10UF/10V_1206

CT47

CT46
CT52

10UF/10V_1206

10UF/10V_1206
CT12

10UF/10V_1206

CT18

CT17

10UF/10V_1206
CT16

10UF/10V_1206
10UF/10V_1206

10UF/10V_1206

CT15

CT13

10UF/10V_1206

10UF/10V_1206

10UF/10V_1206
CT14
10UF/10V_1206

A
ECS CORP. (G732 M/B)
Title
CPU_POWER-3

Size
A3

Document Number

Date:

Tuesday, March 11, 2003

Rev
1.0

G732-1-4-01
Sheet

of

41

HDSTBP-[0..3]

3 HDSTBN-[0..3]

HA-[3..31]

G_SBA7
G_SBA6
G_SBA5
G_SBA4
G_SBA3
G_SBA2
G_SBA1
G_SBA0

G_ST0
G_ST1
G_ST2
G_AD0
G_AD1
G_AD2
G_AD3
G_AD4
G_AD5
G_AD6
G_AD7
G_AD8
G_AD9
G_AD10
G_AD11
G_AD12
G_AD13
G_AD14
G_AD15
G_AD16
G_AD17
G_AD18
G_AD19
G_AD20
G_AD21
G_AD22
G_AD23
G_AD24
G_AD25
G_AD26
G_AD27
G_AD28
G_AD29
G_AD30
G_AD31

R123

P5-1

AGPWBF#

RS#2
RS#1
RS#0

ADSHITMHITDRDYDBSYBNR-

V28
T28
U28
W26
V24
V27

ADS#
HITM#
HIT#
DRDY#
DBSY#
BNR#

W28
W29
W24
W25
Y27

HREQ#4
HREQ#3
HREQ#2
HREQ#1
HREQ#0

B
VCCP

AD24
AA24

HASTB#1
HASTB#0

HA-31
HA-30
HA-29
HA-28
HA-27
HA-26
HA-25
HA-24
HA-23
HA-22
HA-21
HA-20
HA-19
HA-18
HA-17
HA-16
HA-15
HA-14
HA-13
HA-12
HA-11
HA-10
HA-9
HA-8
HA-7
HA-6
HA-5
HA-4
HA-3

AF26
AE25
AH28
AD26
AG29
AE26
AF28
AC24
AG28
AE29
AD28
AC25
AD27
AE28
AF27
AB24
AB26
AC28
AC26
AC29
AA26
AB28
AB27
AA25
AA29
AA28
Y26
Y24
Y28

HA#31
HA#30
HA#29
HA#28
HA#27
HA#26
HA#25
HA#24
HA#23
HA#22
HA#21
HA#20
HA#19
HA#18
HA#17
HA#16
HA#15
HA#14
HA#13
HA#12
HA#11
HA#10
HA#9
HA#8
HA#7
HA#6
HA#5
HA#4
HA#3

R446

D6
A3
D7
C5
A5
C6
D8
C7

B20
B19
A19

U21
T21
P21
N21
J17

A7
F9
B7
M6
M5
M4
L3
L6
L4
K6
L2
K3
J3
K4
J2
J6
J4
J1
H6
F4
F1
G6
E3
F5
E2
E4
E1
D3
D4
C2
F7
C3
E6
B2
D5

AGP

645-1

Rds-on(n) = 10 ohm
HNCVERF = 1/3 VCCP

AC/BE#3
AC/BE#2
AC/BE#1
AC/BE#0

F6
F3
H4
K5

AREQ#
AGNT#
AFRAME#
AIRDY#
ATRDY#
ADEVSEL#
ASERR#
ASTOP#

C9
A6
G2
G1
G3
G4
H5
H1

APAR

H3

RBF#
WBF#
PIPE#

E8
F8
D9

P5-1

P5-2
P5-3

G_REQG_GNTG_FRAMEG_IRDYG_TRDYG_DEVSEL-

11
11
11
11
11
11

G_STOP-

11

G_PAR

11

G_RBF-

11

VGA1.5VS
R124
VGA1.5VS

10K
R120 10K

R112 10K

D10
B3
C4
B5
A4

G_SB_STB
G_SB_STB-

11
11

AD_STB0
AD_STB#0

K1
L1

G_AD_STB0
G_AD_STB0-

11
11

AD_STB1
AD_STB#1

C1
D1

G_AD_STB1
G_AD_STB1-

11
11

B10

AGPCLK0

AGPRCOMP

M1

AGPRCOMP

A1XAVDD
A1XAVSS

B9
A9

A1XAVDD
A1XAVSS

A4XAVDD
A4XAVSS

B8
A8

A4XAVDD
A4XAVSS

M3
M2

AGPREF

HDSTBN#3
HDSTBN#2
HDSTBN#1
HDSTBN#0

F20
F23
K24
P24

HDSTBN-3
HDSTBN-2
HDSTBN-1
HDSTBN-0

HDSTBP#3
HDSTBP#2
HDSTBP#1
HDSTBP#0

F21
F24
L24
N25

HDSTBP-3
HDSTBP-2
HDSTBP-1
HDSTBP-0

AGPVREF
AGPVSSREF

HOST

G_CBE-3
G_CBE-2
G_CBE-1
G_CBE-0

SB_STB
SB_STB#

AGPCLK

B21
F19
A21
E19
D22
D20
B22
C22
B23
A23
D21
F22
D24
D23
C24
B24
E25
E23
D25
A25
C26
B26
B27
D26
B28
E26
F28
G25
F27
F26
G24
H24
G29
J26
G26
J25
H26
G28
H28
J24
K28
J29
K27
J28
M24
L26
K26
L25
L28
M26
P26
L29
N24
N26
M27
N28
P27
N29
R24
R28
M28
P28
R26
R29

HNCOMP

AGP8XDET
ADBIH
ADBIL

HASTB-1
HASTB-0

P5-2
U42A

SBA7
SBA6
SBA5
SBA4
SBA3
SBA2
SBA1
SBA0

T24
T26
U29

11

0_R

ST0
ST1
ST2
AAD0
AAD1
AAD2
AAD3
AAD4
AAD5
AAD6
AAD7
AAD8
AAD9
AAD10
AAD11
AAD12
AAD13
AAD14
AAD15
AAD16
AAD17
AAD18
AAD19
AAD20
AAD21
AAD22
AAD23
AAD24
AAD25
AAD26
AAD27
AAD28
AAD29
AAD30
AAD31

RS-2
RS-1
RS-0

HPCOMP
HNCOMP
HNCOMPVREF

HLOCK#
DEFER#
HTRDY#
CPURST#
CPUPWRGD
BPRI#
BREQ0#

HVREF0
HVREF1
HVREF2
HVREF3
HVREF4

U24
U26
V26
C20
D19
T27
U25

CPUCLK
CPUCLK#

AH27
AJ27

AH25
AJ25

HLOCKDEFERHTRDYCPURSTCPUPWRGD
BPRIBREQ0-

HREQ-4
HREQ-3
HREQ-2
HREQ-1
HREQ-0

20 1%

11

VGA1.5VS

AGPCLK0

R134
300

32
VGA1.5VS
AGPREF
R470

AGPRCOMP

R467
300
60.4_1%

AGPREF

11

VCC3
L52
FCM2012V-121RC10-0805
1
2

CLOSE TO U34
A1XAVDD

DBI#3
DBI#2
DBI#1
DBI#0

ADSHITMHITDRDYDBSYBNR-

G_SBA[0..7]

11

E21
A27
H27
R25

3
3
3
3
3
3

AJ26
AH26

C4XAVSS
C4XAVDD

HLOCKDEFERHTRDYCPURSTCPUPWRGD
BPRIBREQ0-

CPUCLK1
CPUCLK-1

C1XAVSS
C1XAVDD

3
3
3
3
3
3
3

CPUCLK1
CPUCLK-1

11

R121

RS-[0..2]

RS-[0..2]

32
32

G_CBE-[0..3]

HREQ-[0..4]

HREQ-[0..4]

HNCVREF
HNCOMP
HPCOMP

HASTB-[0..1]

HA-[3..31]
2

G_AD[0..31]

G_SBA[0..7]

HD-[0..63]

HASTB-[0..1]

11

G_CBE-[0..3]

G_AD[0..31]

DBI-[0..3]
HVREF

G_ST[0..2]

HDSTBN-[0..3]

C4XAVDD
C4XAVSS

DBI-[0..3]
HD-[0..63]

G_ST[0..2]

C1XAVDD
C1XAVSS

3
2

HDSTBP-[0..3]

HD#63
HD#62
HD#61
HD#60
HD#59
HD#58
HD#57
HD#56
HD#55
HD#54
HD#53
HD#52
HD#51
HD#50
HD#49
HD#48
HD#47
HD#46
HD#45
HD#44
HD#43
HD#42
HD#41
HD#40
HD#39
HD#38
HD#37
HD#36
HD#35
HD#34
HD#33
HD#32
HD#31
HD#30
HD#29
HD#28
HD#27
HD#26
HD#25
HD#24
HD#23
HD#22
HD#21
HD#20
HD#19
HD#18
HD#17
HD#16
HD#15
HD#14
HD#13
HD#12
HD#11
HD#10
HD#9
HD#8
HD#7
HD#6
HD#5
HD#4
HD#3
HD#2
HD#1
HD#0

SIS645

CB125

CB124

CT45

0.1UF

0.01UF

10UF/10V_1206

A1XAVSS

R445
HPCOMP
DBI-0
DBI-1
DBI-2
DBI-3

HD-0
HD-1
HD-2
HD-3
HD-4
HD-5
HD-6
HD-7
HD-8
HD-9
HD-10
HD-11
HD-12
HD-13
HD-14
HD-15
HD-16
HD-17
HD-18
HD-19
HD-20
HD-21
HD-22
HD-23
HD-24
HD-25
HD-26
HD-27
HD-28
HD-29
HD-30
HD-31
HD-32
HD-33
HD-34
HD-35
HD-36
HD-37
HD-38
HD-39
HD-40
HD-41
HD-42
HD-43
HD-44
HD-45
HD-46
HD-47
HD-48
HD-49
HD-50
HD-51
HD-52
HD-53
HD-54
HD-55
HD-56
HD-57
HD-58
HD-59
HD-60
HD-61
HD-62
HD-63

113_1%

Rds-on(p) = 56 ohm
HPCVERF = 2/3 VCCP

VCC3
L28
FCM2012V-121RC10-0805
1
2

A4XAVDD

VCCP

VCCP
VCC3
L67
FCM2012V-121RC10-0805
1
2

CLOSE TO U34
C1XAVDD

R141
75_1%

R97
150_1%

CB28
0.01UF
HVREF

R142
150_1%

CB37
0.01UF

CB20
0.1UF

C4XAVDD

CB150

CB157

CT60

0.1UF

0.01UF

10UF/10V_1206

CB10

CT11

0.1UF

0.01UF

10UF/10V_1206

A4XAVSS

CB149

CB156

CT59

0.1UF

0.01UF

10UF/10V_1206
R493

R504
GND

C1XAVSS

CB7
0.01UF

ECS CORP. (G732 M/B)

C4XAVSS
Title

0_0805

CB9

CB8
0.01UF
HNCVREF

R98
75_1%

VCC3
L66
FCM2012V-121RC10-0805
1
2

CLOSE TO U34

SIS650-1

0_0805

Size
A3

Document Number

Date:

Tuesday, March 11, 2003

Rev
1.0

G732-1-4-01
Sheet

of

41

5
/RMD14 RP15 1
/RMD15
2
/RDQM2
3
/RMD22
4

8 10X4
7
6
5

/RDQM4
RP10 1
/RMD44
2
/RMD45
3
/RDQM5
4

8 10X4
7
6
5

4
MD14
MD15
DQM2
MD22
DQM4
MD44
MD45
DQM5

MD3
MD8
MD9
DQS1

RP49 1
2
3
4

8 10X4
7
6
5

/RMD3
/RMD8
/RMD9
/RDQS1

MD35
MD40
MD46
MD47

RP38 1
2
3
4

8 10X4
7
6
5

/RMD35
/RMD40
/RMD46
/RMD47

3
/RMD[0..63]
/RDQM[0..7]
/RDQS[0..7]
/RMA[0..14]
/RCS-[0..3]

/RMD[0..63]

9,10

/RDQM[0..7]

9,10

/RDQS[0..7]

9,10

/RMA[0..14]

9,10

/RCS-[0..3]

9,10

CKE[0..3]

CKE[0..3]

9,10

Rs place close to DIMM1

Rs place close to DIMM1

1
2
3
4
8
7
6
5
1
2
3
4
8
7
6
5
1
2
3
4
1
2
3
4
1
2
3
4
8
7
6
5
1
2
3
4
8
7
6
5
1
2
3
4
8
7
6
5
1
2
3
4
1
2
3
4
1
2
3
4
1
2
3
4

10X4

MD0
MD1

10X4

MD2
MD4
MD5

DQS0

10X4

10X4

10X4

10X4

10X4

10X4

10X4

10X4

10X4

10X4

10X4

10X4

10X4

10X4

DQM0
MD6
MD10
MD11
MD20
MD21
MD7
MD12
MD13
DQM1
MD16
MD17
DQS2
MD18
MD23
MD19
MD28
MD24
MD25
DQS3
MD26
MD27
MD29
DQM3
MD30
MD31
MD32
MD33
DQS4
MD34
MD36
MD37
MD38
MD39
MD41
DQS5
MD42
MD43
MD52
MD53
DQM6
MD54
MD50
MD51
MD56
MD57
MD55
MD48
MD49
DQS6
DQS7
MD60
MD61
DQM7
MD58
MD59
MD62
MD63

R187
150_1%

CB53
0.01UF

SRAS#
SCAS#
SWE#

AH8
AJ7
AH7

CS#0
CS#1
CS#2
CS#3
CS#4
CS#5

AE7
AF7
AH6
AJ5
AF8
AD7

CKE0
CKE1
CKE2
CKE3
CKE4
CKE5
S3AUXSW#

AB2
AA4
AB1
Y6
AA5
Y5
Y4

SDCLK

645-2
DDR

/RMA0
/RMA1
/RMA2
/RMA3
/RMA4
/RMA5
/RMA6
/RMA7
/RMA8
/RMA9
/RMA10
/RMA11
/RMA12
/RMA13
/RMA14

AH11
AF12
AH12
AG12
AD12
AH15
AF15
AH16
AE15
AD15
AF11
AG8
AJ11
AG16
AF16

MA0
MA1
MA2
MA3
MA4
MA5
MA6
MA7
MA8
MA9
MA10
MA11
MA12
MA13
MA14

VCC_DIMM

/RSRAS/RSCAS/RSWE-

9,10
9,10
9,10

R496
150_1%

CB152
0.01UF

/RCS-0
/RCS-1
/RCS-2
/RCS-3

CURRENT
LEAKAGE!!!

CKE0
CKE1
CKE2
CKE3

VCC3
L58
FCM2012V-121RC10-0805
1
2

SDAVDD

CB136
0.1UF

CB137
0.01UF

CT56
10UF/10V_1206

SIS_S3AUXSWSDAVSS

AA3

SDCLK

AD11

P6-1

SDRCLKI

AE11

SDAVDD

Y1

SDAVDD

SDAVSS

Y2

SDAVSS

R494
22

FWDSDCLKO

SDCLK

32

FWDSDCLKO

33

B
VCC3
L64
FCM2012V-121RC10-0805
1
2

C562
DDRAVDD
10PF

AA1

DDRAVDD

AA2

DDRAVSS

DDRVREFA
DDRVREFB

AJ19
AH2

DDRVREFA
DDRVREFB

DRAM_SEL

W3

P6-2

DDRAVSS

DDRVREFB

/RSRAS/RSCAS/RSWE-

FWDSDCLKO

DDRAVDD

R497
150_1%

CB151
0.01UF

CB141
0.1UF

CB142
0.01UF

CT58
10UF/10V_1206
GND

DDRAVSS

+3VAUX
R156

4.7K

+3VAUX

R167

SIS645
10K

35,38 S3AUXSW-

Q7
2N7002
D
S

8
7
6
5
1
2
3
4
8
7
6
5
1
2
3
4
8
7
6
5
8
7
6
5
8
7
6
5
1
2
3
4
8
7
6
5
1
2
3
4
8
7
6
5
1
2
3
4
8
7
6
5
8
7
6
5
8
7
6
5
8
7
6
5

DDRVREFA

SIS_S3AUXSW-

ECS CORP. (G732 M/B)

/RMD0 RP48
/RMD1
/RDQS0
/RMD2
/RMD4 RP13
/RMD5
/RDQM0
/RMD6
/RMD10 RP44
/RMD11
/RMD20
/RMD21
/RMD7 RP14
/RMD12
/RMD13
/RDQM1
/RMD16 RP45
/RMD17
/RDQS2
/RMD18
/RMD23 RP46
/RMD19
/RMD28
/RMD24
/RMD25 RP47
/RDQS3
/RMD26
/RMD27
/RMD29 RP12
/RDQM3
/RMD30
/RMD31
/RMD32 RP37
/RMD33
/RDQS4
/RMD34
/RMD36 RP9
/RMD37
/RMD38
/RMD39
/RMD41 RP39
/RDQS5
/RMD42
/RMD43
/RMD52 RP11
/RMD53
/RDQM6
/RMD54
/RMD50 RP41
/RMD51
/RMD56
/RMD57
/RMD55 RP40
/RMD48
/RMD49
/RDQS6
/RDQS7
RP42
/RMD60
/RMD61
/RDQM7
/RMD58 RP43
/RMD59
/RMD62
/RMD63

MD0
MD1
MD2
MD3
MD4
MD5
MD6
MD7
DQM0
DQS0/CSB#0
MD8
MD9
MD10
MD11
MD12
MD13
MD14
MD15
DQM1
DQS1/CSB#1
MD16
MD17
MD18
MD19
MD20
MD21
MD22
MD23
DQM2
DQS2/CSB#2
MD24
MD25
MD26
MD27
MD28
MD29
MD30
MD31
DQM3
DQS3/CSB#3
MD32
MD33
MD34
MD35
MD36
MD37
MD38
MD39
DQM4
DQS4/CSB#4
MD40
MD41
MD42
MD43
MD44
MD45
MD46
MD47
DQM5
DQS5/CSB#5
MD48
MD49
MD50
MD51
MD52
MD53
MD54
MD55
DQM6
DQS6/CSB#6
MD56
MD57
MD58
MD59
MD60
MD61
MD62
MD63
DQM7
DQS7/CSB#7

Resistors place close to DIMM1

AJ23
AG22
AH21
AJ21
AD23
AE23
AF22
AF21
AD22
AH22
AD21
AG20
AE19
AF19
AE21
AD20
AD19
AH19
AF20
AH20
AF18
AG18
AH17
AD16
AD18
AD17
AF17
AJ17
AE17
AH18
AD14
AG14
AJ13
AE13
AJ15
AF14
AD13
AF13
AH13
AH14
AD10
AH10
AE9
AD8
AG10
AF10
AH9
AF9
AD9
AJ9
AH5
AG4
AE5
AH3
AG6
AF6
AF5
AF4
AH4
AJ3
AE4
AD6
AE2
AC5
AG2
AG1
AF3
AC6
AD4
AF2
AB6
AD3
AA6
AB3
AC4
AE1
AD2
AC1
AB4
AC2

R194
150_1%

CB54
0.01UF

U42B
MD0
MD1
MD2
MD3
MD4
MD5
MD6
MD7
DQM0
DQS0
MD8
MD9
MD10
MD11
MD12
MD13
MD14
MD15
DQM1
DQS1
MD16
MD17
MD18
MD19
MD20
MD21
MD22
MD23
DQM2
DQS2
MD24
MD25
MD26
MD27
MD28
MD29
MD30
MD31
DQM3
DQS3
MD32
MD33
MD34
MD35
MD36
MD37
MD38
MD39
DQM4
DQS4
MD40
MD41
MD42
MD43
MD44
MD45
MD46
MD47
DQM5
DQS5
MD48
MD49
MD50
MD51
MD52
MD53
MD54
MD55
DQM6
DQS6
MD56
MD57
MD58
MD59
MD60
MD61
MD62
MD63
DQM7
DQS7

VCC_DIMM

AS CLOSE AS POSSIBLE TO U34

Title
G

SiS645-2
+3VAUX

Size
A3

Document Number

Date:

Tuesday, March 11, 2003

Rev
1.0

G732-1-4-01
Sheet

of

41

NOTE: This page is for universal PCB design( suitable for both 645 or 650)

NB Hardware Trap Table


16

ZAD[0..15]

16

ZSTB[0..1]

16

ZSTB-[0..1]

ZAD[0..15]
0
enable PLL
SDR
normal

ZSTB-[0..1]

TRAP1
CSYNC
RSYNC
LSYNC

TV selection, NTSC/PAL(0/1)
enable VB
enable VGA interface
enable panel link

embedded pull-low
(30~50K Ohm)
yes
yes
yes

Default
0
1(DDR)
0

1
disable PLL
DDR
NB debug mode

DLLEN#
DRAM_SEL
TRAP0

ZSTB[0..1]

0
0
1
0

U42C

ZUREQ
ZDREQ

U6
U1

ZUREQ
ZDREQ

ZSTB0
ZSTB-0

T3
T1

ZSTB0
ZSTB#0

ZSTB1
ZSTB-1

P1
P3

ZSTB1
ZSTB#1

ZAD0
ZAD1
ZAD2
ZAD3
ZAD4
ZAD5
ZAD6
ZAD7
ZAD8
ZAD9
ZAD10
ZAD11
ZAD12
ZAD13
ZAD14
ZAD15

T4
R3
T5
T6
R2
R6
R1
R4
P4
N3
P5
P6
N1
N6
N2
N4

ZAD0
ZAD1
ZAD2
ZAD3
ZAD4
ZAD5
ZAD6
ZAD7
ZAD8
ZAD9
ZAD10
ZAD11
ZAD12
ZAD13
ZAD14
ZAD15

ZVREF

U3

ZVREF

VDDZCMP
ZCMP_N
ZCMP_P
VSSZCMP

V5
U4
U2
V6

VDDZCMP
ZCMP_N
ZCMP_P
VSSZCMP

Z1XAVDD
Z1XAVSS

W1
W2

Z1XAVDD
Z1XAVSS

Z4XAVDD
Z4XAVSS

V2
V1

Z4XAVDD
Z4XAVSS

11,20,21,24,28,29,35 PCIRST17,34
PWRGD
17,30,34 AUXOK

VOSCI

C15

ROUT
GOUT
BOUT

A12
B13
A13

HSYNC
VSYNC

F13
E13

VGPIO0
VGPIO1

D13
D12

INT#A

B11

CSYNC
RSYNC
LSYNC

E12
A11
F12

VCOMP
VRSET
VVBWN

E14
D14
F14

DACAVDD1
DACAVSS1

B12
C12

DACAVDD2
DACAVSS2

C13
C14

DCLKAVDD
DCLKAVSS

B15
A15

ECLKAVDD
ECLKAVSS

B14
A14

VGA

645-3
HyperZip

PCIRSTPWRGD
AUXOK

E11 DLLEN#
F10 ENTEST

ZCLK

SIS645

ENTEST

ZUREQ
ZDREQ

V3

A10 TESTMODE2
F11 TESTMODE1
C11 TESTMODE0

16
16

ZCLK0

D11 TRAP1
E10 TRAP0

ZCLK0

Y3 PCIRST#
W4 PWROK
W6 AUXOK

32

AS CLOSE AS POSSIBLE TO U34


VCC1.8V L30
FCM2012V-121RC10-0805
1
2
C238
10UF/10V_1206

VDDZCMP

C227

C228

0.1UF

0.01UF

VCC3
L56
FCM2012V-121RC10-0805
1
2

R145

56

ZCMP_N

CT57

R143

56

ZCMP_P

10UF/10V_1206

VCC1.8V
Z1XAVDD

C544

C545

0.1UF

0.01UF
Z1XAVSS

VSSZCMP

R139

CB32

150_1%

0.1UF

R144

CB40

ZVREF

ENTEST

R122

PWRGD

C236

0.1UF

AUXOK

C235

0.1UF

4.7K

VCC3
L55
FCM2012V-121RC10-0805
1
2

GND

CT55
10UF/10V_1206

Z4XAVDD

C539

C538

0.1UF

0.01UF
Z4XAVSS

150_1%

0.1UF

A
ECS CORP. (G732 M/B)
Title
SiS645-3

Size
A3

Document Number

Date:

Tuesday, March 11, 2003

Rev
1.0

G732-1-4-01
Sheet

of

41

VCCP

VCC1.8V

VCC3

VCC1.8V

E5
E7
E9
G5
J5
L5

VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ

H8
H9
J8
J9
J10
J13
K9
K11
K13
L10
N9
N10

VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ

N5
R5
U5
W5
P9
P10
R9
R10
T9
T10
T11

VDDZ
VDDZ
VDDZ
VDDZ
VDDZ
VDDZ
VDDZ
VDDZ
VDDZ
VDDZ
VDDZ

W10
Y11
Y13
Y15
Y17
PVDDM
PVDDM
PVDDM
PVDDM
PVDDM

J14
J15
K15
K10
K12
K14
M10

P11
PVDDZ

VDD3.3
VDD3.3
VDD3.3
VDD3.3
VDD3.3
VDD3.3
VDD3.3

L12
L14
L15
L16
L18
M11
M19
N11
P19
R11
T19
U11
V19
W11
W13
W15
W17
IVDD
IVDD
IVDD
IVDD
IVDD
IVDD
IVDD
IVDD
IVDD
IVDD
IVDD
IVDD
IVDD
IVDD
IVDD
IVDD
IVDD

VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT

H21
H22
J16
J20
J21
J22
K16
K17
K18
K19
K20
K21
L20
M20
N20
P20
R20
R21
T20
U20
V20
W20
Y20
Y21
AA20
AA21
AA22
AB21
AB22
VDDM
VDDM
VDDM
VDDM
VDDM
VDDM
VDDM
VDDM
VDDM
VDDM
VDDM
VDDM
VDDM
VDDM
VDDM
VDDM
VDDM
VDDM
VDDM
VDDM
VDDM
VDDM

10UF/10V_1206
CB45
AUX1.8
AUX3.3

U10
U9

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

A20
A22
A24
A26
C19
C21
C23
C25
C27
E20
E22
E24
F25
H25
K25
M25
P25
T25
V25
Y25
AB25
AD25
E27
G27
J27
L27
N27
R27
U27
W27
AA27
AC27
AE27
D29
F29
H29
K29
M29
P29
T29
V29
Y29
AB29
AD29
AF29
AE24
AG25
B4
B6
C8
C10
D2
F2
H2
K2

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

P2
T2
V4
AD1
AF1
AC3
AE3
AG3
AG5
AG7
AG9
AG11
AG13
AG15
AG17
AG19
AG21
AG23
AJ4
AJ6
AJ8
AJ10
AJ12
AJ14
AJ16
AJ18
AJ20
AJ22
AJ24
AG27

SIS645

645-4
Power/Gnd

10UF/10V_1206
CB26

CT21

U42D

B25 VSS
C28 VSS
C29 VSS
D27 VSS
D28 VSS
E28 VSS
E29 VSS
AF23 VSS
AF24 VSS
AF25 VSS
AG24VSS
AG26VSS
AH23VSS
AH24VSS

V10
V11
W18
Y9
Y10
Y12
Y14
Y16
Y18
Y19
AA8
AA9
AA10
AA13
AA14
AA15
AA16
AA17
AB8
AB9
AB13
AB17

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

VGA1.5VS

VDDM
VDDM
VDDM
VDDM
VDDM
VDDM
VDDM
VDDM
VDDM
VDDM
VDDM

+3VAUX
CT22

M12
M13
M14
M15
M16
M17
M18
N12
N13
N14
N15
N16
N17
N18
P12
P13
P14
P15
P16
P17
P18
R12
R13
R14
R15
R16
R17
R18
T12
T13
T14
T15
T16
T17
T18
U12
U13
U14
U15
U16
U17
U18
V12
V13
V14
V15
V16
V17
V18

AB5
AD5
AE6
AE8
AE10
AE12
AE14
AE16
AE18
AE20
AE22

IVDD
IVDD
IVDD
IVDD
IVDD
IVDD

VCC_DIMM

VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT
VTT

L17
L19
N19
R19
U19
W19

A16
A17
A18
B16
B17
B18
C16
C17
C18
D15
D16
D17
D18
E15
E16
E17
E18
F15
F16
F17
F18

1
CT19

SISAUX1.8

VCCP

VCC3

+3VAUX

SISAUX1.8
+3VAUX

10UF/10V_1206
CB43

1UF
CB21

1UF
CB46

1UF
CB44

0.1UF
CB27

0.1UF

0.1UF

0.1UF

VCCP

VCC1.8V

CT53
10UF/10V_1206

CB12

CB134

CB131

1UF
CB14

0.1UF
CB17

0.1UF
CB47

CT20

1UF
CB23

0.1UF
CB126

0.1UF
CB129

1UF
CB25

1UF
CB41

0.1UF

0.1UF
CB48

0.1UF
CB24

0.1UF

0.1UF

GND

10UF/10V_1206
CB29

CT44
10UF/10V_1206
CT54
10UF/10V_1206

1UF

VCC_DIMM

C559

VGA1.5VS

10UF/10V_1206
CB19

CB30

0.1UF
CB16

0.1UF
CB31

0.1UF
CB15

0.1UF
CB128

C556

0.1UF
CB127

0.1UF

10UF/10V_1206

C557

10UF/10V_1206

C558

C233

C232

1UF_0805

0.1UF

C554

C226

1UF_0805

0.1UF

C239

C225

1UF_0805

0.1UF

C234

C240

1UF_0805

0.1UF

0.1UF
10UF/10V_1206

B
Place these capacitors under 635 solder side
+3VAUX
CB42
VCCP

VCC1.8V

VCC_DIMM

CB13

CB39

CB49

0.1UF
CB11

0.1UF
CB34

0.1UF
CB52

0.1UF
CB35
0.1UF
VGA1.5VS

VGA1.5VS

0.1UF
CB33

0.1UF
CB38

0.1UF
CB51

CB132

CB133

0.1UF
CB18

0.1UF
CB36

0.1UF
CB50

0.1UF
CB22

0.1UF
CB130

0.1UF

0.1UF

0.1UF

0.1UF

0.1UF

GND

GND

ECS CORP. (G732 M/B)


Title
SiS650-4

VCC1.8V

Size
A3

Document Number

Date:

Tuesday, March 11, 2003

Rev
1.0

G732-1-4-01
Sheet

of

41

VCC_DIMM

/RMA11
/RMA12

117
116
98

BA0
BA1
DU/BA2

121
122

CS0
CS1

/RDQM0
/RDQM1
/RDQM2
/RDQM3
/RDQM4
/RDQM5
/RDQM6
/RDQM7

12
26
48
62
134
148
170
184
78

DQM0
DQM1
DQM2
DQM3
DQM4
DQM5
DQM6
DQM7
DQM8

/RSWE/RSCAS/RSRAS-

119
120
118

WE
CAS
RAS

96
95

CKE0
CKE1

/RCS-0
/RCS-1

/RDQM[0:7]

C
6,10
6,10
6,10

/RSWE/RSCAS/RSRAS-

CKE0
CKE1
33
33
33
33
33
33

DDRCLK0
DDRCLK-0
DDRCLK1
DDRCLK-1
DDRCLK2
DDRCLK-2

6,10
6,10
6,10
6,10
6,10
6,10
6,10
6,10

/RDQS0
/RDQS1
/RDQS2
/RDQS3
/RDQS4
/RDQS5
/RDQS6
/RDQS7

DDRCLK0
DDRCLK-0
DDRCLK1
DDRCLK-1
DDRCLK2
DDRCLK-2

35
37
160
158
89
91

CK0
CK0
CK1
CK1
CK2
CK2

/RDQS0
/RDQS1
/RDQS2
/RDQS3
/RDQS4
/RDQS5
/RDQS6
/RDQS7

11
25
47
61
133
147
169
183
77

DQS0
DQS1
DQS2
DQS3
DQS4
DQS5
DQS6
DQS7
DQS8

193
195

SDA
SCL

194
196
198
1
2
199
197

SA0
SA1
SA2
VREF
VREF
VDDID
VDDSPD

86
85
123
124
200

NC//DU/RESET
NC/DU
NC/DU
NC/DU
NC/DU

17,32,33 SMBDAT
17,32,33 SMBCLK

MVREF_DIM

3
15
27
39
51
63
75
87
103
125
137
149
159
161
173
185
4
16
28
38
40
52
64
76
88
90
104
126
138
150
162
174
186

VCC_DIMM

MVREF_DIM

R207
1K_1%

MVREF_DIM
R213
1K_1%

9
21
33
45
57
69
81
93
113
131
143
155
157
167
179
191
10
22
34
36
46
58
70
82
92
94
114
132
144
156
168
180
192
5
7
13
17
6
8
14
18
19
23
29
31
20
24
30
32
41
43
49
53
42
44
50
54
55
59
65
67
56
60
66
68
127
129
135
139
128
130
136
140
141
145
151
153
142
146
152
154
163
165
171
175
164
166
172
176
177
181
187
189
178
182
188
190

CB0
CB1
CB2
CB3
CB4
CB5
CB6
CB7

71
73
79
83
72
74
80
84

/RMD0
/RMD1
/RMD2
/RMD3
/RMD4
/RMD5
/RMD6
/RMD7
/RMD8
/RMD9
/RMD10
/RMD11
/RMD12
/RMD13
/RMD14
/RMD15
/RMD16
/RMD17
/RMD18
/RMD19
/RMD20
/RMD21
/RMD22
/RMD23
/RMD24
/RMD25
/RMD26
/RMD27
/RMD28
/RMD29
/RMD30
/RMD31
/RMD32
/RMD33
/RMD34
/RMD35
/RMD36
/RMD37
/RMD38
/RMD39
/RMD40
/RMD41
/RMD42
/RMD43
/RMD44
/RMD45
/RMD46
/RMD47
/RMD48
/RMD49
/RMD50
/RMD51
/RMD52
/RMD53
/RMD54
/RMD55
/RMD56
/RMD57
/RMD58
/RMD59
/RMD60
/RMD61
/RMD62
/RMD63

/RMD[0:63]

6,10

6,10
6,10

/RMA0
/RMA1
/RMA2
/RMA3
/RMA4
/RMA5
/RMA6
/RMA7
/RMA8
/RMA9
/RMA10
/RMA13
/RMA14

112
111
110
109
108
107
106
105
102
101
115
100
99
97

A0
A1
A2
A3
A4
A5
A6
A7
A8
A9
A10/AP
A11
A12
DU/A13

/RMA11
/RMA12

117
116
98

BA0
BA1
DU/BA2

121
122

CS0
CS1

/RDQM0
/RDQM1
/RDQM2
/RDQM3
/RDQM4
/RDQM5
/RDQM6
/RDQM7

12
26
48
62
134
148
170
184
78

DQM0
DQM1
DQM2
DQM3
DQM4
DQM5
DQM6
DQM7
DQM8

/RSWE/RSCAS/RSRAS-

119
120
118

WE
CAS
RAS

96
95

CKE0
CKE1

/RCS-2
/RCS-3

CKE2
CKE3
33
33
33
33
33
33

DDRCLK3
DDRCLK-3
DDRCLK4
DDRCLK-4
DDRCLK5
DDRCLK-5

DDRCLK3
DDRCLK-3
DDRCLK4
DDRCLK-4
DDRCLK5
DDRCLK-5

35
37
160
158
89
91

CK0
CK0
CK1
CK1
CK2
CK2

/RDQS0
/RDQS1
/RDQS2
/RDQS3
/RDQS4
/RDQS5
/RDQS6
/RDQS7

11
25
47
61
133
147
169
183
77

DQS0
DQS1
DQS2
DQS3
DQS4
DQS5
DQS6
DQS7
DQS8

SMBDAT
SMBCLK

193
195

SDA
SCL

194
196
198
1
2
199
197

SA0
SA1
SA2
VREF
VREF
VDDID
VDDSPD

86
85
123
124
200

NC//DU/RESET
NC/DU
NC/DU
NC/DU
NC/DU

VCC_DIMM

MVREF_DIM
VCC_DIMM

KEYLINK_5746-2-111_DDR92_S
Outsight

GND

VCC_DIMM

D0
D1
D2
D3
D4
D5
D6
D7
D8
D9
D10
D11
D12
D13
D14
D15
D16
D17
D18
D19
D20
D21
D22
D23
D24
D25
D26
D27
D28
D29
D30
D31
D32
D33
D34
D35
D36
D37
D38
D39
D40
D41
D42
D43
D44
D45
D46
D47
D48
D49
D50
D51
D52
D53
D54
D55
D56
D57
D58
D59
D60
D61
D62
D63

VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDDQ

A0
A1
A2
A3
A4
A5
A6
A7
A8
A9
A10/AP
A11
A12
DU/A13

GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND

6,10

112
111
110
109
108
107
106
105
102
101
115
100
99
97

CB74
0.1UF

CB57
1000PF

-CS/-RAS/-CAS/-WE
R - 0101
W - 0100

CN28
Upper

3
15
27
39
51
63
75
87
103
125
137
149
159
161
173
185
4
16
28
38
40
52
64
76
88
90
104
126
138
150
162
174
186

6,10
6,10

/RMA0
/RMA1
/RMA2
/RMA3
/RMA4
/RMA5
/RMA6
/RMA7
/RMA8
/RMA9
/RMA10
/RMA13
/RMA14

201 GND
202 GND

/RMA[0:14]

GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND
GND

6,10

CKE[0:3]

CKE[0:3]

CN27
Lower

VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDDQ

9
21
33
45
57
69
81
93
113
131
143
155
157
167
179
191
10
22
34
36
46
58
70
82
92
94
114
132
144
156
168
180
192

6,10

1
VCC_DIMM

D0
D1
D2
D3
D4
D5
D6
D7
D8
D9
D10
D11
D12
D13
D14
D15
D16
D17
D18
D19
D20
D21
D22
D23
D24
D25
D26
D27
D28
D29
D30
D31
D32
D33
D34
D35
D36
D37
D38
D39
D40
D41
D42
D43
D44
D45
D46
D47
D48
D49
D50
D51
D52
D53
D54
D55
D56
D57
D58
D59
D60
D61
D62
D63

5
7
13
17
6
8
14
18
19
23
29
31
20
24
30
32
41
43
49
53
42
44
50
54
55
59
65
67
56
60
66
68
127
129
135
139
128
130
136
140
141
145
151
153
142
146
152
154
163
165
171
175
164
166
172
176
177
181
187
189
178
182
188
190

CB0
CB1
CB2
CB3
CB4
CB5
CB6
CB7

71
73
79
83
72
74
80
84

201 GND
202 GND

/RMD0
/RMD1
/RMD2
/RMD3
/RMD4
/RMD5
/RMD6
/RMD7
/RMD8
/RMD9
/RMD10
/RMD11
/RMD12
/RMD13
/RMD14
/RMD15
/RMD16
/RMD17
/RMD18
/RMD19
/RMD20
/RMD21
/RMD22
/RMD23
/RMD24
/RMD25
/RMD26
/RMD27
/RMD28
/RMD29
/RMD30
/RMD31
/RMD32
/RMD33
/RMD34
/RMD35
/RMD36
/RMD37
/RMD38
/RMD39
/RMD40
/RMD41
/RMD42
/RMD43
/RMD44
/RMD45
/RMD46
/RMD47
/RMD48
/RMD49
/RMD50
/RMD51
/RMD52
/RMD53
/RMD54
/RMD55
/RMD56
/RMD57
/RMD58
/RMD59
/RMD60
/RMD61
/RMD62
/RMD63

AMP_C-1376408-1_DDR52_S
Insight

GND

PC2100 - CL2 = 15 to Data 2-2-2/2.5-3-3


CL2.5 = 18.75 to Data
DDR266 256MB 4Bks Pmax = 8W
Ptyp = 7W
64MB/128MB/256MB - 500MB/s - 1.0W
- 1000MB/s - 1.65W
- 1500MB/s - 2.5W
- 2000MB/s - 3.2W

MVREF_DIM
MVREF_DIM
CB62
0.1UF

CB75
1000PF

ECS CORP. (G732 M/B)


AS CLOSE AS POSSIBLE TO CN46

Title

AS CLOSE AS POSSIBLE TO CN47

DDR_SODIMM

Size
A3

Document Number

Date:

Tuesday, March 11, 2003

Rev
1.0

G732-1-4-01
Sheet

of

41

SSTL-2 Termination Resistors


/RMD[0..63]

/RMD[0..63]

/RDQM[0..7]
/RDQS[0..7]

/RMA[0..14]
/RCS-[0..3]
CKE[0..3]

DDR_VTT

6,9

/RDQM[0..7]

6,9

/RDQS[0..7]

6,9

/RMA[0..14]

6,9

/RCS-[0..3]

6,9

CKE[0..3]

6,9

SDR
MD/DQM(/DQS)
MA/Control
CS
CKE

DDR
Rs
0/10/10
0

LV-CMOS
LV-CMOS
LV-CMOS
OD 3.3V

Rtt
47
47
47

Rs
10
0
0

SSTL-2
SSTL-2
SSTL-2
OD 2.5V

CB112

0.1UF

C323

0.1UF

C319

0.1UF

C325

0.1UF

C322

0.1UF

C305

0.1UF

VCC_DIMM
RP8

DDR_VTT
CKE3
CKE1
CKE0
CKE2

DDR_VTT

33X4

33X4

33X4

/RMD10
/RMD11
/RMD16
/RMD17

8
7
6
5

/RMD35
/RMD40
/RMD41
/RDQS5

8
7
6
5

/RMD7
/RMD12
/RMD13
/RDQM1

1
2
3
4

33X4

33X4

33X4

/RMD46
/RMD47
/RMD52
/RMD53

RP22 33X4
1
2
3
4
RP29 33X4
1
2
3
4
RP60

RP54
1
2
3
4

8
7
6
5

1
2
3
4
470_8P4R

DDR_VTT

DECOUPLING CAPACITOR FOR SSTL-2 END TERMIANTION VTT ISLAND


0603 Package placed within 200mils of VTT Termination R-packs
DDR_VTT

33X4
8
7
6
5
33X4
8
7
6
5

R530
R531
R223

33X4

33X4

RP26 1
2
3
4
RP25 1
2
3
4
RP58
8
7
6
5
RP57
8
7
6
5

33X4

33X4

33X4

33X4

RP27 1
2
3
4

33X4

/RSRAS/RSCAS/RSWE-

33
33
33

8
7
6
5
8
7
6
5
1
2
3
4
1
2
3
4

33X4

33X4

/RSRAS/RSCAS/RSWE-

C600

0.1UF

C601

0.1UF

CB111

0.1UF

CB168

0.1UF

CB170

0.1UF

C602

0.1UF

CB108

0.1UF

CB172

0.1UF

CB175

0.1UF

C604

0.1UF

CB107

0.1UF

CB167

0.1UF

CB179

0.1UF

CB166

0.1UF

CB180

0.1UF

CB181

0.1UF

CB109

0.1UF

CB110

0.1UF

CB183

0.1UF

DDR_VTT

6,9
6,9
6,9

/RMA3
/RMA1
/RMA10
/RMA11
/RMA14
/RMA9
/RMA7
/RMA5

CB185

0.1UF

CB106

0.1UF

CB177

0.1UF

CB178

0.1UF

CB173

0.1UF

C316

0.1UF

CB100

0.1UF

C324

0.1UF

C306

0.1UF

CB116

0.1UF

CB186

0.1UF

C304

0.1UF

CB99

0.1UF

CB115

0.1UF

33X4

33X4

/RMA2
/RMA0
/RMA12
/RMA13
/RMA8
/RMA6
/RMA4

8 33X4
7
6
5

DDR_VTT

/RCS-3
/RCS-2
/RCS-0
/RCS-1

33X4

DDR_VTT

CB114

0.1UF

CB184

0.1UF

C321

0.1UF

CB113

0.1UF

C315

0.1UF

CB176

0.1UF

C317

0.1UF

CB174

0.1UF

C318

0.1UF

CB171

0.1UF

CB182

0.1UF

CB169

0.1UF

C603

0.1UF

B
DDR_VTT

VCC_DIMM

VCC_DIMM

0.1UF

CB92

0.1UF

CB91

0.1UF

CB95

0.1UF

CB94

0.1UF

CB96

0.1UF

CB79

0.1UF

CB65

0.1UF

CB69

0.1UF

CB68

0.1UF

CB70

0.1UF

CB161

0.1UF

CB81

0.1UF

CB55

0.1UF

CB160

0.1UF

CB158

0.1UF

CB76

0.1UF

CB77

0.1UF

CB73

0.1UF

CB78

0.1UF

CB56

0.1UF

CB101
0.1UF
CB67
0.1UF
CB64
0.1UF
CB159
0.1UF
CB66
0.1UF

C320

+ C331

100UF/10V-D
1

C280

100UF/10V-D

CB93
2
0.1UF
CB80
2
0.1UF

+ C279

100UF/10V-D

100UF/10V-D

GND

CB63

0.1UF

33X4

C599

DIMM DECOUPLING

33X4

1
2
3
4
8
7
6
5
1
2
3
4
8
7
6
5
1
2
3
4
8
7
6
5
1
2
3
4
8
7
6
5
1
2
3
4
8
7
6
5
1
2
3
4
8
7
6
5
1
2
3
4
8
7
6
5
1
2
3
4
8
7
6
5

RP20 8
7
6
5
RP59 1
2
3
4
RP21 8
7
6
5
RP61 1
2
3
4
RP23 8
7
6
5
RP62 1
2
3
4
RP24 8
7
6
5
RP63 1
2
3
4
RP28 8
7
6
5
RP52 1
2
3
4
RP30 8
7
6
5
RP53 1
2
3
4
RP31 8
7
6
5
RP55 1
2
3
4
RP32 8
7
6
5
RP56 1
2
3
4

/RMD0
/RMD1
/RDQS0
/RMD2
/RMD4
/RMD5
/RDQM0
/RMD6
/RMD3
/RMD8
/RMD9
/RDQS1
/RMD14
/RMD15
/RMD20
/RMD21
/RDQS2
/RMD18
/RMD19
/RMD24
/RDQM2
/RMD22
/RMD23
/RMD28
/RMD25
/RDQS3
/RMD26
/RMD27
/RMD29
/RDQM3
/RMD30
/RMD31
/RMD33
/RDQS4
/RMD32
/RMD34
/RMD36
/RMD37
/RDQM4
/RMD38
/RMD42
/RMD43
/RMD48
/RMD49
/RMD39
/RMD44
/RMD45
/RDQM5
/RDQS6
/RMD50
/RMD51
/RMD56
/RDQM6
/RMD54
/RMD55
/RMD60
/RDQS7
/RMD57
/RMD58
/RMD59
/RMD61
/RDQM7
/RMD62
/RMD63

GND

A
ECS CORP. (G732 M/B)
Title
DDR_TERMINATION RESISTORS

Size
A3

Document Number

Date:

Tuesday, March 11, 2003

Rev
1.0

G732-1-4-01
Sheet

10

of

41

G_CBE-[0..3]

VGA1.5VS

P8-21

R442
6.8K

G_AD_STB0

R451
6.8K

G_AD_STB1

R454

6.8K

G_AD[0..31]

M9

AGPWBF#

5
5
5
5
5
5
5

G_RBFG_AD_STB0
G_AD_STB1
G_SB_STB
G_SB_STBG_AD_STB0G_AD_STB1-

P8-21
STP_AGPAGP_BUSYG_RBFG_AD_STB0
G_AD_STB1
G_SB_STB
G_SB_STBG_AD_STB0G_AD_STB1-

R455
33

R105
33
5

R111
33

R119
R118

AF11 P8-24

AE12
DVIDDCDATA

DVIDDCCLK

TX0M
TX0P
TX1M
TX1P
TX2M
TX2P
TX3M
TX3P

AF12

AJ13
AK13
AJ14
AK14
AJ15
AK15
AJ12
AK12

AJ4
AK4
AH5
AG5
ZV_LCDCNTL0
ZV_LCDCNTL1
ZV_LCDCNTL2
ZV_LCDCNTL3
COMP
Y
C

AGPREF

AGPREF

STP_AGP20K
AGP_BUSY20K

R109
6.8K

R113
6.8K

R464
6.8K

C518
0.1UF

R104
100K

GPIO6
GPIO7

TXCLK_LN
TXCLK_LP

AK19
AJ19

TXL_CLKTXL_CLK+

26
26

TXOUT_U0N
TXOUT_U0P
TXOUT_U1N
TXOUT_U1P
TXOUT_U2N
TXOUT_U2P
TXOUT_U3N
TXOUT_U3P

AH18
AG18
AH19
AG19
AH20
AG20
AH22
AG22

TXU0TXU0+
TXU1TXU1+
TXU2TXU2+
TXU3TXU3+

26
26
26
26
26
26
26
26

TXCLK_UN
TXCLK_UP

AH21
AG21

TXU_CLKTXU_CLK+

26
26

DIGON

AE13

DIGON

26

BLON#

AF13

BLON-

26
22

AK28

RED

AK27

GREEN

22

AK26

BLUE

22

HSYNC

AG26

HSY

22

VSYNC

AG27

VSY

22

REST

AK25

VGADDCDATA

AH28

VGADDCCLK

AH27

AUXWIN

AJ27

P8-6

R441
475_1%
VGADATA

P8-29

VGADDCLK
R444

22

VCC3

22

10K

P8-23 R443
20K

TP65
TP66
VCC3

26P8-8
26
26

Y2
3
P8-9 1

XOUT
XIN

NC_0

NC_1

CRY27M_SMD_4P
C520
15PF

C519
15PF

Title

ECS CORP. (G732 M/B)


M9-P_VGA1_IO

Size
B

GPIO5

26
26
26
26
26
26
26
26

P11-1
P11-2

VCC1.8V

GPIO4

TXL0TXL0+
TXL1TXL1+
TXL2TXL2+
TXL3TXL3+

Date:
5

GPIO3

VCC3

R96
1K

6.8K
VCC3

HPD

AJ5
AK5
AG6
AH6
AJ6
AK6
AG7
AH7
AJ7
AK7
AG8
AH8
AJ8
AK8
AG9
AH9
P8-3
AJ9
P8-4
AK9
AG10TEST1
AH10TEST2
P8-5
AJ10
AK10 TEST3
AG11TEST4
AH11TEST5

AE5
ROMCS#

R456
33

G_SB_STB

R110

MS1-

GPIO9
GPIO10
GPIO11
GPIO12
GPIO13
GPIO14
GPIO15

G_CBE-[0..3]

GPIO2

AK16
AJ16
AK17
AJ17
AK18
AJ18
AK20
AJ20

AJ25 SSOUT

G_ST[0..2]
G_AD[0..31]

G_ST[0..2]

AJ26 SSIN

5
5

PCICLK
RST#
REQ#
GNT#
PAR
STOP#
DEVSEL#
TRDY#
IRDY#
FRAME#
INTA#

AJ28 STEREOSYNC/SUS_STAT#

P8-20 AG30
VGA_66M
R459
VGA_66M
PCIRST0
AH30
7,20,21,24,28,29,35 PCIRSTG_REQAF29
G_REQG_GNTAF27
G_GNTG_PAR
R28
G_PAR
P8-12
G_STOPR106 33
T27
G_STOPP8-13
G_DEVSELR452 33
T29
G_DEVSELP8-14
G_TRDYR107 33
T28
G_TRDYP8-15
G_IRDYR453 33
T30
G_IRDYP8-16 U27
G_FRAMER108 33
G_FRAMEP_INTAAH29
P_INTAG_SBA[0..7]
5
G_SBA[0..7]

R387
47K_R
R395
47K_R
R373
47K_R
R53
47K_R
R379
47K_R
R369
47K_R
R61
47K_R
R367
47K_R

GPIO1

TXOUT_L0N
TXOUT_L0P
TXOUT_L1N
TXOUT_L1P
TXOUT_L2N
TXOUT_L2P
TXOUT_L3N
TXOUT_L3P

C/BE#0
C/BE#1
C/BE#2
C/BE#3

AH24TESTEN

5
5
5
5
5
5
5
5
16

N27
R30
U29
W28

AJ30 X_OUT

32

G_CBE-0
G_CBE-1
G_CBE-2
G_CBE-3

GPIO0

R391
47K
R399
47K
R382
47K
R52
47K
R368
47K
R380
47K
R60
47K
R378
47K

R70
100K

P8-7

G_GNT-

1M

R117
6.8K

R450

G_REQ-

17,22

0_R

AJ29 X_IN

R458
6.8K

TP51

G_RBF-

TP50

R457
6.8K

TP49

G_PAR

AK22 COMP_B
H_SYNC2 AG24
H2SYNC
V_SYNC2 AG25
V2HYNC
CRT2_CLK AH25
CRT2_DCLK
CRT2_DATA AH26
CRT2_DATA

R461
6.8K

R619

TP48

G_STOP-

AK23 Y_G

R114
6.8K

AK24 C_R

G_FRAME-

AJ24 R2SET

R116
6.8K

K30 AGPREF
K29 AGPTEST

G_IRDY-

R408
47K

TP67
TP68TP69
TP70
TP71

R460 P8-11
47
P8-10
R434
715_1%

R463
6.8K

AD28ST_STB#
M28 ADSTB0#
Y29 ADSTB1#

G_TRDY-

P8-17
P8-18
P8-19

R115
6.8K

AF30 ST0
AF28 ST1
AE29 ST2

G_DEVSEL-

AD0
AD1
AD2
AD3
AD4
AD5
AD6
AD7
AD8
AD9
AD10
AD11
AD12
AD13
AD14
AD15
AD16
AD17
AD18
AD19
AD20
AD21
AD22
AD23
AD24
AD25
AD26
AD27
AD28
AD29
AD30
AD31

G_ST0
G_ST1
G_ST2

R462
6.8K

K28
L29
L27
L30
L28
M29
M27
M30
N30
N28
P29
P27
P30
P28
R29
R27
U28
U30
V27
V29
V28
V30
W27
W30
AA28
Y30
AA27
AA30
AB28
AA29
AB27
AB30

AD29SBA0
AE28 SBA1
AD30SBA2
AD27SBA3
AC30SBA4
AC27SBA5
AB29 SBA6
AC28SBA7

VGA1.5VS

G_AD0
G_AD1
G_AD2
G_AD3
G_AD4
G_AD5
G_AD6
G_AD7
G_AD8
G_AD9
G_AD10
G_AD11
G_AD12
G_AD13
G_AD14
G_AD15
G_AD16
G_AD17
G_AD18
G_AD19
G_AD20
G_AD21
G_AD22
G_AD23
G_AD24
G_AD25
G_AD26
G_AD27
G_AD28
G_AD29
G_AD30
G_AD31

G_SBA0
G_SBA1
G_SBA2
G_SBA3
G_SBA4
G_SBA5
G_SBA6
G_SBA7

GPIO15

N29 AD_STB0
W29 AD_STB1
AC29SB_STB

GPIO14

U38A

P8-22

GPIO13

AG29STP_AGP#
AG28AGP_BUSY#
AE30 RBF#

GPIO12

R406
47K

MS-

MS1-

AE27 WBF/SERR#

GPIO11

VCC3

R404
VGA-BIOS0
32
47K
VGA-BIOS1
32

AJ2
AK2
AK1
AH3
AH2
AJ1
AF4
AH1
AG3
AF3
AG2
AF2
AG1
AF1
AE2
AE1

GPIO10

VCC3

GPIO0
GPIO1
GPIO2
GPIO3
GPIO4
GPIO5
GPIO6
GPIO7
GPIO8
GPIO9
GPIO10
GPIO11
GPIO12
GPIO13
GPIO14
GPIO15

R48
47K_R
R41
47K_R
R31
47K_R
R365
47K_R
R366
4.7K_R
R58
4.7K
R18
4.7K

GPIO9

32
32
32

S
P
A
R
T
S
N
O
I
T
P
O

GPIO8

R47
47K
R40
47K
R19
47K
R376
47K
R377
47K
R45
47K_R
R30
47K_R
R57
47K_R

P_ID0
P_ID1
P_ID2

6
1
D
.A
)
t
G
l
u
=
a
f
L
e
d
E
(
S
0D
0I
0,
=X
4
]P
6
.
G
.
4
A
[
OV
I5
P
.
G1

GND

GPIO8
GPIO7
GPIO6
GPIO5
GPIO4
GPIO3
GPIO2
GPIO1
GPIO0

ZV_LCDDATA0
ZV_LCDDATA1
ZV_LCDDATA2
ZV_LCDDATA3
ZV_LCDDATA4
ZV_LCDDATA5
ZV_LCDDATA6
ZV_LCDDATA7
ZV_LCDDATA8
ZV_LCDDATA9
ZV_LCDDATA10
ZV_LCDDATA11
ZV_LCDDATA12
ZV_LCDDATA13
ZV_LCDDATA14
ZV_LCDDATA15
ZV_LCDDATA16
ZV_LCDDATA17
ZV_LCDDATA18
ZV_LCDDATA19
ZV_LCDDATA20
ZV_LCDDATA21
ZV_LCDDATA22
ZV_LCDDATA23

Document Number
G732-1-4-01
Tuesday, March 11, 2003

Rev
1.D
Sheet
1

11

of

41

M_QSA0
M_QSA1
M_QSA2
M_QSA3
M_QSA4
M_QSA5
M_QSA6
M_QSA7

14

14,15

QSA0
QSA1
QSA2
QSA3
QSA4
QSA5
QSA6
QSA7

TP53
D19
CKEA
CSB1#
P3

14
M_CLKA0
B23
CLKA0
CKEB
P1
M_CKEB
15

14
M_CLKA0A23
CLKA0#
CLKB0
K2
M_CLKB0
15

14
M_CLKA1
B22
CLKA1
CLKB0#
K1
M_CLKB015

14
M_CLKA1CLKB1
L2
M_CLKB1
15

CLKB1#
L1
M_CLKB115

CLKBFB
M2

M_CKEA

14 M_DQMA-[0..7]

14 M_MAA[0..13]

14 M_MDA[0..63]
M_DQMA-0G30
M_DQMA-1G28
M_DQMA-2B30
M_DQMA-3D26
M_DQMA-4D16
M_DQMA-5B15
M_DQMA-6D11
M_DQMA-7B10

G29
G27
B29
C26
C16
A15
C11
A10

14
M_RASAM_RASA- B18
RASA#

14
M_CASAM_CASA- A19
CASA#
RASB#
P4
M_RASB-

14
M_WEAWEA#
CASB#
R3
M_CASB-

14
M_CSA0CAS0#
WEB#
R4
M_WEB-

M_WEAA18

M_CSA0- C19

A22
P12-2 A21

VREF1
D8

M_DQMA-[0..7]

AA0
AA1
AA2
AA3
AA4
AA5
AA6
AA7
AA8
AA9
AA10
AA11
AA12
AA13

DQMA#0
DQMA#1
DQMA#2
DQMA#3
DQMA#4
DQMA#5
DQMA#6
DQMA#7

M9
DDR SSTL-2 : 1.25V
VREF :DDR SSTL-3 : 1.5V
SDR
: 1.8V

4
3

MEMTEST

MEMVMODE

MEMVMODE1

DQA63
DQA62
DQA61
DQA60
DQA59
DQA58
DQA57
DQA56
DQA55
DQA54
DQA53
DQA52
DQA51
DQA50
DQA49
DQA48
DQA47
DQA46
DQA45
DQA44
DQA43
DQA42
DQA41
DQA40
DQA39
DQA38
DQA37
DQA36
DQA35
DQA34
DQA33
DQA32
DQA31
DQA30
DQA29
DQA28
DQA27
DQA26
DQA25
DQA24
DQA23
DQA22
DQA21
DQA20
DQA19
DQA18
DQA17
DQA16
DQA15
DQA14
DQA13
DQA12
DQA11
DQA10
DQA9
DQA8
DQA7
DQA6
DQA5
DQA4
DQA3
DQA2
DQA1
DQA0

B26
A26
B25
A25
C22
D21
C21
D20
C20
D22
C23
D23
A27
B27
P12-4
C8

P12-3
B7

R50

M_MDA63
M_MDA62
M_MDA61
M_MDA60
M_MDA59
M_MDA58
M_MDA57
M_MDA56
M_MDA55
M_MDA54
M_MDA53
M_MDA52
M_MDA51
M_MDA50
M_MDA49
M_MDA48
M_MDA47
M_MDA46
M_MDA45
M_MDA44
M_MDA43
M_MDA42
M_MDA41
M_MDA40
M_MDA39
M_MDA38
M_MDA37
M_MDA36
M_MDA35
M_MDA34
M_MDA33
M_MDA32
M_MDA31
M_MDA30
M_MDA29
M_MDA28
M_MDA27
M_MDA26
M_MDA25
M_MDA24
M_MDA23
M_MDA22
M_MDA21
M_MDA20
M_MDA19
M_MDA18
M_MDA17
M_MDA16
M_MDA15
M_MDA14
M_MDA13
M_MDA12
M_MDA11
M_MDA10
M_MDA9
M_MDA8
M_MDA7
M_MDA6
M_MDA5
M_MDA4
M_MDA3
M_MDA2
M_MDA1
M_MDA0
B6

A8
B8
A9
B9
A11
B11
A12
B12
C9
D9
C10
D10
C12
D12
C13
D13
A13
B13
A14
B14
A16
B16
A17
B17
C14
D14
C15
D15
C17
D17
C18
D18
C24
D24
C25
D25
C27
D27
C28
D28
B28
A28
A29
A30
C29
C30
D29
D30
E27
E28
F27
F28
H27
H28
J27
J28
E29
E30
F29
F30
H29
H30
J29
J30

4.7K

GPIO16

M_MAA0
M_MAA1
M_MAA2
M_MAA3
M_MAA4
M_MAA5
M_MAA6
M_MAA7
M_MAA8
M_MAA9
M_MAA10
M_MAA11
M_MAA12
M_MAA13

VSS
VSS
VSS
VSS
VSS
GPIO16

14
14
14
14
14
14
14
14
4

B24
A24
B20
A20
B21
M1

DQB0
DQB1
DQB2
DQB3
DQB4
DQB5
DQB6
DQB7
DQB8
DQB9
DQB10
DQB11
DQB12
DQB13
DQB14
DQB15
DQB16
DQB17
DQB18
DQB19
DQB20
DQB21
DQB22
DQB23
DQB24
DQB25
DQB26
DQB27
DQB28
DQB29
DQB30
DQB31
DQB32
DQB33
DQB34
DQB35
DQB36
DQB37
DQB38
DQB39
DQB40
DQB41
DQB42
DQB43
DQB44
DQB45
DQB46
DQB47
DQB48
DQB49
DQB50
DQB51
DQB52
DQB53
DQB54
DQB55
DQB56
DQB57
DQB58
DQB59
DQB60
DQB61
DQB62
DQB63

C7
D7
C6
D6
C4
D4
C3
D3
A5
B5
A4
B4
A2
B2
A1
B1
E4
E3
F3
F4
H3
H4
J3
J4
C1
C2
D1
D2
F1
F2
G1
G2
R1
R2
T1
T2
V1
V2
W1
W2
T3
T4
U3
U4
W3
W4
Y3
Y4
Y1
Y2
AA1
AA2
AC1
AC2
AD1
AD2
AA3
AA4
AB3
AB4
AD3
AD4
AE3
AE4

U38B

M_MDB0
M_MDB1
M_MDB2
M_MDB3
M_MDB4
M_MDB5
M_MDB6
M_MDB7
M_MDB8
M_MDB9
M_MDB10
M_MDB11
M_MDB12
M_MDB13
M_MDB14
M_MDB15
M_MDB16
M_MDB17
M_MDB18
M_MDB19
M_MDB20
M_MDB21
M_MDB22
M_MDB23
M_MDB24
M_MDB25
M_MDB26
M_MDB27
M_MDB28
M_MDB29
M_MDB30
M_MDB31
M_MDB32
M_MDB33
M_MDB34
M_MDB35
M_MDB36
M_MDB37
M_MDB38
M_MDB39
M_MDB40
M_MDB41
M_MDB42
M_MDB43
M_MDB44
M_MDB45
M_MDB46
M_MDB47
M_MDB48
M_MDB49
M_MDB50
M_MDB51
M_MDB52
M_MDB53
M_MDB54
M_MDB55
M_MDB56
M_MDB57
M_MDB58
M_MDB59
M_MDB60
M_MDB61
M_MDB62
M_MDB63

5
2

4.7K_R

R63

QSA0
QSA1
QSA2
QSA3
QSA4
QSA5
QSA6
QSA7

M9_P_BGA696

CLKA1#
CLKAFB

GND

P12-3

R74
47

AB0
AB1
AB2
AB3
AB4
AB5
AB6
AB7
AB8
AB9
AB10
AB11
AB12
AB13
H2
H1
J2
J1
K4
K3
L4
M3
L3
M4
N2
N1
N4
N3
M_MAB0
M_MAB1
M_MAB2
M_MAB3
M_MAB4
M_MAB5
M_MAB6
M_MAB7
M_MAB8
M_MAB9
M_MAB10
M_MAB11
M_MAB12
M_MAB13

DQMB#0
DQMB#1
DQMB#2
DQMB#3
DQMB#4
DQMB#5
DQMB#6
DQMB#7
D5
B3
G3
E1
U1
V3
AB1
AC3
M_DQMB-0
M_DQMB-1
M_DQMB-2
M_DQMB-3
M_DQMB-4
M_DQMB-5
M_DQMB-6
M_DQMB-7

QSB0
QSB1
QSB2
QSB3
QSB4
QSB5
QSB6
QSB7
C5
A3
G4
E2
U2
V4
AB2
AC4
QSB0
QSB1
QSB2
QSB3
QSB4
QSB5
QSB6
QSB7

CSA1B19
CSA1#
CSB0#
P2
M_CSB0-

CKEA
CSB1-

VREF

R56

M_MAA[0..13]
R55

GND

M_MDA[0..63]

P7-14
Size
B

Date:

R59
4.7K_R
1

R65
4.7K
VCC1.8V

P12-4

P12-1

VCC1.8V

P12-5
MEMVMODE[1:0] IO VOLTAGE
01
2.5V(DDR)
10
1.8V(DDR)
11
3.3V(SDR)

M_QSB0
M_QSB1
M_QSB2
M_QSB3
M_QSB4
M_QSB5
M_QSB6
M_QSB7
15
15
15
15
15
15
15
15

M_RASB15

M_CASB-

M_WEB-

15
15

M_CSB015

Tuesday, March 11, 2003

M_DQMB-[0..7]

M_MAB[0..13]

M_DQMB-[0..7] 15

M_MDB[0..63]

M_MAB[0..13]
15

M_MDB[0..63]
15

TP73
B

TP54

4.7K_R
VCC3

4.7K
A

Title
M9-P_VGA2_MEM

ECS CORP. (G732 M/B)

Document Number
G732-1-4-01
Rev

Sheet
12
of
41
1.0

L22
C128
C163 +
C130 +
0.1UF 47UF/6_3V_B
47UF/6_3V_B

C156
0.1UF

C127
0.1UF

C126
0.1UF

C162
0.1UF

C171
0.1UF

C159
0.1UF

C142
0.1UF

C114
0.1UF

C112
0.1UF

C111
0.1UF

VCC1.8V

C149
0.1UF

C150
0.1UF

C155
0.1UF

10UF/10V-A

L6
T6
AB6
AB25
R25
G25
F17
F12

C132
10UF/10V_Y

C117
10UF/10V_Y

C200 10UF/10V_Y
U38D

PVSS
P9-1

F19
N6

VSSRH0
VSSRH1

F20
M6

MPVDD

A7

MPVSS

A6

C167
0.1UF

MPVDD

PVDD

PVSS

AK30

PVSS

VDDR4
VDDR4
VDDR4
VDDR4
VDDR3
VDDR3
VDDR3
VDDR3
VDDR3
VDDR3
VDDR3
VDDR3

AE8
AE7
AF6
AF7
AF9
AF10
AF8
AE9
AF26
AE26
AE25
AD25

VDDP
VDDP
VDDP
VDDP
VDDP
VDDP
VDDP
VDDP
VDDP
VDDP
VDDP
VDDP
VDDP
VDDP
VDDP
VDDP
VDDP
VDDP
VDDP
VDDP
VDDP
VDDP

K25
K26
K27
L25
L26
M26
N26
P26
R26
T25
T26
U25
U26
V26
Y25
Y26
AA25
AA26
AB26
AC26
Y27
Y28

C196
0.1UF

VCC1.8V
A2VDD

L27
L120

C170
0.1UF

VCC3
VGA1.5VS

P9-5

C185
0.1UF

C184
0.1UF

LPVSS
LVSSR
LVSSR
LVSSR
LVSSR

AK11
AJ11

TPVDD
TPVSS

AG12
AG13
AG14
AG15
AH12
AH13
AH14
AH15

TXVDDR
TXVDDR
TXVDDR
TXVDDR
DVOMODE
TXVSSR
TXVSSR
TXVSSR

AE21
AF21

A2VDD
A2VDD

AJ23
AF23
AF24
AH23
AF20

A2VDDQ
AVDD
AVDD
AVDDDI
A2VDDDI

AE19
AE20
AF19
AJ22
AF22
AG23
AE22
AE23

A2VSSN
A2VSSN
A2VSSDI
A2VSSQ
AVSSQ
AVSSDI
AVSSN
AVSSN

P9-7

AJ21
AH16
AH17
AG17
AF18

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

C
A
D

AK29

LPVDD
LPVDD_18
LPVDD_18
LPVDD_18_25
LPVDD_18_25

S
D
M
T

L51

HF70ACB_Y

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

V
3
.
3
/
V
5
.
2

PVDD

AK21
AE16
AF16
AG16
AF17

S
D
V
L

O
I

VDDRH0
VDDRH1

R
E
W
O
P
O
I
P
G
A

VDDM
VDDM
VDDM
VDDM
VDDM
VDDM
VDDM
VDDM
VDDM

r
e
w
o
p
e
r
o
c
y
r
o
m
e
M
e
t
a
r
g
e
t
n
I

E5
E6
E12
E13
E18
E19
E20
E26
F26

+ C144

1
2 MPVDD
FCM2012V-121RC10-0805
L50
PVDD
1
2
FCM2012V-121RC10-0805

R
E
W
O
P
O
I

VGA2.5VS

V
5
.
2
O
I
y
r
o
m
e
M
d
e
t
a
r
g
e
t
n
I

R
D
S
/
R
D
D
V
3
.
3
/
V
5
.
2
/
V
8
.
1

PIN AH12 M9 : DVOMODE


M7 : TXVSSR
WHEN USE CON GND FUNCTIONALITY AS M7

L18

VGA2.5VS

VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC
VDDC

R
E
W
O
P
E
R
O
C

AE14
AE15
AE17
AE18
AE24
AF25
AC25
W25
V25
P25
N25
M25
F25
F23
F18
F14
F13
F11
F10
F7
F6
AE10
AE11
AF15
AF14
AK3
AJ3
AH4
AG4
AD26
W26
F24
AF5
AE6
AD6
AC6
W6
V6
U6
P6
H6
G6

P9-3

2
HF70ACB_Y

VDDC18
VDDC18
VDDC18
VDDC18
VDDC18
VDDC18
VDDC18
VDDC18

VGA1.5VS

VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1

G5
H5
J5
J6
K5
K6
L5
M5
N5
P5
R5
R6
T5
U5
V5
W5
Y5
Y6
AA5
AA6
AB5
AC5
AD5
J26
H25
J25
F5
F8
F9
F15
F16
F21
F22
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1
VDDR1

U38C

E7
E8
E9
E10
E11
E14
E15
E16
E17
E21
E22
E23
E24
E25
G26
H26

VGA2.5VS
D

C194
0.1UF

C123
0.1UF

T10
T11
T12
T13
T14
T15
T16
T17
T18

M9

L10
L11
L12
L13
L14
L15
L16
L17
L18
L19
L20
L21
M10
M11
M12
M13
M14
M15
M16
M17
M18
M19
M20
M21
N10
N11
N12
N13
N14
N15
N16
N17
N18
N19
N20
N21
P10
P11
P12
P13
P14
P15
P16
P17
P18
P19
P20
P21
R10
R11
R12
R13
R14
R15
R16
R17
R18
R19
R20
R21

VCC1.8V

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

V16
V17
V18
V19
V20
V21
W10
W11
W12
W13
W14
W15
W16
W17
W18
W19
W20
W21
Y10
Y11
Y12
Y13
Y14
Y15
Y16
Y17
Y18
Y19
Y20
Y21
T19
T20
T21
U10
U11
U12
U13
U14
U15
U16
U17
U18
U19
U20
U21
V10
V11
V12
V13
V14
V15

M9

C129
10UF/10V_Y

GND

C138
10UF/10V_Y

C157
0.1UF

C124
0.1UF

C172

C176
0.1UF

0.1UF

L26

VGA1.5VS
VGA2.5VS

L120

A2VDD

2
+

C122 +
C161 +
47UF/6_3V_B
47UF/6_3V_B

C118
0.1UF

C177
0.1UF

C119
0.1UF

C113
0.1UF

C131
0.1UF

C174
0.1UF

C110
0.1UF

C192
0.1UF

C152
0.1UF

C160
0.1UF

C193
0.1UF

C148
0.1UF

C164
10UF/10V-A

ECS CORP. (M/B)


Title
M9-P_VGA3_ POWER

C175
0.1UF

Size
B
Date:

Document Number
G732-1-4-01
Tuesday, March 11, 2003

Rev
1.0
Sheet
1

13

of

41

All of signal trace 5mil spacing 10mil .


M_DQMA-[0..7]

12 M_DQMA-[0..7]
U40

M_MAA13
M_MAA12

M3
L4

M_MAA11
M_MAA10
M_MAA9
M_MAA8
M_MAA7
M_MAA6
M_MAA5
M_MAA4
M_MAA3
M_MAA2
M_MAA1
M_MAA0

L6
K5
L7
M10
M9
M8
L8
M7
M6
L5
M5
M4

A11
A10
A9
A8/AP
A7
A6
A5
A4
A3
A2
A1
A0

B3
B10
G3
G10
K11
K12
L2
L3
M2

NC
NC
NC
NC
NC
NC
NC
NC
NC

L12

MCL

M12

VREF

VGA2.5VS

C147
0.1UF
VREF1

L9

C146
0.1UF
M_CLKA0-

12 M_CLKA012 M_CSA0C

12 M_RASA12 M_CASA-

12 M_CKEA
R95

CS
RAS

M_CASA-

K1
K2

WE

A11

DM3

M_DQMA-2

G2

DM2

M_DQMA-1

G11

DM1

A2

DM0

M_CLKA0

L10

CLK

M_CKEA

M11

R85

R86

R99

CKE

0
M_QSA0-3

M_QSA0

12 M_QSA0

CAS

M_DQMA-3

M_QSA1

12 M_QSA1

CLK

L1

M_QSA2

12 M_QSA2

RFU

L11
M1

M_QSA3

12 M_QSA3

K8

M_RASA-

M_DQMA-0
12 M_CLKA0

RFU

M_CSA0-

M_WEA-

12 M_WEA-

BA0
BA1

A12

DQS3

M_QSA0-2

G1

DQS2

M_QSA0-1

G12

DQS1

M_QSA0-0

A1

DQS0

E5
E6
E7
E8
F5
F6
F7
F8
G5
G6
G7
G8
H5
H6
H7
H8

TH GND
TH GND
TH GND
TH GND
TH GND
TH GND
TH GND
TH GND
TH GND
TH GND
TH GND
TH GND
TH GND
TH GND
TH GND
TH GND

DQ31
DQ30
DQ29
DQ28
DQ27
DQ26
DQ25
DQ24
DQ23
DQ22
DQ21
DQ20
DQ19
DQ18
DQ17
DQ16
DQ15
DQ14
DQ13
DQ12
DQ11
DQ10
DQ9
DQ8
DQ7
DQ6
DQ5
DQ4
DQ3
DQ2
DQ1
DQ0

A7
B8
A8
A9
B12
C11
C12
D12
J2
J1
H1
H2
F1
F2
E1
E2
E11
E12
F11
F12
H11
H12
J11
J12
D1
C1
C2
B1
A4
A5
B5
A6

VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ

B2
B4
B6
B7
B9
B11
D2
D11
E3
E10
F3
F10
H3
H10
J3
J10
C6
C7
D3
D10
K3
K6
K7
K10
A3
A10
C3
C4
C5
C8
C9
C10
D5
D8
E4
E9
F4
F9
G4
G9
H4
H9
J4
J9

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

M_MAA[0..13]

12 M_MAA[0..13]

M_MDA31
M_MDA30
M_MDA29
M_MDA28
M_MDA27
M_MDA26
M_MDA25
M_MDA24
M_MDA23
M_MDA22
M_MDA21
M_MDA20
M_MDA19
M_MDA18
M_MDA17
M_MDA16
M_MDA15
M_MDA14
M_MDA13
M_MDA12
M_MDA11
M_MDA10
M_MDA9
M_MDA8
M_MDA7
M_MDA6
M_MDA5
M_MDA4
M_MDA3
M_MDA2
M_MDA1
M_MDA0

U36

M_MDA[0..63]

12 M_MDA[0..63]

VGA2.5VS

M_MAA13
M_MAA12

M3
L4

M_MAA11
M_MAA10
M_MAA9
M_MAA8
M_MAA7
M_MAA6
M_MAA5
M_MAA4
M_MAA3
M_MAA2
M_MAA1
M_MAA0

L6
K5
L7
M10
M9
M8
L8
M7
M6
L5
M5
M4

A11
A10
A9
A8/AP
A7
A6
A5
A4
A3
A2
A1
A0

B3
B10
G3
G10
K11
K12
L2
L3
M2

NC
NC
NC
NC
NC
NC
NC
NC
NC

L12

MCL

M12

VREF

R75
1K_1%
VREF1
C140
0.1UF

12,15

R77
1K_1%

VGA2.5VS

C137
0.1UF
VREF1

C136
0.1UF
12 M_CLKA112 M_CSA0-

VGA2.5VS

12 M_RASA12 M_CASA12 M_WEA-

12 M_CLKA1
12 M_CKEA

RFU

L11

CLK

M_CSA0-

M1

CS

M_RASA-

L1

RAS

M_CASA-

K1

CAS

M_WEA-

K2

WE

A11

DM3

M_DQMA-6

G2

DM2

M_DQMA-5

G11

DM1

M_DQMA-4

A2

DM0

M_CLKA1

L10

CLK

M_CKEA

M11

CKE

M_QSA0-7

A12

DQS3

M_QSA0-6

G1

DQS2

M_QSA0-5

G12

DQS1

M_QSA0-4

A1

DQS0

M_QSA4

12 M_QSA4

M_CLKA1

R82
120

D4
D6
D7
D9
J5
J6
J7
J8
K4
K9

R35

R76

R393

E5
E6
E7
E8
F5
F6
F7
F8
G5
G6
G7
G8
H5
H6
H7
H8

R68
120
M_CLKA1-

M_CLKA0-

M_QSA5

12 M_QSA5

M_CLKA0

R407
M_QSA6

12 M_QSA6

RFU

K8

M_QSA7

12 M_QSA7

L9

M_CLKA1-

M_DQMA-7

BGA144_4MX32

DQ31
DQ30
DQ29
DQ28
DQ27
DQ26
DQ25
DQ24
DQ23
DQ22
DQ21
DQ20
DQ19
DQ18
DQ17
DQ16
DQ15
DQ14
DQ13
DQ12
DQ11
DQ10
DQ9
DQ8
DQ7
DQ6
DQ5
DQ4
DQ3
DQ2
DQ1
DQ0

A7
B8
A8
A9
B12
C11
C12
D12
J2
J1
H1
H2
F1
F2
E1
E2
E11
E12
F11
F12
H11
H12
J11
J12
D1
C1
C2
B1
A4
A5
B5
A6

VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ

B2
B4
B6
B7
B9
B11
D2
D11
E3
E10
F3
F10
H3
H10
J3
J10
C6
C7
D3
D10
K3
K6
K7
K10
A3
A10
C3
C4
C5
C8
C9
C10
D5
D8
E4
E9
F4
F9
G4
G9
H4
H9
J4
J9

BA0
BA1

TH GND
TH GND
TH GND
TH GND
TH GND
TH GND
TH GND
TH GND
TH GND
TH GND
TH GND
TH GND
TH GND
TH GND
TH GND
TH GND

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

M_MDA63
M_MDA62
M_MDA61
M_MDA60
M_MDA59
M_MDA58
M_MDA57
M_MDA56
M_MDA55
M_MDA54
M_MDA53
M_MDA52
M_MDA51
M_MDA50
M_MDA49
M_MDA48
M_MDA47
M_MDA46
M_MDA45
M_MDA44
M_MDA43
M_MDA42
M_MDA41
M_MDA40
M_MDA39
M_MDA38
M_MDA37
M_MDA36
M_MDA35
M_MDA34
M_MDA33
M_MDA32

VGA2.5VS

D4
D6
D7
D9
J5
J6
J7
J8
K4
K9

BGA144_4MX32
VGA2.5VS

VGA2.5VS

VGA2.5VS

VGA2.5VS

VGA2.5VS

+
C500
47UF/6_3V_B

C474
47UF/6_3V_B

C125
0.1UF

C168
0.1UF

VGA2.5VS

C97
0.1UF

C106
0.1UF

C107
0.1UF

C105
0.01UF

C190
0.01UF

C120
0.01UF

C96
0.01UF

C101
0.01UF

C139
0.1UF

C191
0.1UF

C187
0.1UF

C189
0.1UF

C197
0.1UF

C165
0.01UF

C173
0.01UF

C198
0.01UF

C143
0.01UF

C169
0.01UF

+
C199
47UF/6_3V_B

C516
47UF/6_3V_B

ECS CORP. (G732 M/B)


Title
DDR_SG4Mx32_BGA_A
Size
Document Number
Custom
G732-1-4-01
Date:
8

Tuesday, March 11, 2003


2

Rev
1.0
Sheet

14
1

of

41

All of signal trace 5mil spacing 10mil .


M_DQMB-[0..7]

12 M_DQMB-[0..7]
U34

M_MAB13
M_MAB12

M3
L4

M_MAB11
M_MAB10
M_MAB9
M_MAB8
M_MAB7
M_MAB6
M_MAB5
M_MAB4
M_MAB3
M_MAB2
M_MAB1
M_MAB0

L6
K5
L7
M10
M9
M8
L8
M7
M6
L5
M5
M4

A11
A10
A9
A8/AP
A7
A6
A5
A4
A3
A2
A1
A0

B3
B10
G3
G10
K11
K12
L2
L3
M2

NC
NC
NC
NC
NC
NC
NC
NC
NC

L12

MCL

M12

VREF

VGA2.5VS

C79
0.1UF
VREF1

L9

RFU

K8

RFU

M_CLKB0-

L11

CLK

M_CSB0-

M1

CS

M_RASB-

L1

RAS

C78
0.1UF
12 M_CLKB0C

12 M_CSB012 M_RASB12 M_CASB12 M_WEB-

12 M_CLKB0
12 M_CKEB

12 M_QSB3
12 M_QSB2
12 M_QSB1

M_CASB-

K1

CAS

M_WEB-

K2

WE

M_DQMB-3

A11

M_DQMB-2

G2

DM2

M_DQMB-1

G11

DM1

M_DQMB-0

A2

DM0

DM3

M_CLKB0

L10

CLK

M_CKEB

M11

CKE

M_QSB3

M_QSB0-3 A12

DQS3

M_QSB2

M_QSB0-2 G1

DQS2

M_QSB1

M_QSB0-1 G12

DQS1

M_QSB0-0 A1

DQS0

M_QSB0

12 M_QSB0

BA0
BA1

E5
E6
E7
E8
F5
F6
F7
F8
G5
G6
G7
G8
H5
H6
H7
H8

TH GND
TH GND
TH GND
TH GND
TH GND
TH GND
TH GND
TH GND
TH GND
TH GND
TH GND
TH GND
TH GND
TH GND
TH GND
TH GND

DQ31
DQ30
DQ29
DQ28
DQ27
DQ26
DQ25
DQ24
DQ23
DQ22
DQ21
DQ20
DQ19
DQ18
DQ17
DQ16
DQ15
DQ14
DQ13
DQ12
DQ11
DQ10
DQ9
DQ8
DQ7
DQ6
DQ5
DQ4
DQ3
DQ2
DQ1
DQ0

A7
B8
A8
A9
B12
C11
C12
D12
J2
J1
H1
H2
F1
F2
E1
E2
E11
E12
F11
F12
H11
H12
J11
J12
D1
C1
C2
B1
A4
A5
B5
A6

VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ

B2
B4
B6
B7
B9
B11
D2
D11
E3
E10
F3
F10
H3
H10
J3
J10
C6
C7
D3
D10
K3
K6
K7
K10
A3
A10
C3
C4
C5
C8
C9
C10
D5
D8
E4
E9
F4
F9
G4
G9
H4
H9
J4
J9

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

M_MAB[0..13]

12 M_MAB[0..13]

M_MDB31
M_MDB30
M_MDB29
M_MDB28
M_MDB27
M_MDB26
M_MDB25
M_MDB24
M_MDB23
M_MDB22
M_MDB21
M_MDB20
M_MDB19
M_MDB18
M_MDB17
M_MDB16
M_MDB15
M_MDB14
M_MDB13
M_MDB12
M_MDB11
M_MDB10
M_MDB9
M_MDB8
M_MDB7
M_MDB6
M_MDB5
M_MDB4
M_MDB3
M_MDB2
M_MDB1
M_MDB0

U35

M_MDB[0..63]

12 M_MDB[0..63]

VGA2.5VS

+
C81

M_MAB13
M_MAB12

M3
L4

M_MAB11
M_MAB10
M_MAB9
M_MAB8
M_MAB7
M_MAB6
M_MAB5
M_MAB4
M_MAB3
M_MAB2
M_MAB1
M_MAB0

L6
K5
L7
M10
M9
M8
L8
M7
M6
L5
M5
M4

A11
A10
A9
A8/AP
A7
A6
A5
A4
A3
A2
A1
A0

B3
B10
G3
G10
K11
K12
L2
L3
M2

NC
NC
NC
NC
NC
NC
NC
NC
NC

L12

MCL

M12

VREF

C75
10UF/10V-A

0.1UF
VREF1
C80
0.1UF

12,14

C84
10UF/10V-A

VGA2.5VS

C85
0.1UF
VREF1

L9

RFU

K8

RFU

M_CLKB1-

L11

CLK
CS

C86
0.1UF
12 M_CLKB112 M_CSB0-

VGA2.5VS

12 M_RASB12 M_CASB12 M_WEB-

M_CSB0-

M1

M_RASB-

L1

RAS

M_CASB-

K1

CAS

M_WEB-

K2

WE

A11

DM3

M_DQMB-6

G2

DM2

M_DQMB-5

G11

DM1

M_DQMB-4

A2

DM0

M_DQMB-7

M_CLKB1

L10

CLK

M_CKEB

M11

CKE

M_QSB7

M_QSB0-7

A12

DQS3

M_QSB6

M_QSB0-6

G1

DQS2

M_QSB5

M_QSB0-5

G12

DQS1

M_QSB4

M_QSB0-4

A1

DQS0

12 M_CLKB1
12 M_CKEB

12 M_QSB7
12 M_QSB6
12 M_QSB5
12 M_QSB4

M_CLKB0

D4
D6
D7
D9
J5
J6
J7
J8
K4
K9

E5
E6
E7
E8
F5
F6
F7
F8
G5
G6
G7
G8
H5
H6
H7
H8

M_CLKB1

R21
120

R39
120

M_CLKB0-

BA0
BA1

M_CLKB1-

BGA144_4MX32

TH GND
TH GND
TH GND
TH GND
TH GND
TH GND
TH GND
TH GND
TH GND
TH GND
TH GND
TH GND
TH GND
TH GND
TH GND
TH GND

DQ31
DQ30
DQ29
DQ28
DQ27
DQ26
DQ25
DQ24
DQ23
DQ22
DQ21
DQ20
DQ19
DQ18
DQ17
DQ16
DQ15
DQ14
DQ13
DQ12
DQ11
DQ10
DQ9
DQ8
DQ7
DQ6
DQ5
DQ4
DQ3
DQ2
DQ1
DQ0

A7
B8
A8
A9
B12
C11
C12
D12
J2
J1
H1
H2
F1
F2
E1
E2
E11
E12
F11
F12
H11
H12
J11
J12
D1
C1
C2
B1
A4
A5
B5
A6

VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ
VSSQ

B2
B4
B6
B7
B9
B11
D2
D11
E3
E10
F3
F10
H3
H10
J3
J10
C6
C7
D3
D10
K3
K6
K7
K10
A3
A10
C3
C4
C5
C8
C9
C10
D5
D8
E4
E9
F4
F9
G4
G9
H4
H9
J4
J9

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

M_MDB63
M_MDB62
M_MDB61
M_MDB60
M_MDB59
M_MDB58
M_MDB57
M_MDB56
M_MDB55
M_MDB54
M_MDB53
M_MDB52
M_MDB51
M_MDB50
M_MDB49
M_MDB48
M_MDB47
M_MDB46
M_MDB45
M_MDB44
M_MDB43
M_MDB42
M_MDB41
M_MDB40
M_MDB39
M_MDB38
M_MDB37
M_MDB36
M_MDB35
M_MDB34
M_MDB33
M_MDB32

VGA2.5VS

D4
D6
D7
D9
J5
J6
J7
J8
K4
K9

BGA144_4MX32
VGA2.5VS
VGA2.5VS

VGA2.5VS

VGA2.5VS

VGA2.5VS
+

+
C442
47UF/6_3V_B

C60
0.1UF

C44
0.1UF

C34
0.1UF

C65
0.1UF

C36
0.1UF

C43
0.01UF

C57
0.01UF

C45
0.01UF

VGA2.5VS

C68
0.01UF

C48
0.01UF

+
C465
47UF/6_3V_B

C87
47UF/6_3V_B

C82
0.1UF

C18
0.1UF

C444
0.1UF

C22
0.1UF

C446
0.1UF

C445
0.01UF

C83
0.01UF

C19
0.01UF

C443
0.01UF

C31
0.01UF

+ C441
47UF/6_3V_B

ECS CORP. (G732 M/B)


Title
DDR_SG4Mx32_BGA_B
Size
Document Number
Custom
G732-1-4-01
Date:
8

Tuesday, March 11, 2003


2

Rev
1.0
Sheet

15
1

of

41

4
VCC3

28,29

3
AD0
AD1
AD2
AD3
AD4
AD5
AD6
AD7
AD8
AD9
AD10
AD11
AD12
AD13
AD14
AD15
AD16
AD17
AD18
AD19
AD20
AD21
AD22
AD23
AD24
AD25
AD26
AD27
AD28
AD29
AD30
AD31

1
2
3
4

28,29 PREQ-[0..4]

PREQ-4
PREQ-3
PREQ-2
PREQ-1
PREQ-0

F1
F2
E1
H5
F3

PREQ#4
PREQ#3
PREQ#2
PREQ#1
PREQ#0

PGNT-2
PGNT-1
PGNT-0

H3
G1
G2
G3
H4

PGNT#4
PGNT#3
PGNT#2
PGNT#1
PGNT#0

C/BE-3
C/BE-2
C/BE-1
C/BE-0

K3
M4
P1
R4

C/BE#3
C/BE#2
C/BE#1
C/BE#0

P_INTAINT-B
INT-C
INT-D

E3
F4
E2
G4

INT#A
INT#B
INT#C
INT#D

FRAMEIRDYTRDYSTOP-

M3
M1
M2
N4

FRAME#
IRDY#
TRDY#
STOP#

SERRPAR
DEVSELPLOCK-

M5
N3
N1
N2

SERR#
PAR
DEVSEL#
PLOCK#

96XPCLK
PCIRST-

Y2
C3

28,29 PGNT-[0..2]

28,29 C/BE-[0..3]

11
28
29
29

P_INTAINT-B
INT-C
INT-D

28,29
28,29
28,29
28,29

FRAMEIRDYTRDYSTOP-

28,29
28,29
28,29

SERRPAR
DEVSEL-

32
35

ZCLK1

96XPCLK
P_PCIRST-

32

C273

ZCLK1

7
7

22PF

ZSTB0
ZSTB-0

7
7

ZSTB1
ZSTB-1

7
7

ZUREQ
ZDREQ

R220

CB86

150_1%

0.1UF

33

V20

ZSTB0
ZSTB-0

N19
N20

ZSTB0
ZSTB0#

ZSTB1
ZSTB-1

K20
K19

ZSTB1
ZSTB1#

ZUREQ
ZDREQ

N16
N17

ZUREQ
ZDREQ

SVDDZCMP
SZCMP_N

R19
N18

VDDZCMP
ZCMP_N

SZCMP_P
SVSSZCMP

R18
P18

ZCMP_P
VSSZCMP

U20
U19

Z1XAVDD
Z1XAVSS

SZ4XAVDD
SZ4XAVSS

T20
T19

Z4XAVDD
Z4XAVSS

SZVREF

R20
P20

VZREF
ZVSSREF

150_1%

0.1UF

CB162
0.1UF

CB163
0.1UF

P16-1

Y3
Y4

ICHRDYA
IDREQA
IIRQA
CBLIDA

W10
V10
Y11
U12

ICHRDYA
IDEREQA
IDEIRQA
CBLIDA

IIOR#A
IIOW#A
IDACK#A

V11
Y9
Y10

IDEIOR-A
IDEIOW-A
IDACK-A

IDSAA2
IDSAA1
IDSAA0

T11
U11
W11

IDESAA2
IDESAA1
IDESAA0

IDECSA#1
IDECSA#0

T12
V12

IDECS-A1
IDECS-A0

ICHRDYB
IDREQB
IIRQB
CBLIDB

W17
Y17
T16
U17

ICHRDYB
IDEREQB
IDEIRQB

IIOR#B
IIOW#B
IDACK#B

T14
W16
V16

IDEIOR-B
IDEIOW-B
IDACK-B

IDSAB2
IDSAB1
IDSAB0

Y18
T15
V17

IDESAB2
IDESAB1
IDESAB0

IDECSB#1
IDECSB#0

U16
W18

IDECS-B1
IDECS-B0

IDA0
IDA1
IDA2
IDA3
IDA4
IDA5
IDA6
IDA7
IDA8
IDA9
IDA10
IDA11
IDA12
IDA13
IDA14
IDA15

U10
V9
W8
T9
Y7
V7
Y6
Y5
W6
U8
W7
V8
U9
Y8
T10
W9

IDEDA0
IDEDA1
IDEDA2
IDEDA3
IDEDA4
IDEDA5
IDEDA6
IDEDA7
IDEDA8
IDEDA9
IDEDA10
IDEDA11
IDEDA12
IDEDA13
IDEDA14
IDEDA15

IDB0
IDB1
IDB2
IDB3
IDB4
IDB5
IDB6
IDB7
IDB8
IDB9
IDB10
IDB11
IDB12
IDB13
IDB14
IDB15

Y16
V15
U14
W14
V13
T13
Y13
Y12
W12
W13
U13
Y14
V14
W15
Y15
U15

IDEDB0
IDEDB1
IDEDB2
IDEDB3
IDEDB4
IDEDB5
IDEDB6
IDEDB7
IDEDB8
IDEDB9
IDEDB10
IDEDB11
IDEDB12
IDEDB13
IDEDB14
IDEDB15

HyperZip
ZAD0
ZAD1
ZAD2
ZAD3
ZAD4
ZAD5
ZAD6
ZAD7
ZAD8
ZAD9
ZAD10
ZAD11
ZAD12
ZAD13
ZAD14
ZAD15

CB85

962A-1

D
ICHRDYA
IDEREQA
IDEIRQA
CBLIDA

IDESAA[0..2]

IDESAA[0..2]

IDECS-A[0..1]

IDECS-A[0..1]

24
24
24

24
24

ICHRDYB
IDEREQB
IDEIRQB

23
23
23

IDEIOR-B
IDEIOW-B
IDACK-B

IDESAB[0..2]

IDESAB[0..2]

IDECS-B[0..1]

24
24
24
24

IDEIOR-A
IDEIOW-A
IDACK-A

IDECS-B[0..1]

23
23
23

23
23

RP65

STOPPLOCKDEVSELFRAME-

1
2
3
4
5

VCC3

10
9
8
7
6

VCC3
TRDYIRDY-

2.7K_10P8R

IDEDA[0..15]

24

VCC3
RP16

PREQ-0
PREQ-3
PREQ-4
PREQ-2

1
2
3
4
5

4_7K_10P8R
10
9
8
7
6

SERRPREQ-1
PERR-

PERR-

28,29

VCC3

IDEDB[0..15]

M18
M19
M17
M16
M20
L16
L20
L18
K18
J20
K17
K16
H20
J18
H19
H18

R219

IDE

CB165
0.01UF

IDEAVDD
IDEAVSS

ZCLK

SZ1XAVDD
SZ1XAVSS

SZVREF

PCI

PCICLK
PCIRST#

ZCLK1

VCC1.8V

P16-2

R244

U46A

AD31
AD30
AD29
AD28
AD27
AD26
AD25
AD24
AD23
AD22
AD21
AD20
AD19
AD18
AD17
AD16
AD15
AD14
AD13
AD12
AD11
AD10
AD9
AD8
AD7
AD6
AD5
AD4
AD3
AD2
AD1
AD0

8.2KX4

VCC1.8V
R507

J5
J4
H2
H1
J3
K4
J2
J1
K5
K2
L3
K1
L1
L4
L5
L2
N5
P2
P3
P4
R2
R3
R1
T1
P5
T2
U1
U2
T3
R5
U3
V1

8
7
6
5

AS CLOSE AS POSSIBLE TO U18

RP33
INT-D
INT-B
INT-C
P_INTA-

AD[0..31]

AD[0..31]

23

SIS962A

AS CLOSE AS POSSIBLE TO U18


ZAD15
ZAD14
ZAD13
ZAD12
ZAD11
ZAD10
ZAD9
ZAD8
ZAD7
ZAD6
ZAD5
ZAD4
ZAD3
ZAD2
ZAD1
ZAD0

ZAD[0..15]

VCC1.8V

AS CLOSE AS POSSIBLE TO U18


VCC3

L33
FCM2012V-121RC10-0805
1
2
C275

CT23
4.7UF/6.3V_0805

0.1UF

VCC3
SZ1XAVDD

L34
FCM2012V-121RC10-0805
1
2

C276

C284
CT24
4.7UF/6.3V_0805

0.01UF

0.1UF

L35
FCM2012V-121RC10-0805
2

SZ4XAVDD
C285

CT26
4.7UF/6.3V_0805

C299

0.1UF

0.01UF

R224

56

SZCMP_N

R225

56

SZCMP_P

0.01UF
SVSSZCMP

SZ4XAVSS

SZ1XAVSS

SVDDZCMP

C298

ECS CORP. (G732 M/B)


Title
SIS962-1

Size
A3

Document Number

Date:

Tuesday, March 11, 2003

Rev
1.0

G732-1-4-01
Sheet

16

of

41

Programable on-die pull-high strength for CPU_S:


( Infinite, 150, 110, 56 Ohm)

U46B

3
3
3
3
3
3
3
3
3

INITA20MSMIINTR
NMI
IGNNEFERRSTPCLK-

INITA20MSMIINTR
NMI
IGNNEFERRSTPCLKCPUSLP-

D
20,21

T18
P16
R17
R16
Y20
U18
T17
W20
V19

INIT#
A20M#
SMI#
INTR
NMI
IGNNE#
FERR#
STPCLK#
CPUSLP#

CPU_S

Y19
V18
W19

APICCK
APICD0
APTCD1

APIC

MIICLK25M

A8

MIITXCLK

A6

MIITXEN

B6

LAD[0..3]

LFRAMELDRQSIRQ

20,21
LFRAME20,21
LDRQ20,21,28
SIRQ

V5
T7
U6
W5

LAD0
LAD1
LAD2
LAD3

W4
U7
V6

LFRAME#
LDRQ#
SIRQ

MII

LPC

TXCLK
P17-2

E8

P17-3

MIITXD1

D7

P17-4
P17-5

C6

MIITXD3

B4

MIIRXCLK

A7

1
P17_GPIO11

R240 P17-1
10

P17-12

MIITXD0

MIITXD2
LAD0
LAD1
LAD2
LAD3

Y5

2
TP39

P17-6

R558
33

TXEN

33

TXD0

33

TXD1

33

TXD2

33

TXD3

TXCLK

30

TXEN

30

TXD0

30

TXD1

30

TXD2

30

TXD3

30

C329
10PF
LAN25MHZ

NC
OSC

R245
R260

GND

+3VAUX
C608
C618

OSC-25MHZ
R239
10

C328
10PF

NC

0.01UF

VCC

AS CLOSE AS POSSIBLE TO U18


OSC

GND

R232

VCC

10UF/10V-A

LAN25MHZ

30 LAN25MHZ

Put closed to 961 CHIP

R557

OSC32KHO
OSC32KHI

RXCLK

MIIRXDV

C7

RXDV

MIIRXER

C8

RXER

MIIRXD0

D8

RXD0

RXCLK

30

RXDV

30

RXER

30

RXD0

30

R265
10M
Y7

34
7,34

OSC32KHI

C2

OSC32KHI

OSC32KHO

D2

OSC32KHO

D3
D1

BATOK
PWROK

BATOK
PWRGD

BATOK
PWRGD

RTC

12/13

4
1

RXD1

A5

RXD1

30

RXD2

30

RXD3

30

3
2

KDS_CRY_DMX-26S_4P
C363

MIIRXD1

#4 NC_2
#1 NC_1

C355

10PF

10PF

RTCVDD
C598
0.1UF

C
SMBDAT

9,32,33 SMBDAT

25
29

SDATI0
SDATI1

18,25,29
25,29

SDATO
SYNC

AC_RESETBIT_CLK

25,29 AC_RESET25,29
BIT_CLK

32

R510
R209

0
0

R509

7,30,34

W2
T5

AC_SDOUT
AC_SYNC

P17-15

D6
Y1

AC_RESET#
AC_BIT_CLK

W3
G5
V3

PWRBTNPMESIS_PSONAUXOK

AUXOK

GPIO

GPIO19

P17-13
P17-14

SENTEST

20,26,34,38 PWRBTN21,28,29
PME-

GPIO20

AC_SDIN0
AC_SDIN1

SPKR

962A-2

A2
D5

REFCLK1

REFCLK1

18,25

RTCVSS

A1

SDATI0
SDATI1
SDATO
SYNC

RTCVDD

E4

B2

SMBCLK

9,32,33 SMBCLK

C1

AC97

PWRBTN#
PME#
PSON#

A3
A15

AUXOK
ACPILED

B5

MIIRXD3

A4

RXD3

MIICOL

B7

COL
CRS

MIICRS

E9

MIIMDC

C5

P17-7

MIIMDIO

E7

P17-8

MIIAVDD
MIIAVSS

B9
B8

COL

30

CRS

30

MDC

30

MDC
MDIO

MDIO

30

MIIAVDD
MIIAVSS

GPIO

ACPI
/OTHER

LAD3
LAD2
LAD1
LAD0
LDRQ-

1
2
3
4
R423

GPIO0

V2

SIRQ

R511

4.7K_R

SENTEST

GPIO1/LDRQ1#
GPIO2/THERM#

P17-9

ENBLT

26

T8

LID-

26

T4

MS-

11,22

KBSMI-

20

KBSMI-

GPIO3/EXTSMI#

T6

GPIO4/CLKRUN#

W1

BAYID-0

24

GPIO5/PREQ5#

U5

BAYID-1

24

GPIO6/PGNT5#

U4

BAYID-2

24

20 S4-

GPIO13/DPRSLPVR

E5

GPIO14

GPIO7

C4

P17-10

C14

GPIO8_A

P17_GPIO15
P17_GPIO16

E13

E6

P17-11

A16
D13

CLOSE PIN

B15

RP66
P17_GPIO15

SUSPEND-

20,28

GPIO8/RING

SUS_LED-

34

GPIO16

KBC
/geyserville

GPIO9/AC_SDIN2
GPIO10/AC_SDIN3

B3

KBSCI-

GPIO11

F5

P17_GPIO11

GPIO12/CPUSTP#

D4

KBSCI-

GPIO17

100K_R

+3VAUX

20

GPIO12/CPU_STPC619

C625

0.1UF

0.01UF

CE6

AS CLOSE AS POSSIBLE TO U18


CPU_STP-

SIS962A

100K_R

35,38,40 PSON-

AS CLOSE AS POSSIBLE TO U18

4.7KX4
8
7
6
5

Analog power of MII


L72
FCM2012V-121RC10-0805
MIIAVDD
1
2

GPIO18/PMCLK

Q23
2N7002
D
S
D

R555

SDATI1
R261
AC'97 Pull-Down:
In order to stabilize
962 AC'97 controller,
pull-down resistors on
SDATI1 and SDATI0 can
not be removed.

1
2
3
4

0_R
R542

32
VCC3

SDATI0

GPIO15

R544

BIT_CLK

C270
22PF_R

R548

R228

NEED NOT to place


close to 961

P17_GPIO16
B1

VCC3
4.7KX4_R
8
7
6
5
4.7K_R

EXTR2

R513 0

C621
0.1UF
S4-

4.7K_R
4.7K

RP18

R537
33

R263
R568

NEED NOT to place


close to 961

R259
33

ECVCC +3VAUX
PWRBTNPME-

10K 0603

OSCI
ENTEST
SPK

A14
B14
D14

MIIRXD2

RXD2

R305 10K
1
2

KBSMIKBSCI-

R208
R540

VCC3

ECS CORP. (G732 M/B)


SMBCLK
SMBDAT

R554
R556

4.7K
4.7K

Title

GPIO8_A

R246

4.7K

Size
A3

Document Number

Date:

Tuesday, March 11, 2003

+3VAUX

4.7K
4.7K

+3VAUX

SIS_PSON-

10UF/10V_1206

MIIAVSS

SIS962-2
Rev
1.H

G732-1-4-01
Sheet

17

of

41

+3VAUX
R230
R221
R226
R229
R222
R227

OC-0
OC-1
OC-2
OC-3
OC-4
OC-5

10K
10K
4.7K
10K
4.7K
10K

1
U24

GPIO24
GPIO21
GPIO22
GPIO23

EECS
EECK
EEDI
EEDO

+3VAUX
4.7K

EEDI

DI

DO

EECK

SK

VCC

EECS

CS

GND

NC1

NC2

R237

EEDO
+3VAUX

C335
0.1UF

93C46
U46C

They don't need to be placed near the SiS961


32

UCLK48M

27
27
27
27
27
27
27
27
27
27
27
27

UV0+
UV0UV1+
UV1UV2+
UV2UV3+
UV3UV4+
UV4UV5+
UV5-

UV0+
UV0UV1+
UV1UV2+
UV2UV3+
UV3UV4+
UV4UV5+
UV5OC-0
OC-1
OC-2
OC-3
OC-4
OC-5

+3VAUX
L73

FCM2012V-121RC10-0805

USBVDD

CT65

UCLK48M

R231
412_1%

10UF/10V_1206

USBREF

CB189

CB191

USBCLK48M

B18
C18
E14
D15
E16
E15
D18
D19
E18
F18
G18
G19

UV0+/RSDP0
UV0-/RSDM0
UV1+/RSDP1
UV1-/RSDM1
UV2+/RSDP2
UV2-/RSDM2
UV3+/RSDP3
UV3-/RSDM3
UV4+/RSDP4
UV4-/RSDM4
UV5+/RSDP5
UV5-/RSDM5

G20
J16
H17
G17
H16
G16

OC0#
OC1#
OC2#
OC3#
OC4#
OC5#

D16
F17

USBVDD
USBVDD

B17
E19

1UF_0805 0.1UF USBVSS

31 CTL1

D
V4

USB

USBVSS
USBVSS

CTL1

C11

NC

D0

A12

NC

D1

B12

NC

962A-3

L74
1

31 D0

FCM2012V-121RC10-0805
31 D1

AS CLOSE AS POSSIBLE TO U18

31 D2
31 D5

D2

C12

D5

A13

NC
NC
NC
NC
NC
NC

A19
C16
E17
C19
D20
F20

LREQ
IVDD_AUX
USBVDD
LINKON
GPIO22
GPIO21

NC
NC
NC
NC
NC
NC

A20
C17
D17
C20
E20
F19

LPS
IVDD_AUX
USBVDD
GPIO24
GPIO23
USBVSS

NC

F16

USBREF

NC
NC

B16
C15

OSC12MHI
USBPVSS

NC
NC

A18
A17

USBPVDD
OSC12MHO

NC
NC

B20
B19

USBREFAVDD
USBVSS

NC

B11

NC

D11

NC

A11

NC

E10

NC

D9

NC

B10

D3

31 SCLK_1394
31 D4
31 D6

NC
NC

D12

NC
NC

D4

E12

NC

D6

B13

NC

C13

LINKON

31

LPS

31

SISAUX1.8

AS CLOSE AS POSSIBLE TO U18


R264

IVDD_AUX
CTL0

C347
CTL0

31

0.1UF

C358

C633

0.01UF

10UF/10V_1206

L71
USBPVDD

2
L120

C620

C626

0.1UF

0.01UF

C623
10UF/10V_1206

USBPVSS

A10
TP72

SCLK_1394 E11

D7

31 D7

31

+3VAUX

NC
31 D3

LREQ

NC

A9

NC

C9

P17-12A

+3VAUX
R238

USBREFAVDD
NC

C10

NC

D10

C334
0.1UF

C343

C327

0.01UF

4.7UF/6.3V_0805

NC

SIS962A

AS CLOSE AS POSSIBLE TO U18


OSC12MHI
OSC12MHO
R256
10M
Y6
4
1

NC
#1

#3
NC

3
2

12MHZ

17,25
17,25,29

SPKR
SDATO

SPKR
SDATO

R516
R579

VCC3

SB Hardware Trap

4.7K_R
4.7K_R

C350

C359

15PF

20PF

Default

SPKR( LPC addr mapping)

disable

enable

SDATO( PCICLK PLL)

enable

disable

OC2-( SB debug mode)

enable

disable

OC5-( Trap mode)

PCI AD

ROM

A
ECS CORP. (G732 M/B)
Title
SIS962-3

Size
A3

Document Number

Date:

Tuesday, March 11, 2003

Rev
1.D

G732-1-4-01
Sheet

18

of

41

VCC3

VCC1.8V

CB103

4.7UF/6.3V_0805
CT61
10UF/10V_1206
CT62
10UF/10V_1206

CB61

CB121

1UF

1UF

0.1UF

CB58

CB97

1UF

0.1UF

CB87

CB88

0.1UF

0.1UF

0.1UF

CB59

CB71

CB84

0.1UF

0.1UF

0.1UF

1UF

CB164

CB72

VCC1.8V

VCCP

VCC3

U46D
G15
J15
J17
L15
L17
N15
P17
K15
G6
H15
L6
M15
R6
R10
R14

VDDZ
VDDZ
VDDZ
VDDZ
VDDZ
VDDZ
VDDZ
PVDDZ
IVDD
IVDD
IVDD
IVDD
IVDD
IVDD
IVDD

P15
R15

VTT
VTT

H6
K6
M6
P6
R7
R9
R11
R13

OVDD
OVDD
OVDD
OVDD
OVDD
OVDD
OVDD
OVDD

J6
N6
R8
R12

PVDD
PVDD
PVDD
PVDD

F9
F12

IVDD_AUX
IVDD_AUX

F7
F10
F11
F14
F15

OVDD_AUX
OVDD_AUX
OVDD_AUX
OVDD_AUX
OVDD_AUX

F8
F13

PVDD_AUX
PVDD_AUX

VCCP
Put under 961 SOLDER SIDE
C297
0.1UF
VCC1.8V
C294
CB60
0.1UF
0.1UF

VCC3

SISAUX1.8
CT25

+3VAUX

CB98

CB90

C336

0.1UF

0.1UF

0.1UF

CB89

CB104

C337
SISAUX1.8

0.1UF

0.1UF

CB102

CB82

C309

0.1UF

0.1UF

0.1UF

CB105

CB83

C310

0.1UF

0.1UF

0.1UF

SISAUX1.8
+3VAUX

CT64

0.1UF

10UF/10V_1206

CB118

CB117

1UF

0.1UF

VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS
VSS

H8
H9
H10
H11
H12
H13
J8
J9
J10
J11
J12
K8
K9
K10
K11
L8
L9
L10
L11
M8
M9
M10
M11
N8
N9
N10
N11
N12
N13

VSSZ
VSSZ
VSSZ
VSSZ
VSSZ
VSSZ
VSSZ
VSSZ
VSSZ
VSSZ

J13
J19
K12
K13
L12
L13
L19
M12
M13
P19

962A-4
Power

GND

SIS962A

A
ECS CORP. (G732 M/B)
Title
SIS962-4

Size
A3

Document Number

Date:

Tuesday, March 11, 2003

Rev
1.0

G732-1-4-01
Sheet

19

of

41

C532
2200PF

DXN

C527
2200PF_R
CN12

TP14
TP16
TP40
17,21
LAD0
17,21
LAD1
17,21
LAD2
17,21
LAD3
32 LPC_EC_CLK

ECVCC
R501
10K

17,21

LDRQ17,21

C575
1UF_0805

LPC_PD

37
23
26
26
23R499

ACINDJ_SWMAIL-KIE-KDJ_INT-

+3VAUX

R500
10K
D52

PS2DATA
PS2CLK
TPSDATA
TPSCLK

TP28
SIRQ

VCC5
10K
7,11,21,24,28,29,35 PCIRST17,28 SUSPENDTP35

C563
0.1UF

23

PLAY_LED-

26
26
26
26

BRADJ2
LED_CAPLED_NUMLED_SCR-

1
2
3
4
5

A
C
A
17
BAS316_SOD323
34,37
10
SPSDATA
9
KBSCLK
8
KBSDATA
7
SPSCLK
6

RP36

10KX8

23,34,35,37 EC_SUSB-

LFRAME-

17,21,28

VCC3
R505
10K

R502

23

S4ENCHG
TP37
TP38

TPSCLK

P20-21
D47
23

TPSDATA

25
5
6
15
14
13
10
18
KB_SCI31
0_R P20-20 8
9
LPC_PD
24
P20-3
19
PWUREQ 23
7
KB_SMI22
26
DJ_SW29
MAIL-K172
IE-K176
DJ_INT30
P20-2
44
165
175
LCMRWR- 171
PWRSW2
FANPWM0 32
FANPWM1 33
PLAY_LED- 36
BATT_LOW 37
38
39
40
43
P20-19
41
42
54
P20-6
55
P20-7
168
KBSCLK
SPSCLK
TPSCLK
PS2CLK
KBSDATA
SPSDATA
TPSDATA
PS2DATA

110
114
116
118
111
115
117
119

VOL_UP
VOL_DOWN

L83 1

C219
12PF

13
14
15
17
18
19
20
21

PROG
FHD0
FHD1
FHD2
FHD3
FHD4
FHD5
FHD6
FHD7

VPP

FHA18

VCC

32

GND

16

U17
ENV0
ENV1
BADDR0
BADDR1

FHCSFHRDFHWR-

12
11
10
9
8
7
6
5
27
26
23
25
4
28
29
3
2
30

A0
A1
A2
A3
A4
A5
A6
A7
A8
A9
A10
A11
A12
A13
A14
A15
A16
A17

22
24
31

CE#
OE#
WE#

D0
D1
D2
D3
D4
D5
D6
D7

BADDR0 R128

10K_R

BADDR1 R129

10K_R

TRIS

R130

10K_R

SHBM

R131

10K

SHBM=1:Enable shared memory with host BIOS


TRIS=1:While in IRE and OBD,float all the
signals for clip -on ISE use
I/O Address
BADDR1-0
Index
Data
2F
2E
0 0
0 1
4F
4E
(HCFGBAH,HCFGBAL) (HCFGBAH,HCFGBAL)+1
1 0
Reserved
1 1

ECVCC
D4
P20-1A

A
1PS76SB10_SOD323

C220
0.1UF

VCC5
CN24
RP7
23
23
23
23

PLAY/PAUSE
STOP/EJECT
FF
RW

PLAY/PAUSE
STOP/EJECT
FF
RW

1
2

1
2
3
4

8
7
6
5

PLAY/PAUSE--1
STOP/EJECT--1
FF--1
RW--1

PLAY/PAUSE--1 23
STOP/EJECT--1 23
FF--1
23
RW--1
23

C521
10UF/10V-A

C522

0X4_R
ECVCC
RP3
1
2
3
4

ECVCC
CN31

23
23

PLAY/PAUSE--1
STOP/EJECT--1
FF--1
RW--1

8
7
6
5

VCC5
CN10

1
2
3
4
5
6
7
8
9
10

10K_8P4R
C535
10UF/10V-A

ECVCC
RP6

1
2

C528

D48

PLAY/PAUSE
STOP/EJECT
FF
RW

8
7
6
5

P20-5

FANPWM1 3

Q6
SI3442_TSOP6

ECVCC

L120

C529
0.1UF
ECVCC

VCC3

11
12
20
21
85
86
91
92
97
98

hrs_df13-02p125h

BAS316_SOD323

0.1UF

1
2
3
4

hrs_df13-10p125h

L53

hrs_df13-02p125h

0.1UF

10K_8P4R

2 L120
P20-4

29C020_PLCC32

FHCSP20-16

TP27
153 STOP/EJECT--1
VOL_UP
154
162 VOL_DOWN
96
95
17
35
46
122
137
159
167
161
34
45
123
136
157
166
16

KDS_CRY_DMX-26S_4P

TCK
TDI
TDO
TINTTMS

17

KBSCI-

17

KBSMI-

17,26,34,38 PWRBTN-

PWRBTN-

D54 1PS76SB10_SOD323
KB_SCIA
C
A
C
D55 1PS76SB10_SOD323
KB_SMIA
C
A
C
D53
PWRSWC
A
1PS76SB10_SOD323

ECVCC
R503
10K

VCC3
ECVCC

ECVCC

C269 0.1UF
C268 1UF
C250
0.1UF

C251
0.1UF

C530
0.1UF

C531
0.1UF

C564
0.1UF

C215

C533
10UF/10V-A

0.1UF

U22A
7414_SSOP14

MAIL-K-

R192

10K

IE-K-

R196

10K

D19
BATT_LOW C

A P20-18
C
A
BAS316_SOD323

13

12

7414-NC-1

11

10

7414-NC-2 9

8
7

C
A VCC5
C
A
BAS316_SOD323

CLKRUNA20G
P20-1

R168
20M
ENV0
ENV1
R147
BADDR0
BADDR1
121K_1%
TRIS
SHBM
FHA6
FHA7
FHA8
FHA9
FHA10
FHA11
FHA12
FHA13
FHA14
FHA15
FHA16
FHA17
FHA18
P20-10
TP5
FHD0
FHD1
FHD2
FHD3
FHD4
FHD5
FHD6
FHD7
ON3VO
TP6
ON5VO
TP7
VAUXON
TP8
RW--1
P20-11
TP9
SUSC35,40
SUSPENDDJ_ONDJ_ON35,38
FHRDFHWRP20-13
TP13
PRE_CHG
37
PLAY/PAUSE--1
FF--1
RW
P20-14
DJ_LED- TP19
DJ_LED23
PWRBTN-

DEV

U
P
C
r
o
F

AS CLOSE AS POSSIBLE TO U91

AMP_1470047_4_24_TOP

71
72
73
74
77
78
79
80
49
50
51
52
53
56
57
58
59
60
61
64
65
66
67
68

124
125
126
127
128
131
132
133
143
142
135
134
130
129
121
120
113
112
104
103
138
139
140
141
144
145
146
147
148
149
155
156
3
4
27
28
150
151
152
48
76
62
63
69
70
75
106
108
107
105
109
173
174

3
2

10K

S
Y
S
r
o
F

KBIN0
KBIN1
KBIN2
KBIN3
KBIN4
KBIN5
KBIN6
KBIN7
KBOUT0
KBOUT1
KBOUT2
KBOUT3
KBOUT4
KBOUT5
KBOUT6
KBOUT7
KBOUT8
KBOUT9
KBOUT10
KBOUT11
KBOUT12
KBOUT13
KBOUT14
KBOUT15

KBIN0
KBIN1
KBOUT0
KBOUT1
KBIN2
KBIN3
KBIN4
KBIN5
KBOUT2
KBIN6
KBIN7
KBOUT3
KBOUT4
KBOUT5
KBOUT6
KBOUT7
KBOUT8
KBOUT9
KBOUT10
KBOUT11
KBOUT12
KBOUT13
KBOUT14
KBOUT15

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24

NC-1
CONADJ
591P101
591P102

A0/ENV0/IOPH0
A1/ENV1/IOPH1
A2/BADDR0/IOPH2
A3/BADDR1/IOPH3
ANALOG
A4/TRIS/IOPH4
I/F
A5/SHBM/IOPH5
A6/IOPH6
A7/IOPH7
A8/IOPK0
A9/IOPK1
A10/IOPK2
A11/IOPK3
A12/IOPK4
A13/BE0/IOPK5
A14/BE1/IOPK6
A15/CBRD/IOPK7
KBSIN0
A16/IOPL0
KBSIN1
KBSIN2
A17/IOPL1
KBSIN3
A18/IOPL2
A19/IOPL3
KBSIN4
KBSIN5
BIU & DSS D0/IOPI0
D1/IOPI1
KBSIN6
KBSIN7
D2/IOPI2
KBSOUT0
D3/IOPI3
KBSOUT1
D4/IOPI4
KBC
KBSOUT2
D5/IOPI5
KBSOUT3
D6/IOPI6
D7/IOPI7
KBSOUT4
D8/IOPM0
KBSOUT5
KBSOUT6
D9/IOPM1
D10/IOPM2
KBSOUT7
D11/IOPM3
KBSOUT8
D12/IOPM4
KBSOUT9
KBSOUT10
D13/IOPM5
KBSOUT11
D14/IOPM6
D15/IOPM7
KBSOUT12
KBSOUT13
RD#/IOPJ0
KBSOUT14
WR0#/IOPJ1
KBSOUT15
SELIO#
WR1#/IOPL4
CLKRUN#/EXWINT46/IOPE7
BRKL#_RSTO#/IOPJ7
GA20/IOPB5
BST0/IOPJ2
KBRST#/IOPB6
BST1/IOPJ3
LAD0
BST2/IOPJ4
LAD1
PFS#/IOPJ5
PLI/IOPJ6
LAD2
LAD3
TCK
TDI
LCLK
ECSCI#/IOPD3
TDO
LDRQ#
TINT#
TMS
LFRAME#
SEL0#
LPCPD#/EXWINT45/IOPE6
SEL1#
LRESET#
PWUREQ
URXD/IOPB0
SERIRQ
USART
SMI#
UTXD/IOPB1
USCLK/IOPB2
EXWINT20/RI1#/IOPD0
EXWINT21/RI2#/IOPD1
AGND
EXWINT22/TB1/IOPC4
EXWINT23/TB2/IOPC6
AVCC
GND
EXWINT24/IOPD2
GND
EXWINT40/IOPE5
PFAIL#/RING#/IOPB7
GND
TA2/IOPC5
GND
HOST
TA1/IOPC3
GND
ICU
GND
SWIN/IOPE4
PWM0/IOPA0
GND
MIWU
POWER &
PWM1/IOPA1
VBAT
PWM
GROUND
PWM2/IOPA2
VCC
VCC
PWM3/IOPA3
MSWC
PWM4/IOPA4
VCC
VCC
PWM5/IOPA5
VCC
PWM6/IOPA6
VCC
PWM7/IOPA7
IOPD4
VDD
IOPD5
IOPD6
NC1
NC2
IOPD7
NC3
IOPC0
NC4
NC5
PSCLK1/IOPF0
NC6
PSCLK2/IOPF2
NC
NC7
SCLK3/IOPF4
NC8
PSCLK4/IOPF6
PS2 I/F
PSDAT1/IOPF1
NC9
NC10
PSDAT2/IOPF3
PSDAT3/IOPF5
PSDAT4/IOPF7

#4 NC_2
#1 NC_1

R127

1
2
5
6

DXP

AS CLOSE AS POSSIBLE TO U91

AD0
AD1
AD2
AD3
AD4/IOPE0
AD5/IOPE1
AD6/IOPE2
AD7/IOPE3
AD8/DP
AD9/DN
DA0
DA1
DA2
DA3

OBD

ENV1

Y3
4
32KO 1

ICHG
IINP
THRMPLAY/PAUSE
STOP/EJECT
C526
2200PF_R
FF

81
82
83
84
87
88
89
90
93
94
99
100
101
102

ACCESS
BUS
I/F

36 BATIN37 VBAT

SCL1/IOPB3
SCL2/IOPC1
SDA1/IOPB4
SDA2/IOPC2

163
169
164
170

IRE

EC_SMB_CLK
EC_SMB_CLK2
EC_SMB_DATA
EC_SMB_DATA2

PC87591_LQFP176
32KIN
32KCLKIN/32KX1 158
32KOUT
32KX2 160 P20-8
CLOCKS
CLK 47 P20-9
TP2
CLKOUT/SIOCLKIN/IOPC7 1
TP1

TRIS

36
23
36
23

C242
10PF

10KX8
U43

ENV1

10K

ENV0

10K

ECVCC

AS CLOSE AS POSSIBLE TO U91


THRM-

10K

10K

R181

IINP
NC-1

14

R171 R188

10
9
8
7
6

R175

RP35
1
2
3
4
5

ICHG
591P102
591P101
CONADJ

ECVCC

ECVCC

C271
U22F
7414_SSOP14

U22E
7414_SSOP14

U22D
7414_SSOP14

P20-17

BATTONE

25

ECS CORP. (G732 M/B)

U22B
7414_SSOP14

R210

Title
KBC PC87591

82.5K_1%

0.01UF

Size
A3

Document Number

Date:

Tuesday, March 11, 2003

Rev
1.F

G732-1-4-01
Sheet

20

of

41

R409
KBCS-

VCC5
4.7K
R433

ROMCS4.7K

VCC3

76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100

C
VCC5
C517
0.1UF

XA6/GP43
XA7/GP44
XA8/GP45
XA9/GP46
XA10/GP47
XA11/GP50
XA12/GP51
XA13/GP52
XA14/GP53
GND
XA15/GP54
XA16/GP55
XA17/GP56
XA18/GP57
VCC
HEAD#
RDATA#
WP#
TRACK0#
WE#
WD#
STEP#
DIR#
MOA#
DSKCHG#

XA5/GP42
XA4/GP41
XA3/GP40
XA2/GP27
XA1/GP26
XA0/GP15
ROMCS#/GP14/PENROM#
MEMW#/GP13
MEMR#/GP12
XD7/GP37
XD6/GP36
XD5/GP35
XD4/GP34
XD3/GP33
GND
XD2/GP32
XD1/GP31
XD0/GP30
IOW#/GP25
IOR#/GP24
IRQ12IN/GP23
IRQ1IN/GP22
MCCS#/GP21
KBCS#/GP20/PENKB#
VCC3V

75
74
73
72
71
70
69
68
67
66
65
64
63
62
61
60
59
58
57
56
55
54
53
52
51

U39
C505
0.1UF

IRSEL0
IRTX
IRRX
RIA#
DCDA#
SOUTA/PEN48
SINA
DTRA#/PNPCSV#
RTSA#/HEFRAS
DSRA#
VCC
CTSA#
STB#
AFD#
ERR#
GND
INIT#
SLIN#
PD7
PD6
PD5
PD4
PD3
PD2
PD1

50
49
48
47
46
45
44
43
42
41
40
39
38
37
36
35
34
33
32
31
30
29
28
27
26

IRSEL0
IRTX
IRRX
P21-7
P21-8
TXDA
P21-10
P21-5
P21-4
P21-9

VCC5
VCC5

TP41
TP42
TP43

4.7K_R
4.7K

TP44
VCC5
TP45
STBAFDERROR-

P21-11

PRINITSLCTIN-

R402
R401

22
22
22

R4
10_0805

22
LPT722
LPT6
LPT5
LPT4
LPT3
LPT2
LPT1
LPT0

R3

C415

0_0805

470PF

C671
10UF/10V-A

R1
5.6-1206

2
IRRX IRRX
P21-3

LPT[0..7]

22

C1
0.1UF

C6

C7

4
6
8

P21-1

IRED A

RxD

TxD

IRTX

IRTX

VCC

SD

IRSEL0_IRRXH

IRSEL0

GND SC_MODE

IRED C

C2
10UF/10V-A

VISHAY_TFDU6102E_FIR
470PF

10UF/10V-A

C503
0.1UF

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25

W83L517D
VCC5
22
22
22
22

LFRAMELDRQLPC_IO_CLK
SIRQ
VCC3

17,20
17,20
32
17,20,28

B
R403
4.7K

TXDA

NC
48MHz
4.7K 24MHz

LAD1
LAD2
LAD3

ACKBUSY
PE
SLCT

R432
10K

LAD0

P21-6

32 SIO24M
17,28,29 PME7,11,20,24,28,29,35 PCIRST-

P21-2

U1

LPT[0..7]

DSA#
INDEX#
DRVDEN0
P80CS#/GP10
RTCCS#/GP11
CLKIN
PME#
LREST#
PDCTL#
GND
SERIRQ
PCICLK
LDRQ#
LAD0
VCC3V
LAD1
LAD2
LAD3
LFRAME#
PRT_NFDD#
SLCT
PE
BUSY
ACK#
PD0

8.GND
7.NC/MODE
6.VCC
5.SD/MODE
4.RXD
3.TXD
2.LED_K
1.LED_A

VCC5

LAD[0..3]

17,20

VCC3

C511
0.1UF

A
ECS CORP. (G732 M/B)
Title
SUPER I/O & IR

Size
A3

Document Number

Date:

Tuesday, March 11, 2003

Rev
1.B

G732-1-4-01
Sheet

21

of

41

VCC5

D
11

HSY

VCC5

U2

D42
BAS316_SOD323

P22-6

R321 1K

NC7SZ125_SOT23-5
3

P22-1

P22-3
P22-4
P22-5

R11

100

DDC2BD

R12

100

DDC2BC

HSYN
VSYN

11

VSY

11 VGADATA

U33

11 VGADDCLK
P22-7
P22-8AA

33
33

R328
R350

R358
75_1%

MS-

11,17

P22-2

10
11
12

DDC2BD

13

HSYN

14

VSYN

15

DDC2BC

7
8

C461
22PF_R

C420
22PF

C422
22PF

C462
22PF_R

C421
22PF

C8
22PF

C419
22PF

suyin-7535s15g2t-crt15p

18

R359
75_1%

VCC3

C14
22PF
75_1%
C463
22PF_R

47K

CN18
9

R357
NC7SZ125_SOT23-5

R320
1K

2
2
2

1
1
1

11 RED
11 GREEN
11 BLUE

VCC5

R14
2.2K

FCM2012V-121RC10-0805
FCM2012V-121RC10-0805
FCM2012V-121RC10-0805

19

L47
L46
L45

R322

R15
2.2K

22PF
C15

VCC5
D1
LPTVCC
1PS76SB10_SOD323
C17
0.1UF

29

CN19
suyin-7536525g2t-lpt1
PRN CONN.
RSTB1
RAFD14
RLPT0
2
RERROR15
RLPT1
3
RPRINIT16
RLPT2
4
RSLCTIN17
RLPT3
5
18
RLPT4
6
19
RLPT5
7
20
RLPT6
8
21
RLPT7
9
22
RACK10
23
RBUSY
11
24
RPE
12
25
RSLCT
13

LPT[0..7]
R343
R341
R339
R337
R336
R335
R334
R333

33
33
33
33
33
33
33
33

LPT0
LPT1
LPT2
LPT3
LPT4
LPT5
LPT6
LPT7

LPT[0..7]

21

RP1

RLPT6
RLPT5
RLPT4
RLPT3
LPTVCC

1
2
3
4
5

C427 C428 C429 C430 C431 C433 C435 C437

10
9
8
7
6

LPTVCC
RLPT7
RACKRBUSY
RPE

10
9
8
7
6

LPTVCC
RLPT1
RPRINITRLPT2
RSLCTIN-

1K_10P8R

220PF 220PF 220PF 220PF 220PF 220PF 220PF 220PF

R345
R344
R342
R340
R338
R332
R331
R330
R324

28

C16
0.1UF

33
33
33
33
33
33
33
33
33

STBAFDERRORPRINITSLCTINACKBUSY
PE
SLCT

21
21
21
21
21
21
21
21
21

RP2

RERRORRLPT0
RAFDRSTBLPTVCC

1
2
3
4
5
1K_10P8R
R323

RSLCT

LPTVCC

C423 C424 C425 C426 C432 C434 C436 C438 C439

1K

220PF 220PF 220PF 220PF 220PF 220PF 220PF 220PF 220PF

A
ECS CORP. (G732 M/B)
Title
CRT & P.P.

Size
A3

Document Number

Date:

Tuesday, March 11, 2003

Rev
1.0

G732-1-4-01
Sheet

22

of

41

RP34

CDD[0..15]

CDD[0..15]

CDD6
CDD5
CDD4
+5VDJ

24

1
2
3
4
5
10K_10P8R

U50

R611
10K

10K

R612

10K

VOL_UP
DJ_SWIDEDB[0..15]

16 IDEDB[0..15]
VCC3
IDEREQB

IDEIRQB

IDEDB7

R325

R17

R36

R613

4.7K_R

5.6K_R

10K_R

10K
16
16
16
16
16
16

ICHRDYB
C

HRESET#

IDEDB0
IDEDB1
IDEDB2
IDEDB3
IDEDB4
IDEDB5
IDEDB6
IDEDB7
IDEDB8
IDEDB9
IDEDB10
IDEDB11
IDEDB12
IDEDB13
IDEDB14
IDEDB15

76
78
81
83
86
90
95
97
2
4
8
11
15
18
20
22

HDD0
HDD1
HDD2
HDD3
HDD4
HDD5
HDD6
HDD7
HDD8
HDD9
HDD10
HDD11
HDD12
HDD13
HDD14
HDD15

IDEREQB
IDEIOW-B
IDEIOR-B
ICHRDYB
IDACK-B
IDEIRQB

12
6
99
93
88
74

HDMARQ
HDIOW#
HDIOR#
HIORDY#
HDMACK#
HINTRQ

CDRST-

IDEREQB
IDEIOW-B
IDEIOR-B
ICHRDYB
IDACK-B
IDEIRQB

+5VDJ
IDESAB[0..2]

16 IDESAB[0..2]
R583
47K

IDECS-B[0..1]

16 IDECS-B[0..1]

TP55
4

Q45
2N7002

20,34,35,37 EC_SUSB-

Y8

XOUT

NC

XIN

R295
B

25

DC_VOL

R296

P26-1

158K_1%

63
61

HCS-0
HCS-1

CCS-0
CCS-1

64
62

CCS-0
CCS-1

DASP-

59

HDASP#

CDASP#

60

CDASP-

ISCDROM

80

ISCDROM

RESET#

30

P23_RESET-

PWR_CTL

51

P26-7

COM0
COM1
D1
D2
D3
D4
D5
D6
D7
D8

52
53
55
54
50
49
48
47
46
45

VCC
VCC
VCC

9
44
58

GND
GND
GND
GND
GND

16
33
65
85
92

+5VDJ

20
20
20
20

ECVCC

TPSCLK
TPSDATA

C293
0.1UF

INCN

43

UDN

56
57

MODE0
MODE1

PCSYSTEM_OFF

29

PCSYSTEM_OFF

VOL_DOWN
VOL_UP

40
39

GPIO0/DN#
GPIO1/UP#

STOP/EJECT--1
RW--1
FF--1
PLAY/PAUSE--1
PAV_EN
10K

37
34
35
36
28

STOP/EJECT
REWIND
FFORWARD
PLAY/PAUSE
PAV_EN

27
26

SCLK
SDATA

C281
C291
C282
C292
C283

20

25

INT#

38

PAVMODE

STOP/EJECT--1
RW--1
FF--1
PLAY/PAUSE--1
+5VDJ

R582

20 EC_SMB_CLK2
20 20 EC_SMB_DATA2

DJ_LED-

100PF
100PF
100PF
100PF
100PF

P26-5

R297
47K

C665
100PF

20 DJ_INTP26-6
h
4h
4
B3
==
DD
II
::
H
GW
IO
HL
E
D
O
M
V
A
P

PLAY_LED-

C289 100PF
C290 100PF

VCC5
TPSCLK
TPSDATA
DJ_SW-

DJ_SW-

20
20

CS#

42

20 VOL_DOWN
20 VOL_UP

CN14
PLAY_LEDVOL_DOWN
VOL_UP
DJ_LEDSTOP/EJECT
PLAY/PAUSE
RW
FF
DJ_SW-

OSCO

41

C655
10PF

P26-4

R614
47K

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16

32

R596
10K

CDMARQ
CDIOWCDIORCIORDYCDMACKCINTRQ

24
24
24
24
24
24

CDA0
CDA1
CDA2

24
24
24

CCS-0
CCS-1

24
24

CDASP-

24

ISCDROM

24

R312

ISCDROM

R298

+5VDJ

10K
47K
CDMARQ

R285

R313
47K

D29
1PS76SB10_SOD323

R277
10K

25

C387
10UF/10V-A

Q13
2N7002
G

Q19
2N7002

R315
G
47K_R
G

+5VDJ

SHUTDOWN

PCIRST

35
B

+5VDJ

+5VDJ

+5VDJ

R609
10K
C408
0.1UF

C389
0.1UF

C404
10UF/10V-A
P26-7

OZ165_TQFP100_DJ
ECS CORP. (G732 M/B)

20

Title
STOP/EJECT
RW
FF
PLAY/PAUSE

amp_1470047-4-16_top

STOP/EJECT
RW
FF
PLAY/PAUSE

AUDIO DJ

20
20
20
20

Size
B
Date:

CINTRQ

5.6K

IDECS-B0
IDECS-B1

OSCI

R282
1K

+5VDJ

CDA0
CDA1
CDA2

31

CIORDY-

10K

69
71
67

UDN

+5VDJ

CDMARQ
CDIOW#
CDIOR#
CIORDY#
CDMACK#
CINTRQ
TOUCHDOWN

+5VDJ
CDD12
CDD13
CDD14
CDD15

10
9
8
7
6

R618

CDA0
CDA1
CDA2

UDN

C406
0.1UF

CDMARQ
CDIOWCDIORCIORDYCDMACKCINTRQ
SW-ON

RP64
1
2
3
4
5

CDD7

HDA0
HDA1
HDA2

P26-3

158K_1%

C405
0.1UF

13
5
100
94
89
75
73

CDD8
CDD9
CDD10
CDD11
+5VDJ

24

10K_10P8R

ACTIVITY

CRY8M_SMD_4P
C649
10PF

CDD0
CDD1
CDD2
CDD3
CDD4
CDD5
CDD6
CDD7
CDD8
CDD9
CDD10
CDD11
CDD12
CDD13
CDD14
CDD15

68
70
66

P26-2

1M

77
79
82
84
87
91
96
98
1
3
7
10
14
17
19
21

72

NC

R593

CDD0
CDD1
CDD2
CDD3
CDD4
CDD5
CDD6
CDD7
CDD8
CDD9
CDD10
CDD11
CDD12
CDD13
CDD14
CDD15

CRST-

IDESAB0
IDESAB1
IDESAB2

PCSYSTEM_OFF
D

CRESET#

23

35

VOL_DOWN

24

R610

ECVCC

+5VDJ
+5VDJ
CDD0
CDD1
CDD2
CDD3
CD7 not connect

10
9
8
7
6

Document Number
G732-1-4-01
Tuesday, March 11, 2003

Rev
1.0
Sheet
1

23

of

41

Place the damping resistors for


IDEA[2:0][A:B], IDECS[1:0][A:B],
IDEIOR[A:B]#,
7,11,20,21,28,29,35
IDEIOW[A:B]#, and
IDACK[A:B]# near
SiS650
The length must be less than 1 inch
16
16
16
16
16
16

VCC5
CN22
R449

PCIRST-

P24-2

0
C669
1000PF

R413
5.6K

IDEREQA
IDEIOW-A
IDEIOR-A
ICHRDYA
IDACK-A
IDEIRQA

44
42
40
38
36
34
32
30
28
26
24
22
20
18
16
14
12
10
8
6
4
2

HDD7
HDD6
HDD5
HDD4
HDD3
HDD2
HDD1
HDD0

RIDEREQA
RIDEIOW-A
RIDEIOR-A
RICHRDY-A
RIDACK-A
RIDEIRQ-A

ICHRDY-A

HDA1
HDA0
R64

10K_R

26 LED_HDD-

16 IDECS-A0
RIDECS-A0
HDA0
HDA2
HDA1

IDESAA[0..2]

16 IDESAA[0..2]

IDESAA0
IDESAA2
IDESAA1

IDEDA[0..15]

43
41
39
37
35
33
31
29
27
25
23
21
19
17
15
13
11
9
7
5
3
1

HDD8
HDD9
HDD10
HDD11
HDD12
HDD13
HDD14
HDD15

IDEDB[0..15]

IDEDA[0..15]

16

IDEDB[0..15]

16,23

D
GND MASTER
NC SLAVE

PHDDSEL

R72

HDA2
RIDECS-A1

HDD0
HDD1
HDD2
HDD3

IDEDA0
IDEDA1
IDEDA2
IDEDA3

HDD4
HDD5
HDD6
HDD7

IDEDA4
IDEDA5
IDEDA6
IDEDA7

CBLIDA

16

IDECS-A1

16

VCC5

speed-a12108x067-hdd

R448
5.6K

C66
0.1UF

C72
0.1UF
VCC5

VCC3

C476
0.1UF

+
C470
2200PF

IDEDA8
IDEDA9
IDEDA10
IDEDA11

HDD12
HDD13
HDD14
HDD15

IDEDA12
IDEDA13
IDEDA14
IDEDA15

C32
100UF/10V-D

R508
4.7K_R

HDD8
HDD9
HDD10
HDD11

ICHRDY-A
CLOSE TO HDD

Place the damping resistors for


IDED[15:0][A:B], IDREQ[A:B],
ICHRDY[A:B], and
IDEIRQ[A:B] near IDE connectors
The length must be less than 4
inches

62
61

CLOSE TO HDD CONN.

25
25

23

CD_L
CDGND

CD_L
CDGND

CRSTR539

C670
1000PF

23
23
23
23
23
23
23

+5VDJ

CDMARQ
CDIOWCDIORCIORDYCDMACKCINTRQ
CDASP-

CDMARQ
CDIOWCDIORCIORDYCDMACKCINTRQ
CDASP-

P24-1A
CDD7
CDD6
CDD5
CDD4
CDD3
CDD2
CDD1
CDD0

60
58
56
54
52
50
48
46
44
42
40
38
36
34
32
30
28
26
24
22
20
18
16
14
12
10
8
6
4
2

59
57
55
53
51
49
47
45
43
41
39
37
35
33
31
29
27
25
23
21
19
17
15
13
11
9
7
5
3
1

CD_R
ISCDROM

CDD8
CDD9
CDD10
CDD11
CDD12
CDD13
CDD14
CDD15
CDS2
CDCS-B1
CDCS-B0
CDS0
CDS1
P24-1

25
23

CDD[0..15]
CDA2
CCS-1
CCS-0
CDA0
CDA1
TP56
CD_LED-

26

23

+5VDJ

+
C638
0.1UF

CDD[0..15]

23
23
23
23
23

C637
0.1UF

C381
100UF/10V-D

CN30
speed_k04-105-1607_cdrom
VCC3
DEVICE
CD-ROM
DVD-ROM
CD/R
CD/RW
DVD/RW
FLOPPY

BAYID0
0
0
0
0
1
1

BAYID1
0
0
1
1
0
0

BAYID2
0
1
0
1
0
1

RP17
5
6
7
8

4
3
2
1

BAYID-2
BAYID-1
BAYID-0

17
17
17

BAYID-0
BAYID-1
BAYID-2

BAYID-0
BAYID-1
BAYID-2

10KX4

ECS CORP. (G732 M/B)


Title
HDD & CD-ROM CONN.

Size
A3

Document Number

Date:

Tuesday, March 11, 2003

Rev
1.0

G732-1-4-01
Sheet

24

of

41

VCC3
+ADD

+
C485
0.1UF

2
C588

C498
22PF

1
9

DVDD1
DVDD2

2
3

XTL_IN
XTL_OUT

4
7

R388

5
6
8
10
11

P19-44

18
17
SDATI0
17,29
SYNC
17,29 AC_RESET-

0.1UF

25
38

VREF

27

VREF

VREFOUT

28

VREFOUT

VIDEO_R

17

P19-34

C473

0.1UF

VIDEO_L

16

P19-35

C76

0.1UF

P19-11

C39
1UF

P19-12

31

VRAD

C28
1UF

P19-13

32

VRDA

AFILT1

CD_L

18

CD-L

20

CD-R

CD_GND

19

CD_GND

LINE_IN_R

24

P19-32
P19-33

ALC201_LQFP48
30

P19-14

33

AFILT2

24

CD_R

24

CDGND

24

P19-1

34

TP58

P19-2

43

TP59

P19-3

44

TP60

P19-4

45

0.1UF

0.1UF

C587
CD_GND

LINE_OUT_R

36

R-OUT

R521
0_R

0.1UF

CLOSE TO CDROM CONN.

MONO_OUT

37

PHONE

13

P19-49

MIC2

22

MIC1

21

AUX_R

15

AUX_L

14

HP_OUT_L/CAP11

39

NC

40

HP_OUT_R/CAP13

41

ID0#

P19-5

46

ID1#

TP63

P19-6

47

EAPD

48

SPDIFO

P19-54

2.2K

29

P19-36 C464

100PF P19-37
+

29

L300

2.2K

R354

100K

C13
1000PF

C21
10UF/10V-A

R355

R346
10K

4
U3
LMV321_SOT23-5

1K

C456

P19-8
C460

P19-9

INT. MIC CONN.

1UF
5VDJ

+5VDJ
L3
1
2
HF70ACB_Y

1000PF

26
42

P25-4

PCSPKI

4.7K

1UF

R397

C481

R532

CD_R

23

BATTONE

23

1UF

C664

C490

C491

0.1UF

0.1UF

1UF

R535

CD_L

P19-16

10K
L-OUT

SPDIF

R6

P19-40

L120
HP_SENSE-

R8

P19-39
L120

C27
47UF/6_3V_B
R5
1K

R7
1K

C11
C12
C452
1000PF 1000PF 1000PF

C92
1UF

P19-15

R_DO

8
10

R_IN1
R_IN0

24

SHUTDOWN

MUTE

DC_VOL

25

C23
0.1UF_R

LM4839_TSSOP28

R_OUTR_OUT+

26
28

BYPASS

22

MUX_IN

HP_SENSE

21

CN6

R-SPKR-SPK+

P19-28

BOOST#

19

BOOST-

12
7

L_IN0
L_IN1

L_OUT+
L_OUT-

15
17

L-SPK+
L-SPK-

P19-17 13

L_DO

10K
R10
0_R

R27

R26

10K

10K

R364
10K

MUX_IN
R360
100K

0 = CD-ROM
1 = CODEC
R349
100K
HP_SENSE-

SHUTDOWN

R347
10K

P19-27

5VDJ

C25
0.1UF_R

R348
100K

5VDJ
HP_SENSE

CN2
1
2
6
3
4
5
7

C458
0.1UF_R

D43
A
C DC_VOL
A
C
BAS316_SOD323

VCC5

L80

ECS CORP. (M/B)

HF70ACB_Y

jack-hsji594-hosiden
LINE OUT

Title
AUDIO CODEC & AMP.
Z19
1

JOPEN_120x60_MIL
2

Size
Document Number
CustomG732-1-4-01
Date:

hrs_df13-04p125v

5VDJ

HP_SENSE

BEEP

1
2
3
4

R353

C24
1UF
VCC5

11

P19-19
P19-18

C73
1UF

JACK_GND

hrs_df13-02p125v

C20
10UF/10V-A

0.1UF

R534
10K_R

C453
1000PF_R

P19-48

P19-20

P25-3

0.1UF

R-SPK-

DC_VOL
C95

C672

L-SPK-

MUTE

10K

CDGND

C480

4.7K

C26
47UF/6_3V_B
P19-47

P19-23
P19-24

SHUTDOWN

SHUTDOWN

R25

N
N
O
C
M
O
R
D
C
o
t
e
s
o
l
C

R396

P19-25

10K

C94
1UF

R533
10K_R

1UF

4.7K

R28

10K
P19-22

10K

P25-2

U5

R29
10K

R44

P19-21

L_BOOST

C482
P25-1

C466
0.1UF

18

U22C
7414_SSOP14

C93
1UF

R_BOOST

C492

4
16
27

P19-26

1
6
14
20
23

R398

C459
0.1UF
5VDJ

R-OUT
SPKR

TP64

VDD
VDD
VDD

0.1UF

1
2

VREFOUT

+ADD
HP_ROUT

GND
GND
GND
GND
GND

AVSS1
AVSS2

CN8
INTMIC+

+
SPDIFO

PCMSPK

C10
1000PF

P19-43

R42
SPDIF

17,18

JACK_GND

TP61

MONO_OUT

CN1
5
4
3
2
1
phone-jack-b27m-black
MIC IN

INTMIC+

P19-53

+ADD

C102
PHONE_IN
0.1UF_R
P19-41
C64
1UF
P19-42
C70
1UF
AUXR
C89
1UF
AUXL
C98
1UF
HP_LOUT
TP62

L1

R351

R13
PCSPKI

12

P19-7

TEST2

L8

0.1UF

C59

L-OUT

JD

R528

P19-31

HF70ACB_Y

35

NC

0
R520
0_R

2200PF
C55

23

CAP1

R527

P19-30

0.1UF

LINE_IN_L

PC_BEEP

TP57

0.1UF
C40

C69

LINE_OUT_L

CODEC

C586
CD-R

CD_R
U7

C29
1000PF

29

10UF/10V-A
C41

AVDD1
AVDD2

SDATA_OUT
BIT_CLK
SDATA_IN
SYNC
RESET#

P19-10

C38
1UF

20

CD_L
0

10UF/10V-A

DVSS1
DVSS2

C30
1000PF

28

R529

P19-29

CD-L

C467
0.1UF

+
P19-46

C475
18PF

C103
10UF/10V-A

C468
0.1UF

R522
0_R

P19-45

17,18,29 SDATO
17,29
BIT_CLK

C486
0.1UF

C56

C35

C499
22PF

L4
1
HF70ACB_Y

P25-1AA

CRY24_576M_SMD_4P

NC
XOUT
3

XIN

Y1

NC

1
HF70ACB_Y

VCC5

L15

Tuesday, March 11, 2003

Rev
1.B
Sheet
1

25

of

41

L41
11

CN13

LUMA

1_8UH_X

CN11
V+
YIN
XIN
SEN-GND

C417
100PF_R
TVGND
CN16
TVGND

1
2
3
4
5
6
7
8
9

L40

amp_1470047-4-6_top
11

P22-10

COMP

1_8UH_X

D17
BAT54S_SOT23
C

D16
amp_1470047-4-6_top
BAT54S_SOT23
A

R329
75

6
5
4
3
2
1

AC

AC

1
2
3
4
5
6

C450
100PF

C449
100PF

R327
75

C416
100PF_R
TVGND

VCC5

ATI suggest 82PF

suyin-33007s07t1c-svideo

Stand by check !
L37
0_0805

L38
C

CROMA

1_8UH_X

11
C

CN7
LCDVDD
LCDVDD
TXL3TXL3+
TXU3TXU3+
TXL0TXL0+

TXL3TXL3+
TXU3TXU3+
TXL0TXL0+

TXL1TXL1+

11 TXL111 TXL1+

TXL2TXL2+

11 TXL211 TXL2+

TXL_CLKTXL_CLK+

11 TXL_CLK11 TXL_CLK+

TXU0TXU0+

11 TXU011 TXU0+

TXU1TXU1+

11 TXU111 TXU1+

TXU2TXU2+

11 TXU211 TXU2+

TXU_CLKTXU_CLK+

11 TXU_CLK11 TXU_CLK+

C413
100PF_R
TVGND

VCC5
CN5
1
2
3
4
5
6
7
8
9
10
11

VCCCB
24
24
20
20
20
20
20
17,20,34,38

CD_LEDLED_HDDLED_CAPLED_NUMLED_SCRMAIL-KIE-KPWRBTNC666 C667 C668


680PF 680PF 680PF

C49
680PF

C50
680PF

C51
680PF

C52
680PF

C53
680PF

S1
3
4

C54
680PF

R16

1
2

hrs_df13-11p125v

Q2
SI3443_TSOP6
6
5
4
2
1

+3VAUX

10K
LID-

LID-SW

1
2
3
4
5
6
7
8
9
10
11

VCC3

17

I-PEX-20143-030E-A

R318

Singal chanel : L
Dual chanel
: L+U
VCC5

4.7K

C3
0.1UF

C5
0.1UF

C9
10UF/10V-A

L42
BMC4532A102_3A
R316
10K

11

S
S

G
R317
100K

Q1
2N7002

DIGON

P22-9
D

C4
0.1UF

VCC5

LCDVDD

C33
1000PF

P22-8

11
11
11
11
11
11

R326
75

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30

C414
100PF

LCDVDD
VCC5
Q25
2N7002

BLON-

LI-ON

2
4
1

ENBLT

LI-ON

BR-ADJ2

20 BRADJ2

U32
NC7S08_SOT23-5
C412
150PF

17

C410
0.1UF

CN4
P22-11

10K

R319
5

11

C411
150PF

9
8
7
6
5
4
3
2
1

ECS CORP. (M/B)


Title

DFB-9P-1-25H
C409
0.1UF

LCD CRT & TV CONNECTOR


Size
Document Number
P22-11 CustomG732-1-4-01
Date:

Tuesday, March 11, 2003


2

Rev
1.0
Sheet

26
1

of

41

L81
18 UV018 UV0+
18 UV118 UV1+

RUV0-

LUV0-

RUV0+

LUV0+

RUV1-

LUV1-

RUV1+

LUV1+

FRC-1394-501

L6

UV2+
UV2UV5UV5+

18
18
18
18

U6

Pull Low When no use

CLOSE TO SIS961

VIN

VIN

OUTA

GND VOUT

OUTB

C62
0.1UF

P27-2
LUV1LUV1+

VOUT

C47
100UF/10V-D

C469
0.1UF

CN21

P27-1
LUV0LUV0+

15K
15K
15K
15K

R242
R241
R234
R233

BLM21P300S
2

+5VAUX

C42
1UF/16V-A

1
2
3
4
5
6
7
8

AMP_C440323_USBDUAL

RTL9701-CBL

1
2
3
4
5
6
7
8

L7
2

BLM21P300S
2

C67
0.1UF
+

C90
100UF/10V-D

C472
0.1UF

L82
18 UV318 UV3+
18 UV418 UV4+

RUV3-

LUV3-

RUV3+

LUV3+

RUV4-

LUV4-

RUV4+

LUV4+

FRC-1394-501
L19
1

BLM21P300S
2

P27-3
LUV3LUV3+

+5VAUX

U14
3

VIN
VIN

GND VOUT

OUTC
OUTD

P27-4
LUV4LUV4+

VOUT

C501
0.1UF

C109
100UF/10V-D

C504
0.1UF

1
C188
1UF/16V-A

1
2
3
4
5
6
7
8

AMP_C440323_USBDUAL

RTL9701-CBL

CN23
1
2
3
4
5
6
7
8

L20 BLM21P300S
2

C158
0.1UF

C166
100UF/10V-D

NC

C506
0.1UF

R9
T
R

CN3
RMT
RMR

R2
L120
C448
470PF/2KV_1808

1
2
hrs_df13-02p125h

CHASSIS

CHASSIS GND

L120

MT
MR

1
2

NC

Isolate 2.54mm
C447
470PF/2KV_1808

CN20
AMP_C440208-6P2C_RJ11

CLOSE TO CONN

ECS CORP. (G732 M/B)


Title
USB CONN & RJ11

Size
A3

Document Number

Date:

Tuesday, March 11, 2003

Rev
1.0

G732-1-4-01
Sheet

27

of

41

VCCCB

U28
TPS2211
VCCCB

VCC
VCC
VCC

+3VAUX

VPPD0
VPPD1

+12VA

12V

13
12
11

VCCD0#
VCCD1#

16,29
16,29
16,29
16,29

C/BE-3
C/BE-2
C/BE-1
C/BE-0 0

16,29
16,29
16,29
16,29
16,29
16,29
16,29
16,29
16
16
32

FRAMEIRDYTRDYDEVSELSTOPPERRSERRPAR
PREQ-0
PGNT-0
1410CLK

R561 P28-4

7,11,20,21,24,29,35 PCIRST-

E1
J3
N1
N5
M10
G4
J4
K1
K3
L1
L2
L3
M1
M2
A1
B1
H1
L8
L11

17,21,29 PME17,20 SUSPEND100

16,29 AD21

R569

P28-1

F4
K8
N9
K9
N10
L10
N11
M11

16 INT-B

RI_OUT#/PME#
SUSPEND#

75

R281 1410CLK

L9

MF0
MF1
MF2
MF3
MF4
MF5
MF6

B7
A11
E11
H13

CC/BE#3
CC/BE#2
CC/BE#1
CC/BE#0

29
29
29
29

CRST#
CFRAME#
CIRDY#
CTRDY#
CDEVSEL#
CSTOP#
CPERR#
CSERR#
CPAR
CREQ#
CGNT#
CCCLK

B9
B11
A12
A13
B13
C12
C13
A5
D13
B8
C11
B12

CRST#
CFRAME#
CIRDY#
CTRDY#
CDEVSEL#
CSTOP#
CPERR#
CSERR#
CPAR
CREQ#
CGNT#
R253

29
29
29
29
29
29
29
29
29
29
29

CSTSCHNG
CCLKRUN#

C5
D5

CSTSCHNG
CCLKRUN#

29
29

D11

CBLOCK#

29

P28-2 47

3
4

+3VAUX

C634

C391

C356

C627

0.1UF

0.1UF

0.1UF

0.1UF

C609

C390

C371

C382
0.1UF

0.1UF

0.1UF

0.1UF

GND

10

VCCD0
VCCD1
VPPD0
VPPD1

1
2
15
14

3.3V
3.3V

OC

SHDN

CC/BE3#
CC/BE2#
CC/BE1#
CC/BE0#

29

GND

B2
C3
B3
A3
C4
A6
D7
C7
A8
D8
A9
C9
A10
B10
D10
E12
F10
E13
F13
F11
G10
G11
G12
H12
H10
J11
J12
K13
J10
K10
K12
L13

VCCD0#
VCCD1#
VPPD0
VPPD1

C377
0.1UF

C
VCCD0#

C370

C612

0.1UF

0.1UF

C373

C611

0.1UF

0.1UF

C376

C617

0.1UF

0.1UF

VCCD1#

+12VA

CINT#

D6

CINT#

29

M9
B5

PCMSPK
CAUDIO#

25
29

CCD2#
CCD1#
CVS2
CVS1

A4
L12
D9
C6

CCD2#
CCD1#
CVS2
CVS1

29
29
29
29

VCCCB

C645

C644

C386

C383

0.1UF

0.1UF

0.1UF

0.1UF

VPPD0

B
+5VAUX

CCLK

SPKROUT
CAUDIO#

RSVD/D2
RSVD/A18
RSVD/D14

15PF

CAD[0..31]

CAD31
CAD30
CAD29
CAD28
CAD27
CAD26
CAD25
CAD24
CAD23
CAD22
CAD21
CAD20
CAD19
CAD18
CAD17
CAD16
CAD15
CAD14
CAD13
CAD12
CAD11
CAD10
CAD9
CAD8
CAD7
CAD6
CAD5
CAD4
CAD3
CAD2
CAD1
CAD0

CAD31
CAD30
CAD29
CAD28
CAD27
CAD26
CAD25
CAD24
CAD23
CAD22
CAD21
CAD20
CAD19
CAD18
CAD17
CAD16
CAD15
CAD14
CAD13
CAD12
CAD11
CAD10
CAD9
CAD8
CAD7
CAD6
CAD5
CAD4
CAD3
CAD2
CAD1
CAD0

VPP
5V
5V

16

CAD[0..31]

CBLOCK#

IDSEL

C399

VCCMSC

B4
C8
D12
H11
L6
K2
F3

C/BE3#
C/BE2#
C/BE1#
C/BE0#
G_RST#
PCIRST#
PCIFRAME#
PCIIRDY#
PCITRDY#
PCIDEVSEL#
PCISTOP#
PCIPERR#
PCISERR#
PCIPAR
PCIREQ#
PCIGNT#
PCIPCLK

D3
H2
L4
M8
K11
F12
C10
B6

P28-3

VCC0
VCC1
VCC2
VCC3
VCC4
VCC5
VCC6

A7
G13
VCCCB0
VCCCB1

N4
G1

M12
N12

PCI1410

GND0
GND1
GND2
GND3
GND4
GND5
GND6
GND7

17,20,21 SIRQ

AD31
AD30
AD29
AD28
AD27
AD26
AD25
AD24
AD23
AD22
AD21
AD20
AD19
AD18
AD17
AD16
AD15
AD14
AD13
AD12
AD11
AD10
AD9
AD8
AD7
AD6
AD5
AD4
AD3
AD2
AD1
AD0

5
6

+5VAUX

C2
C1
D4
D2
D1
E4
E3
E2
F2
F1
G2
G3
H3
H4
J1
J2
N2
M3
N3
K4
M4
K5
L5
M5
K6
M6
N6
M7
N7
L7
K7
N8

J13 RSVD/D14
E10 RSVD/A18
A2 RSVD/D2

AD31
AD30
AD29
AD28
AD27
AD26
AD25
AD24
AD23
AD22
AD21
AD20
AD19
AD18
AD17
AD16
AD15
AD14
AD13
AD12
AD11
AD10
AD9
AD8
AD7
AD6
AD5
AD4
AD3
AD2
AD1
AD0

VCCP0
VCCP1

AD[0..31]

16,29 AD[0..31]

VPPD1
VPPD0

VCCD1#
VCCD0#

U26

M13
N13

VPPCB

29

VPPD1

C385

C388

C398

C380

C614

0.1UF

0.1UF

0.1UF

0.1UF

0.1UF

CCD2#
CCD1#
C364

C610

270PF

270PF

29
29
29

A
ECS CORP. (G732 M/B)
Title
PCI 1410

Size
A3

Document Number

Date:

Tuesday, March 11, 2003

Rev
1.0

G732-1-4-01
Sheet

28

of

41

3
16,28 AD[0..31]
16,28 C/BE-[0..3]

AD[0..31]
C/BE-[0..3]

MINI PCI SOCKET


+3VAUX

CN15
VCCCB

C595

C592

C591

0.1UF

0.1UF

0.1UF

R586 0_R
P29-3

16 INT-D

VCC3

R585 0
16 INT-C

VPPCB
C631
C596

C607

C606

0.1UF

0.1UF

0.1UF

C653

0.1UF

32 MINICLK

0.01UF

16 PREQ-2
AD31
AD29
AD27
AD25

PCMCIA SLOT

C/BE-3
AD23

CN29
GND
28

GND

GND

35

D3

CD1#

36

CCD1#

28

AD17
C/BE-2

28

CAD1

D4

D11

37

CAD2

28

28

CAD3

D5

D12

38

CAD4

28

28

CAD5

D6

D13

39

CAD6

28

16,28 SERR-

28

CAD7

D7

D14

40

RSVD/D14

28

16,28 PERR-

D15

41

CAD8

28

CE2#

42

CAD10

28

VS1/REFRESH

43

CVS1

28

28

CAD0

AD21
AD19

GND

CC/BE#0

CE1#
A10

16,28 IRDY-

28

CAD9

28

CAD11

OE#

28

CAD12

10

A11

IORD#

44

CAD13

28

28

CAD14

11

A9

IOWR#

45

CAD15

28

28

CC/BE#1

12

A8

A17

46

CAD16

28

28

CPAR

13

A13

A18

47

RSVD/A18

28

28

CPERR#

14

A14

A19

48

CBLOCK#

28

28

CGNT#

15

WE#

A20

49

CSTOP#

28

28

CINT#

16

RDY

A21

50

CDEVSEL#

28

VCCCB

17

VCC

VCC

51

VCCCB

VPPCB

18

VPP1

VPP2

52

VPPCB

CCLK

19

A16

A22

53

CTRDY#

28

28

CIRDY#

20

A15

A23

54

CFRAME#

28

21

A12

A24

55

CAD17

28

28

CC/BE#2

28

CAD18

22

A7

A25

56

CAD19

28

28

CAD20

23

A6

VS2

57

CVS2

28

28

CAD21

24

A5

RESET

58

CRST#

28

28

CAD22

25

A4

WAIT#

59

CSERR#

28

28

CAD23

26

A3

INPACK#

60

CREQ#

28

28

CAD24

27

A2

REG#

61

CC/BE#3

28

28

CAD25

28

A1

BVD2

62

CAUDIO#

28

28

CAD26

29

A0

BVD1

63

CSTSCHNG

28

28

CAD27

30

D0

D8

64

CAD28

28

28

CAD29

31

D1

D9

65

CAD30

28

28

RSVD/D2

32

D2

D10

66

CAD31

28

28

CCLKRUN#

33

WP

CD2#

67

CCD2#

28

34

GND

GND

68

GND

AD8
AD7
AD5
P29-11
AD3

VCC5
AD17

100

R588

AD1
C640
+3VAUX
R286
10K

17,25 SYNC
17 SDATI1
17,25 BIT_CLK

MD_PHONE
VCC5

28

C/BE-1
AD14
AD12
AD10

0.1UF

R287
0

25 MONO_OUT

R608

MIN_BIT_CLK
P29-9
P29-6

R288

L36
FCM2012V-121RC10-0805
1
2

P29-1

C397

C396

C401

C400

2200PF

0.1UF

2200PF

0.1UF

P29-7

1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39
41
43
45
47
49
51
53
55
57
59
61
63
65
67
69
71
73
75
77
79
81
83
85
87
89
91
93
95
97
99
101
103
105
107
109
111
113
115
117
119
121
123

TIP
RING
LAN
LAN
LAN
LAN
LAN
LAN
LAN
LAN
LAN
LAN
LAN
LAN
LAN
LAN
INT#B
5V
INT#A
3.3V
RESV.
RESERVED
3.3VAUX
GND
RST#
CLK
3.3V
GND
GNT#
REQ#
GND
3.3V
PME#
AD31
RESV.
AD29
AD30
GND
3.3V
AD27
AD28
AD25
AD26
RESV.
AD24
C/BE#3
IDSEL
AD23
GND
GND
AD22
AD21
AD20
AD19
PAR
GND
AD18
AD17
AD16
C/BE#2
GND
IRDY#
FRAME#
3.3V
TRDY#
CLKRUN#
STOP#
SERR#
3.3V
GND
DEVSEL#
PERR#
GND
C/BE#1
AD15
AD14
AD13
GND
AD11
AD12
GND
AD10
AD9
GND
C/BE#0
AD8
3.3V
AD7
AD6
3.3V
AD4
AD5
AD2
RESV.
AD0
AD3
RESV.
5V
RESV.
AD1
GND
GND
M66EN
AC_SYNC
AC_DO
AC_DI1
AC_ID0#
AC_BIT_CLK
AC_RST#
AC_ID1#
RESV.
MOD_A_MON
GND
AGND
SYS_A_IN
SYS_A_OUT
AGND
AGND
AGND
AGND
MCPIACT#
NC
3.3VAUX
VCC5A

VCC5
2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40
42
44
46
48
50
52
54
56
58
60
62
64
66
68
70
72
74
76
78
80
82
84
86
88
90
92
94
96
98
100
102
104
106
108
110
112
114
116
118
120
122
124

0.1UF

C632

R587 0
P29-5

R566 0_R

INT-D

16

INT-C

16

PCIRST-

7,11,20,21,24,28,35

PGNT-2

16

VCC3

MINI_PMEAD30
AD28
AD26
AD24
P29-10

100

C630

0.01UF

0.1UF

AD16

R567

AD22
AD20
AD18
AD16

C648

Q43
PAR

MINI_PME-

16,28

PME-

17,21,28

2N7002
FRAMETRDYSTOP-

16,28
16,28
16,28

DEVSEL-

16,28

D60
P29-12 A
A
20K

AD15
AD13
AD11

1PS76SB10_SOD323
C
C
+3VAUX
R591

C652
0.1UF

AD9
C/BE-0
AD6
AD4
AD2
AD0
SDATO

17,18,25

10K
P29-4
P29-2

R580
R592

MINI2CLK
P29-8

AC_RESET-

17,25

32

R595 MD_PHONE

PHONE_IN

25

+3VAUX

C654

AMP_C1318914_miniPCI52

C629
0.1UF_R
0.1UF

GND

HRS IC11S-68PL-1.27SF-EJL
R563
CCLKRUN#

VCCCB

ECS CORP. (G732 M/B)

43K
Title

MINI PCI & PCMCIA SLOT

Size
A3

Document Number

Date:

Tuesday, March 11, 2003

Rev
1.0

G732-1-4-01
Sheet

29

of

41

RTL8201
P30-1
C

+3VAUX
C278

D20

0.1UF
R525
1.5K_1%

+3VAUX

C288
0.1UF

17
17
17
17
17
17
17
17
17
17
17
17
17
17
17
17
17
17

MDC
MDIO
TXD0
TXD1
TXD2
TXD3
TXEN
TXCLK
RXDV
RXD0
RXD1
RXD2
RXD3
RXCLK
COL
CRS
RXER
LAN25MHZ

R512

22

R519

22

U45

MDC
MDIO
TXD0
TXD1
TXD2
TXD3
TXEN
P30-4
RXDV
RXD0
RXD1
RXD2
RXD3
P30-5
COL
CRS
RXER

LAN25MHZ
P30-6

+3VAUX

LED0
LED1
LED2
LED3
LED4
D21

C277
0.1UF

R218

1N4148R-D5025
A
C

P30-13

L69 1
2
FCM2012V-121RC10-0805
C584
C583
0.1UF

0.1UF

AS CLOSE AS POSSIBLE TO U79

R216

1N4148R-D5025
RESERVED
P30-2

25
26
6
5
4
3
2
7
22
21
20
19
18
16
1
23
24
46
47

MDC
MDIO
TXD0
TXD1
TXD2
TXD3
TXEN
TXC
RXDV
RXD0
RXD1
RXD2
RXD3
RXC
COL
CRS
RXER
X1
X2

9
10
12
13
15

LED0/PHYA0
LED1/PHYA1
LED2/PHYA2
LED3/PHYA3
LED4/PHYA4

8
14
48

DVDD25
DVDD33
DVDD33

11
17
45

DGND
DGND
DGND

AVDD25
AVDD33

32
36

AGND
AGND

29
35

+3VAUX
L32
FCM2012V-121RC10-0805
1
2
C585

C582

0.1UF

0.1UF

C555
0.1UF
P30-3
R490

27

TPRX+
TPRX-

31
30

RJ45_RD+
RJ45_RD-

TPTXTPTX+

33
34

TPOTPO+

RTSET
PWD
REPT
SPEED
DUPLEX
ANE
LDPS
MII/SNIB
RESETB

28
43
40
39
38
37
41
44
42

P30-7
RP50
P30-8
P30-10
P30-11
P30-12

1
2
3
4

P30-9

8
7
6
5

2K_1%

R514
1KX4

1KX4
RP19

P30-21
0

1
2
3
4

10K_R

C552

RX+
RXCT
RX-

8
7
6
5
R215

AUXOK

Enable: N-way, Full duplex,100Mbps, Link Down Power Saving

11
10
9

R492

TX+
TXCT
TX-

16
15
14

TX+

TXC-

TX-

NC18

RXC+

RX+

NC18

N/C

N/C

RXC-

RX-

NC16

N/C

GND

10

NC20

N/C

GND

TXNC16
NC20

P30-18

R151

R152

75

75

R150
75

TS6121K
TPOTPO+

+3VAUX

R136
75

P30-19

C553
R214

R217

0.1UF

49.9_1%

49.9_1%

L63
GND

C
RXC+
RXCTXC+
TXC-

RX+
RXTX+
TX-

R474
R472
R480
R478

RX+

RXC+

RX-

RXC-

TX+

TXC+

TX-

TXC-

0_R
0_R
0_R
0_R
L29

0.01UF/2KV_1808

C286
0.1UF

AMP_C440209_RJ45

C534
P30-20

Disable: Isolate, Repeater Mode

RX-

TX+

TD+
TDCT
TD-

Config:

TXC+

0.1UF_R

P30-16
1
2
3

7,17,34

R211

CN25

0.1UF

TPO+
TP_CP
TPO-

C296
1000PF

C272

U44
RD+
RDRDCT

+3VAUX

+3VAUX

+3VAUX

RX+

P30-17

+3VAUX

C287
1000PF

P30-15
P30-14

RTL8201
PHY address set to 02h

6
8
7

49.9_1%

VCTRL

RESERVED

RJ45_RD+
RJ45_RD-

R491

49.9_1%

C
+3VAUX

FRC-1394-501

AS CLOSE AS POSSIBLE TO U78


LED0 R515

4.7K

RP51
LED1
LED2
LED3
LED4

8
7
6
5

1
2
3
4

1KX4

ECS CORP. (G732 M/B)


Title
LAN &RJ45

Size
A3

Document Number

Date:

Tuesday, March 11, 2003

Rev
1.G

G732-1-4-01
Sheet

30

of

41

VCC3

VCC3

18

LPS

LACT

R252

4.7K

LPS

R268

4.7K

C311

0.1UF

C340

10UF/10V_1206

CT63

0.1UF

C314

C307

0.1UF

C594

0.1UF

C346

0.1UF

C605

0.1UF

C345

0.1UF

1UF_0805

VCC3
BTEST

4.7K

LPS

D0
D1
D2
D3
D4
D5
D6
D7

CTRL0
CTRL1

D0
D1
D2
D3
D4
D5
D6
D7
LACT

P31-1
R546

LINKON

1K

P31-2
CPS

D0
D1
D2
D3
D4
D5
D6
D7

16
17
18
20

LACT
LPS
LKON
CPS

LINKON

R559

10K

CPS

R575

68K

BTEST

R536

4.7K

RESET-

C597

1UF_0805

41

6
19
23
30
37
40
43
46

BIS1
TPA1+
TPA1TPB1+
TPB1-

1
15
21
24
36
38
39
42
44
45

18

7
8
9
10
11
12
13
14

RTEST

4
5

DVDD
DVDD
TVDD
PVDD
RVDD
BVDD
ADVDD
PLLVDD

LREQ
CTL0
CTL1

U47

BIS0
TPA0+
TPA0TPB0+
TPB0-

TPBIAS0
TPA+0
TPA-0
TPB+0
TPB-0

35
34
33
32
31

AS CLOSE AS POSSIBLE TO U77

29
28
27
26
25

XI
XO

R623

CTL0
CTL1

SCLK
LREQ

TPBIAS0
TPA+0
TPA-0

R526
R518

56.2
56.2

TPB+0

R524

56.2

TPB-0

R523

56.2

C301

0.22UF

C295

1UF_0805

P31-4

R517

5.11K_1%

C589

270PF

RTL8801B

47
48

10_R

18
18

2
3

DGND
DGND
DGND
TGND
PGND
RGND
BGND
ADGND
PLLGND
PLLGND

R624

SCLK_1394
LREQ

18
18
18
18
18
18
18
18

C
VCC3

18
18

RESET

22

RESET-

P31-3
Y9

B
P31-5

4
1

NC
#1

#3
NC

3
2

GND

CRY24_576M_SMD_4P

7
8

R236

:
w
o
l
l
o
f
s
a
t
r
a
p
e
t
e
l
e
d
e
v
a
h
I

NC
NC

1M
L31
C312
12PF

.
3
0
6
0
m
h
o
0
2
2
:
7
4
5
R
,
2
7
5
R
,
3
7
5
R
,
0
6
5
R

TPB-0

8 TPB-

TPB+0

TPA-0

TPA-

TPA+

TPA+0

1
2
3
4

TPB+

TPB#
TPB
TPA#
TPA

CN26
AMP_C4401681_IEEE1394

NC
NC

F
u
1
.
0
:
3
9
5
C
,
0
9
5
C

C332
12PF

3
0
6
0
K
7
.
4
4
7
5
R

5
6

FRC-1394-501

A
ECS CORP. (G732 M/B)
Title
IEEE 1394

Size
A3

Document Number

Date:

Tuesday, March 11, 2003

Rev
1.C

G732-1-4-01
Sheet

31

of

41

1
By-Pass Capacitors
Place near to the Clock Outputs

Main Clock Generator


(3
1:
2:
3.

VCC3

OPTIONS)
(ICS)
(Cypress)
(Hitachi)

CPUCLK0
CPUCLK-0

R487
R488

49.9_1%
49.9_1%

CPUCLK1
CPUCLK-1

R485
R486

49.9_1%
49.9_1%

L62
FCM2012V-121RC10-0805
CLK1
ICS952004

P32-1

CB146
0.1UF

CB155
0.1UF

CB144
0.1UF

CB153
0.1UF

CB147
0.1UF

CB154
0.1UF

CB145
0.1UF

CB148
0.1UF
P32-8

PCI_STP-

VCC3

1PS76SB10_SOD323

R481
10K

R153

P32-4

40
39

CPUCLK1
CPUCLK#1

44
43

RCPUCLK1
RCPUCLK-1

SDCLK

47

RSDCLK

R157

22

SDCLK

AGPCLK0
AGPCLK1

31
30

RAGPCLK0
RAGPCLK1

R163
R154

22
22

AGPCLK0
VGA_66M

ZCLK0
ZCLK1

9
10

RZCLK0
RZCLK1

R197
R198

22
22

ZCLK0
ZCLK1

PCICLK_F0/FS3
PCICLK_F1/FS4
PCICLK0
PCICLK1
PCICLK2
PCICLK3
PCICLK4
PCICLK5

14
15
16
17
20
21
22
23

FS3
FS4
RLPC_EC_CLK
RMINICLK
RMINI2CLK
R1410CLK

P32-3

33

PD#/VTT_PWRGD

P32-5

38

IREF

REF0/FS0
REF1/FS1
REF2/FS2

C
Q31
3904

CPUCLK0
CPUCLK#0

RCPUCLK0
RCPUCLK-0

VSSREF
VSSZ
VSSPCI
VSSPCI
VSS48
VSSAGP
VSSCPU
VSSSD

R479
10K

R482

PCI_STOP#
CPU_STOP#

10K

P32-2
VCCP

12
45

Damping Resistors
Place near to the
Clock Outputs

VCC3
VCC3

D8

CPU_STP-

VDDREF
VDDZ
VDDPCI
VDDPCI
VDD48
VDDAGP
VDDCPU
VDDSD

5
8
18
24
25
32
41
46

CE4
47UF/6.3V_B

17 CPU_STP-

1
11
13
19
28
29
42
48

Q32
3904

R162

475_1%

10K

48M
24_48M/MULTISEL

27
26

SCLK
SDATA

35
34

VCC3
L57
FCM2012V-121RC10-0805
1
2

P32-7

36

33
33

CPUCLK0
CPUCLK-0

R158
R159

33
33

CPUCLK1
CPUCLK-1

33
33
33
33
33
2

96XPCLK
LPC_IO_CLK
LPC_EC_CLK
MINICLK
MINI2CLK
1410CLK

R195
R206

33
33

REFCLK0
REFCLK1
REFCLK2

R164
R165

22
22

UCLK48M
SIO24M

R200
R201
R202
R203
R204
R205 1
BK1608LL68

FS0
FS1
FS2

2
3
4

R160
R161

P32-6
MULTISEL

SMBCLK
SMBDAT

CPUCLK0
CPUCLK-0

3
3

CPUCLK1
CPUCLK-1

5
5

SDCLK

AGPCLK0
VGA_66M

5
11

ZCLK0
ZCLK1

7
16

96XPCLK
LPC_IO_CLK
LPC_EC_CLK
MINICLK
MINI2CLK
1410CLK

16
21
20
29
29
28

REFCLK1

17

UCLK48M
SIO24M

18
21

SMBCLK
SMBDAT

9,17,33
9,17,33

AGPCLK0

C548

10PF

ZCLK0

C566

10PF

ZCLK1

C567

10PF

96XPCLK

C568

10PF

LPC_IO_CLK

C569

10PF

MINICLK

C571

10PF

MINI2CLK

C572

10PF

REFCLK0

C565

10PF

REFCLK1

C574

10PF

REFCLK2

C265

10PF

UCLK48M

C549

10PF

SIO24M

C550

10PF

1410CLK

C573

10PF

LPC_EC_CLK

C570

10PF

SDCLK

C547

10PF

VDDA
VCC3

CB140

0.1UF

CB139

0.1UF

1000PF

FS4 FS3 FS2 FS1 FS0


VSSA

VCC3

47UF/6.3V_B

P32_XIN

VCC3

+ CE1

CB143
0.1UF

PCI_STP-

R495
10K

(INTERNAL PULL-DOWN)
CPU/DRAM

100/100

INTERNAL PULL-UP

10K

REFCLK0

S2
4
1

C257
10PF

PIN12 ,26,45
R199

Y4

CB138
1000PF

RTCVDD

P32_XOUT7

XIN

37

XOUT

CB135

NC
#1

#3
NC

3
2

14.318MHZ

C241
10PF

FS2
FS4

R615
R616

10K
10K

1
2
3
4
5
6
7
8

16
15
14
13
12
11
10
9

VGA-BIOS0
VGA-BIOS1
P_ID0
P_ID1
P_ID2

VGA-BIOS0
VGA-BIOS1
P_ID0
P_ID1
P_ID2

VCC3

DIP SW-8

SIS650 CLOCK

11
11
11
11
11

SIS650 CLOCK

RP4
1
2
3
4

10K_8P4R
8
7
6
5

R166

10K

P_ID2

FS4

FS3

FS2

FS1

FS0

0
0
0
0
0
0
0
0
0
0
0
0
0
0
0
0

0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1

0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1

0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1

0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1

CPU
(MHz)

SDRAM
(MHz)

ZCLK
(MHz)

AGPCLK
(MHz)

PCI
(MHz)

FS4

FS3

FS2

FS1

FS0

1
1
1
1
1
1
1
1
1
1
1
1
1
1
1
1

0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1

0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1

0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1

0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1

CPU
(MHz)

SDRAM
(MHz)

ZCLK
(MHz)

AGPCLK
(MHz)

PCI
(MHz)

MULTISEL

R489

10K_R

A
ECS CORP. (G732 M/B)
Title
CLOCK GENERATOR

Size
A3

Document Number

Date:

Tuesday, March 11, 2003

Rev
1.G

G732-1-4-01
Sheet

32

of

41

VCC_DIMM

L70
FCM2012V-121RC10-0805
1
2

CBVDD

D
+ CE2
47UF/6.3V_B

CB119
0.1UF

CB120
0.01UF

+ CE3
47UF/6.3V_B

CB192
0.01UF

CB193
0.1UF

CB190
0.1UF

CB187
0.1UF

CB188
0.1UF

CB122
0.1UF

CB123
0.1UF

Clock Buffer (DDR)

DDRCLK[0..5]

(OPTIONS)
1: (ICS-93705)

DDRCLK[0..5]

DDRCLK-[0..5]
SMBCLK
SMBDAT
FWDSDCLKO

DDRCLK-[0..5]

SMBCLK
SMBDAT

9,17,32
9,17,32

FWDSDCLKO

CLK2
ICS93722BFT
CBVDD

C
FWDSDCLKO
DDRFB_OUT

R249

22

RDDRFB_OUT

SMBCLK
SMBDAT

3
10
12
23

8
19

VDD
VDD
VDD
VDD

CLKT0
CLKT1
CLKT2
CLKT3
CLKT4
CLKT5

2
4
13
17
24
26

RDDRCLK0
RDDRCLK1
RDDRCLK2
RDDRCLK3
RDDRCLK4
RDDRCLK5

DDRCLK0
DDRCLK1
DDRCLK2
DDRCLK3
DDRCLK4
DDRCLK5

CLK_INT

CLKC0
CLKC1
CLKC2
CLKC3
CLKC4
CLKC5

1
5
14
16
25
27

RDDRCLK-0
RDDRCLK-1
RDDRCLK-2
RDDRCLK-3
RDDRCLK-4
RDDRCLK-5

DDRCLK-0
DDRCLK-1
DDRCLK-2
DDRCLK-3
DDRCLK-4
DDRCLK-5

DDRFB_OUT

20

DDRFB_IN

7
22

SCLK
SDATA

9
18
21

NC
NC
NC

GND
GND
GND
GND

6
11
15
28

By-Pass Capacitors
Place near to the Clock Buffer

C
DDRCLK0

C365

10PF

DDRCLK1

C360

10PF

DDRCLK2

C341

10PF

DDRCLK3

C342

10PF

DDRCLK4

C352

10PF

DDRCLK5

C366

10PF

DDRCLK-0

C372

10PF

DDRCLK-1

C349

10PF

DDRCLK-2

C338

10PF

DDRCLK-3

C339

10PF

DDRCLK-4

C361

10PF

DDRCLK-5

C374

10PF

FWDSDCLKO

C344

10PF_R

DDRFB_OUT

C616

10PF

A
ECS CORP. (G732 M/B)
Title
CLOCK BUFFER

Size
A3

Document Number

Date:

Tuesday, March 11, 2003

Rev
1.G

G732-1-4-01
Sheet

33

of

41

RTC
NOTE!
1.The RTCVDD is 3V

2.Decoupling capacitor must be close to 650 RTCVDD pin.

RTCVDD

3.RTC circuit must strictly follow SiS's recommended design


ECVCC

SiS is not responsible for RTC problems from foreign designs.

CONN---> LONGTRUMP_BH-8009G_RTC
A

ASD500V_SOD323
R565

D59

R617

D56
C

BATOK

ASD500V_SOD323

17

10K

10K

D44
CB194
1UF_0805

+3VAUX

ASD500V_SOD323
A

D57
C326
1UF

A34-6
CN9

C333
0.01UF

CE5
10UF/10V-A

A
BAS316_SOD323
R571

1
2
A34-7 HEADER 2

AUXOK

AUXOK

7,17,30

1K
R73
10K

C
R570
100K

Place close to 961

R589

D28

A34-3

POWER LED

330

+3VAUX

R541
330

A34-1

R538 330

SUSPEND LED

D24

A34-2

Q44
AO3401
S
D

ECVCC

SUS_LED-

17

CHARGE LED
A34-5
D

STANLEY_APPY1204W

10K
D32

17,20,26,38 PWRBTN-

Q40

ASD500V_SOD323

+5VAUX

20,37

VCC3

2N7002

ENCHG

+3VAUX

R590
P25-14

ECVCC

LED_X

VCC3

C641
22uF/6.3V-B

Q17
S
S

38,40

ON3_5

ON3_5

2N7002

G
G

S
S

R289
1K

R597
4.7K
VCC3

7,17

PWRGD

R603

P34-3

D
PWOK

P34-1

Q46
2N7002

D41
EC_SUSB-

R604

Q15
2N7002

1K

EC_SUSB-

C658
0.1UF
P34-4

VCC3

A
R605

VRMPWRGD

P34-2

U30
MAX809

D37
Q49
2N7002

PWOK

A34-4 3
ASD500V_SOD323

39

20,23,35,37

ASD500V_SOD323

R
V

A
1

C660
C395
0.1UF

0.1UF

ECS CORP. (G732 M/B)


Title
RTC & POWER SWITCH

Size
A3

Document Number

Date:

Tuesday, March 11, 2003

Rev
1.0

G732-1-4-01
Sheet

34

of

41

Q4
SI2303
S
D

+12VA

+12V

+5VAUX

PCIRST-

7,11,20,21,24,28,29
10K

+5VDJ

3
2
1

U51A
7414_SSOP14

U51B
7414_SSOP14

C369
10UF/10V-A

A35-1

2
0

DJ_ON-

20,38

G
S

100K

Q39
2N7002
S

12

+12VA

C613
0.1UF

R93
10K
R620

13

R543
P35-3A
D

P25-13

R87
1

U51F
7414_SSOP14
23

U25
SI4410_SOP8

+3V

16 P_PCIRST-

8
7
6
5

14

VCC3

Q5
11

PCIRST

10

CDRST-

SUSC-

23

2N7002

G
S
S

G
U51E
7414_SSOP14

+3VAUX

R177

+3VAUX
10K
1

U51C
7414_SSOP14
SUSC-

R498

ECVCC
R176

2N7002

8
7
6
5

U27
SI4410_SOP8

3
2
1

VCC3

+5VAUX

8
7
6
5

+5VDJ

3
2
1

100K
4

ASD500V_SOD323
D51

SUSB--

C394
0.1UF

C353
1UF_R

A35-2

U49
SI4410_SOP8

20,40

Q38

D50
17,38,40 PSON-

SUSC-

10K

U51D
7414_SSOP14

6,38 S3AUXSWSUSB--

U15
SI4410_SOP8

ASD500V_SOD323
SISAUX1.8

8
7
6
5

3
2
1
4

+12V

SUSB--

R90

VCC1.8V

U4
SI4410_SOP8
+5VAUX

C178
0.1UF

8
7
6
5

VCC5

3
2
1
4

5.6K

SUSB--

+3VAUX

C74
0.1UF

SUSB--

C663

U10
SI4410_SOP8

10K
R89
EC_SUSB-

20,23,34,37

Q21
2N7002

R299

C104
0.1UF

SUSB-S

PSON-

VGA2.5VS

3
2
1
4

VCC_DIMM
1M
0.1UF

8
7
6
5

PSON-

R309
Q3
2N7002

1M
A

ECS CORPORATION
Title
POWER SWITCH
Size
B
Date:
5

Document Number
G732-1-4-01
Tuesday, March 11, 2003

Rev
1.0
Sheet
1

35

of

41

IPCS-

37

IPCS+

37

R581
100K
2 SEL003

C656
2200PF
1
2

D23
EC31QS03L_D5025
A
C

VB-M

L68
SC2912-R11M

F1
15A_2410
CPU_POWER_IN

CPU_POWER

R254
1M

NEAR TO VCORE

C308
100UF/25V_CV_AX

P835_VCC
2

Z15 JCLOSE_20x20_MIL
NEAR TO BATTERY

D25
BAS316_SOD323
SEL005
A
C
A
C

R307
100K
1

VA

R293
90.9K_1%
1
2

C661
0.1UF/50V_0805

Put there from fuse to MAX1715 input power

VA
D33
BAT54C_SOT23
A1
C P835_VCCIN
A2

C267
0.1UF/50V_0805
2

C497
0.1UF/50V_0805

VBA

R255
100K_R

NEAR TO BATT CONNECTOR

F2
7A TR3216FF_1206

2
D63
RLZ5.6B_LL34

D64
RLZ5.6B_LL34

Q9
FDS6679_SO8
8
7
3
6
2
5
1

C274
0.1UF/50V_0805

EC_SMB_CLK 20
EC_SMB_DATA 20

VB-M

R598
10K_1%

BAT-THM

Q41
2N7002

G
R576
1M

SEL002

1
C379
0.1UF

D58
BAS316_SOD323
ECVCC

R273
2.2K

R549
100K

IPCS+

AC_ON

3
2
1

D SEL001

Z10
JCLOSE_20x20_mil
2

JCLOSE must near to


charger control ic
Z9
JCLOSE_20x20_milIPCS-

RLZ12B_LL34_R

L43 1
2
BMC4516A750_8A_1206

L76
BMC4516A750_8A_1206
CN32
1
2
suyin_25037a-07g1-M_battery
L79
BT+
BMC4516A750_8A_1206
7
1
2
6
BTCLK
R600 1
2 100
5
BTDAT
R599 1
2 100
4
3
L78
2
BT1
2
1
BMC4516A750_8A_1206
L77
1
2
BMC4516A750_8A_1206

8
7
6
5

Q8
FDS6679_SO8

D27

C454
470PF

C455
0.1UF/50V_0805

DCIN MIN. VOLTAGE: 18.5V

C440
2200PF

C457
0.1UF/50V_0805

D22
EC31QS03L_D5025
A
C

DCGND

VBA-IN
Total power solution sense resistor
R212
15mOHM_2512
1
2

L39
BMC4516A750_8A_1206
1
2

DKVA

C451
470PF

dc-jack-iizuka-idj-d21-66-hch

VA

C418
2200PF

DCIN
1

1
3
2

L49
BMC4516A750_8A_1206
1
2
L44
BMC4516A750_8A_1206
1
2
1

CN17

37 VBM_TURE

ECVCC

C
A
A

BATIN-

20

C
C403
0.1UF

D34
BAS316_SOD323
A

C393
0.1UF

2
GND
2

D35
BAS316_SOD323

R290
82.5K_1%

NEAR TO EC
R291
10K
2

1
2

BAT-THM_1

IN

OUT
CLR
1

U31
MAX835_SOT23-5

P835B_IN

AC_ON_OUT

AC_ON

R284
1.5K_1%
BAT-THM 1

VCC

16.856V + 0.3V = 17.156V


R292
0
1

R311
100K_1%
1
2

P835_VCCIN

R301
1.3M_1%
1
2

P835_VCC
A

C402
0.1UF

P835B_CLR

ECS CORP. (G732 M/B SIS LV)


R308
1M
1

Title
SELECTOR
2

Size
Document Number
Custom
G732-1-4-01
Date:

Tuesday, March 11, 2003

Rev
1.E
Sheet
1

36

of

41

VA

P1772-REF

1
2

CSSN

26

P1772-CSSN

REF

BST

25

P1772-BST

CCS

DHI

24

C643
0.47UF/25V_1206

C642
10UF/6.3V_1206

C639
0.1UF/50V_0805

D26
C

C636
1

A2

36

A1

C615
+ 15UF/25V_SC

C368
0.1UF/50V_0805

CCV

DLOV

22

P1772-DLOV

GND

DLO

21

P1772-DLO

GND1

PGND

20

P1772-PGND

10

ICHG

CSIP

19

P1772-CSIP

CSIN

18

12

ACOK

BATT

17

P1772-BATT

P1772-REFIN

13

REFIN

CELLS

16

P1772-LDO

VCTL

15

P1772-VCTL

R551

ICTL

R550

1
P1772-CSIN1

R266 1
1

R552
294K_1%

75K_1%

R553

PRCHG3
D

R251

Q20
2N7002

20,23,34,35 EC_SUSB-

100K_1%

ENCHG

R300 VBAT_1
20K

R302
56K_1%

VBAT_2
VBAT_3
Q16
2N7002

R258

NEAR TO EC
2

VBAT

R303
10K_1%

20

C407
0.1UF

2
100K

ACINU29
LP2951_SO8

+3VSB

Q14
2N7002
ACPRT1
G
G

C392
ACPRT2

+ C367
10UF/10V-A

R578
169K_1%

1
2
3
4

VOUT
SENSE
SD
GND

VIN
FB
TAP
ERROR

8
7
6
5

R594
10_0805
P2951B-VIN 1

C650
4.7UF/25V_1206
2

4.7UF/25V_1206
R283

VBA
2

47K
2

R280

Z18
JCLOSE_120x60_MIL
P1772-PGND
1
2

VA

2
Z16
JCLOSE_40x40_MIL
P1772-SGND 1
2 P1772-PGND

S
S

R304
20K
D

2 VBAT_4 G

+3VAUX

Q10
2N7002
G

36

Q22
C 3906

R602
10K

20,34

10K_R

R257
100K

R262
100K

R278

S
S

G
G
S

2 PRCHG1 G

VBM_TURE

I/P CURRENT LIMIT SETTING

R247
10K

Q11
2N7002

2
261K_1%

Q12
2N7002

R275

B
B

6.81K_1%
D

R250
10K

VBAT_ON

R248

PRCHG2 1

20K
1
E

VBAT_5 1

100K_1%

P1772-CLS

R310
2

R306
20K

CHARGER CURRENT SETTING

1
20 PRE_CHG
SIGNAL NAME CHANGE TO MICRO-P

C
A
P1772-CSIP1
C362
0.1UF/50V_0805_R

CHARGE VOLTAGE SETTING

+3VSB

100K_1%

1UF/10V_0805
1

P1772-ICTL

C622

14

C624
0.1UF/50V_0805

JCLOSE_20x20_mil

P1772-PGND

ACIN-

2 P1772-CSIN1

D62
EC10QS04_D5025

C659
+ 10UF/25V_SC

C628
0.1UF/50V_0805

1
2
40mOHM_2512

VB-M

JOPEN_120x60_MIL
2

ACIN

P1772-ACOK

Z14

R545

R562
10K

1
2
3
1

2
1

ECVCC

20

11

P1772-CSIN

+3VSB

R270 1
1

P1772-ACIN

Z17
1

R294

2 P1772-ICHG

R564

Q48
FDS5690_SO8

P1772-SGND

P1772-PGND

L75
10UH_CDRH127
1
3
2
4

2 P1772-CCV

8.2K

15.4K_1%

R269
10K_1%

Q42
FDS6680A_SO8

0.1UF/50V_0805

P1772-LX

C378

23

CCI

BAT54C_SOT23

LX

1
R271
75K_1%

0.1UF/50V_0805

22

R274

1P1772-CCV1 1

C375 2
0.022UF

P1772-CCI

P1772-DHI

5
6
7
8

C357
2200PF

P1772-PGND

1
2
3

C635
0.01UF

P1772-CCS

D31
EC31QS03L_D5025
C
A

VBA-CHGIN

C647
1UF/10V_0805

IPCSR276 4.7
1
2
R272 33
1
2 P1772-LDO

CLS

P1772-CSSP

CSSP

D30
EC31QS03L_D5025
C
A

27

LDO

36

P1772-CLS

IPCS+

P1772-LDO

C384
0.1UF/50V_0805_R

5
6
7
8

C646
1UF/25V_0805

28

IINP

DCIN

VBA

C651
0.47UF/25V_1206

P1772-DCIN

U48
MAX1772_QSOP28
D

R279 4.7
1
2

P1772-IINP

R584 12.4K_1%
1
2

D61
BAS316_SOD323

ECS CORP. (G732 M/B SIS LV)

P2951B-FB

Title
R577
100K_1%

100K

+3VSB SUPPLY 30mA

FOR 1% , ECVCC
5

MAX1772 CHARGE
Size
B

Document Number
G732-1-4-01

Date:

Tuesday, March 11, 2003

Rev
1.0
Sheet
1

37

of

41

10K_1%

P1632-CSL3

P1632-CSH3

3.65K_1%
C243
2
1

+12VA

VL

100PF

R146
100K
2

U18
MAX1632_SSOP28

Z7
JOPEN_50X60_MIL

P1632-ON3

R148
10K
1

1
2
3
4

CSH3

ON3

28

CSL3

DH3

27

P1632-DH3

P1632-FB3

FB3

LX3

26

P1632-LX3

P1632-12OUT

12OUT

BST3

25

P1632-BST3

Q33
SI4800_SO8
S
D 8
7
6
5
G

VBA

C551
15UF/25V_SL

C542
0.1UF/50V_0805

C221
0.1UF/50V_0805
1
2
3
4

Q34
SI4800_SO8
S
D 8
7
6
5
G

P1632-LX3A

R475
1

100K
2

R179
10K_1%

10

P1632-FB5
12
R170
10.5K_1%
C247
13
100PF

BST5

RESET
FB5

LX5

17

P1632-LX5

CSL5

DH5

16

P1632-DH5

CSH5

SEQ

15

P1632-SEQ

R169

R155

L54

2M
2

R140
1

VBA

0_0805
2
JP1

5
6
7
8

D66
BAS316_SOD323
A
C

VL
ON3_5

34,40

ON5_003

100K

10K

R471
100K

D
20,35
PSON-

DJ_ON-

17,35,40

2N7002

VA
R184

100K

D39
BAS316_SOD323
A
C

D36
RLZ12B_LL34

S3AUXSW-

6,35

ECS CORP. (G732 M/B SIS LV)


Title

ON5_002
Q24
DTC114EKA_SOT23

+5VAUX

VBA

D40
BAS316_SOD323
A
C

Q47
C657
1UF/50V_0805_R
1
2 ON5_001

R149

RLZ5.6B_LL34

P1632-SDN1 1

C
1

P1632-SKIP-

P1632-ON5

D65
BAS316_SOD323
A
C

R601
Q18
DTA114EKA_SOT23
E
C ON3_5

2 P1632-SGND

JCLOSE_20x20_MIL

C237
10UF/25V_4532

1
2

R132
15mOHM_2512
2

D18
BAS316_SOD323
P1632-SHDN-

1
1

ON3_5

ECVCC

C213
0.1UF
Z6
+5VAUX
JOPEN_200X60_MIL
2
1

P1632-FB5

10UH_1:1.8T-CDRH127B
1
3

P1632-12VIN

D7

C214
2200PF

P1632-TR_IN

4
3
2
1

0_R

R92
1K_1%_R0805

2
2

47PF

R484
1

P1632-CSL5

-VOLT

C266
0.1UF

C207
47UF/6.3V-D

P1632-CSH5

C202
4.7UF/25V_1206

P1632-LX5A

C541
15UF/25V_SL

40

C543
1
2

C224
0.1UF/50V_0805

2
R91
3.01K_1%_0805

5
6
7
8

Q30
SI4800_SO8

C206
150UF/6.3V-D

Q26
SI4800_SO8
4
3
2
1

P1632-BST5

18

C
C
A

P1632-DL5

19

P1632-SGND

D45
EP05FA20_SOD123
A
C

-V

DL5

SKIP

14

2
C

P1632-RESET- 11

D46
EC11FS2_D5025

D6
1PS76SB40_SOD323

C223 10UF/6.3V_1206

C204
4.7UF/25V_1206
1
2

C203
4.7UF/25V_1206
1
2

20

PGND

C205
150UF/6.3V-D

REF

D49
EC10QS04_D5025

100K_R
P1632-SKIP2

C258
2200PF

R183
1

VL

2
VL

C261
56UF/4V-D

21

VL

GND

C260
220UF/4V-D

Z8
ECVCC
JOPEN_200X60_MIL
2
1

22

C259
220UF/4V-D

V+

2.2UF/35V_1206
P1632-SGND
C245
4.7UF/10V_1206
P1632-REF

1M

VL: 300K
GND: 200K

ON5

R182
0_R

2
1

P1632-SYNC

D12
EC10QS04_D5025
D5
1PS76SB40_SOD323

R193

P1632-FB3

2
1M

P1632-ON5

R476
VBA
10_0805
2

R506
15mOHM_2512
2

R186

P1632-SHDNP1632-V+
C222
2
1

23

SHDN

SYNC

P1632-DL3

24

P1632-SYNC

VL

DL3

VDD

1
R483

C546 47PF
P1632-VDD

L65
10UH_CDRH127
3
4

1
2

C244
0.1UF/50V_0805

C249
10UF/16V-B

P1632-SGND

R172

R178

D38
BAS316_SOD323
A
C

MAX 1632 SYSTEM POWER


PWRBTN-

17,20,26,34

Size
B
Date:

Document Number

Rev
1.0

G732-1-4-01
Tuesday, March 11, 2003

Sheet

38

of

41

MP5V/3VAUX/+12VA SUPPL

VID3

VID2

VID1

VID0

1
2

Q27
FDB6676_TO263

D9
EC31QS03L_D5025

C229
220UF/2.5V_MF-D
2

LGATE

+
C255
0.1UF
1
2

2
1

VID4

VCC

20

VID3

PGOOD

19

VID2

PWM4

18

6800PF_R

D13
A

C121
220UF/2.5V_MF-D

C
2

P6301-VCC

C216
220UF/2.5V_MF-D

R468
4.02K_1%
NEAR TO IC
2

GND

EC10QS04_D5025_R

U16 HIP6301_SOIC20
VID4

VCC

C524
R477
0_0805

R469 1K

PWM

P6206A-LGATE

PVCC

BOOST

8
7
6
5
2

1
2
3
4

VCC5

RP5
1K_8P4R

R189
0_0805
2

UGATE PHASE

P6206A-BST 2

C210
220UF/2.5V_MF-D

VCC5
VCC3

VCCP
L59
0_7UH_SMD134
1
2
3

6800PF_R

P6206A-PHASE

P6206A-VCC

VRMPWRGD

U20
ISL6207_SO8

C578
15UF/25V_SC
DIP 6.3x9.8

P6207A-TG

R473
10K

P6206A-UGATE

C581
15UF/25V_SC
DIP 6.3x9.8

Q35
FDB6030L_TO263

C256

R185
3.32_1%_0805
1
2

1
D

C264

10UF/25V_4532_R

C254
0.1UF/50V_0805
2
1

VCC3

34

CPU_POWER

FB

R137
330K_R

14

PWM2

P6301-PWM2

PWM

VCC

GND

LGATE

13

P6301-ISEN2

GND

ISEN3

12

P6301-ISEN3

10

VSEN

PWM3

11

P6301-PWM3

C536
0.1UF

PWM

VCC

C523
1UF

GND

LGATE

1
1

1
2

1
+

C246
0.1UF
1
2

Q29
FDB6676_TO263

D11
EC31QS03L_D5025

P6206C-LGATE

C99
220UF/2.5V_MF-D

C537
C231
220UF/2.5V_MF-D

6800PF_R

D15
A

VccVID
1.2V/30mA

VCC_VID

MIC5258-1.2BM5_SOT23-5
A

PVCC

6800PF_R

VCC5

BOOST

R173
0_0805
2

C218
220UF/2.5V_MF-D

GND

OUT

VR_ON

VCCP
L61
0_7UH_SMD134
1
2
3

P6206C-PHASE

UGATE PHASE

Q37
FDB6030L_TO263

C560

EN

P6206C-BST 2

VCC_VID
PG

U21
ISL6207_SO8
1

C580
15UF/25V_SC
DIP 6.3x9.8

U41

P6206C-UGATE

C576
15UF/25V_SC
DIP 6.3x9.8

VCC3

R191
3.32_1%_0805

P6207C-TG

P6301-SGND

C262
10UF/25V_4532_R
2

Z5
JCLOSE_20X20_MIL

1
2
NEAR TO CENTER OF CPU

IN

0.1UF/50V_0805
1

C248
2

P6301-VSEN

2
R405
0_R

CPU_POWER

P6206C-VCC

VCCP

C211
220UF/2.5V_MF-D

R126
0
1

C230
220UF/2.5V_MF-D

C
2

C135
220UF/2.5V_MF-D

C525

6800PF_R

EC10QS04_D5025_R

D10
EC31QS03L_D5025

R466
4.02K_1%
NEAR TO IC

P6301-SGND

VCC_SENSE

Q28
FDB6676_TO263

P6206B-LGATE

2
B

+
+

C253
0.1UF
1
2

PVCC

BOOST

D14
A
ISEN2

2
P6206B-BST 2

VCC5
1

P6301-PWM1

R190
0_0805
2

C217
220UF/2.5V_MF-D

P6301-FB

R125 NRAR TO HIP6301 PIN8


154K_1%
P6301-FS 8
1
2
FS/DIS

R400
2.67K_1%_R

P6301-COMP

47PF

15

PWM1

COMP

VCCP
L60
0_7UH_SMD134
1
2
3

VCCP

C208
1
2

UGATE PHASE

Q36
FDB6030L_TO263

C561

6800PF_R

P6206B-PHASE

U19
ISL6207_SO8
1

VCC_SENSE

R133
2.67K_1%
2
1

P6301-ISEN1

P6206B-UGATE

C577
15UF/25V_SC

R138
330K_R
1

16

ISEN1

C579
15UF/25V_SC

VCC5

VID0

R174
3.32_1%_0805

17

ISEN4

5
P6301-COMP1
R135
C209
4.02K_1%
0.047UF
1
2
1
2

VID1

P6207B-TG

C263
10UF/25V_4532_R
2

C252 0.1UF/50V_0805
2
1

P6301-SGND
C540
0.1UF

P6206B-VCC

CPU_POWER

C212
220UF/2.5V_MF-D

EC10QS04_D5025_R

R465
4.02K_1%
NEAR TO IC

closed to HIP6301 pin8

ECS CORP. (G732 M/B SIS LV)


Title
HIP6301 CPU POWER
Size
Document Number
Custom
G732-1-4-01
Date:
5

Tuesday, March 11, 2003

Rev
1.0
Sheet
1

39

of

41

2
8.06K_1%

P1715-OUT1

T_G

T_D

27

P1715-LX1

T_S

T_D

26

P1715-DH1

B_G

B_D

BST1

25

P1715-BST1

B_S

B_D

DL1

24

P1715-DL1

NC

OUT1

28

P1715_PWRIN

VBA
L16
BMC4532A102_3A
1
2

U11
FDS6982S_SO8

C153
0.1UF/50V_0805

P1715-VCC
Z4
JCLOSE_40x40_MIL
1
2

1
R416

P1715-SKIP#

34,38
R418
130K_1%

ON3_5

20,35

SUSC-

1
R429

PGOOD
AGND
REF

+5VAUX

23

PGND

22

VCC

21

R426
1

R417
1

20
2

VDD

20

P1715-VDD
P1715-DL2

SISAUX1.8

L10
3.3UH_CDR104R
1
2

C134
4.7UF/10V_1206
1
2

ON1

DL2

2 P1715_ON2 11
1K

ON2

BST2

18

P1715-BST2

B_S

B_D

17

P1715-DH2

B_G

B_D

LX2

16

P1715-LX2

T_S

T_D

NC

15

T_G

T_D

U12
FDS6982S_SO8

VCC_DIMM
Z1

FB2

P1715-OUT2

14

OUT2

C116
10UF/25V_4532

R431
100

D3

15K_1%
2

1PS76SB40_SOD323
A
C
A
C

LGATE

FB

P9002-FB

P9002-VCC

VCC

VCC5

C487

R375
88.7_1%

R385
88.7_1%_R

1UF/16V_0805

Q50
2N7002

C348
100UF/10V-D
2

VCNTL

OUTPUT

C313
1UF/10V_0805

1
C330
1UF/10V_0805

P9173-OUT

1
C302
220UF/10V-D

C300
0.1UF

2
Z11
JOPEN_120x60_MIL

ECS CORP G732

PUT it near VGA


for remove
sense

Title
VGA/RAM POWER
Size
B
Date:

VGA1.5VS

VCNTL

C303
220UF/10V-D

17,35,38 PSON-

P9002-FB1

VCNTL

REFEN

P9173-VCNTL

DDR_VTT

R243
10K_1%

R607 10_0805

2
1

P9002-OCSET

OCSET

GND

L_S

GND

L_G

L_D

UGATE

VCNTL

VIN

L_D

P9002-LGAT

P9002-UGAT

U_S

PHASE

C351
100UF/10V-D

P9173-REFEN 3

U_G

U_D

BOOT

R372
100_1%

P9002-PHASE

C354
1UF/10V_0805

U_D

RT9202_SO8
8

R235
10K_1%

R363
3.9K

U23
RT9173CS_SO8

C88
330UF/2.5V-D

U8
1

0.1UF/50V_0805

C662

P9173-VIN

VCC3

Z13
JOPEN_120x60_MIL
2

L11
3.3UH_PLFC0745P
1
2

VCC5

VGA1.5VS

Z2
JOPEN_120x60_MIL

C100
0.1UF/50V_0805
P1715_PWRIN

VCC_DIMM Z12
JOPEN_120x60_MIL
1
2

C63
0.1UF

P9202-BST1 A
C
A
C
U9
D67
1PS76SB40_SOD323
FDS6982S_SO8

JOPEN_120x60_MIL
C479
0.1UF

2 P1715-SKIP#

1UF/16V_0805_R
R383 10_0805_R
P9002-BOOT

R606 0_0805

-VOLT

+12V

+ C46
150UF/6.3V-D

R438
26.7K_R

38

VGA_PWRIN
C471

C494
0.1UF

R430
1

C154
0.1UF/50V_0805

DH2

13

P1715-VDD

C493
1000PF

ILIM2

12

P1715-FB2

2
C183
1000PF

L5
BMC4532A102_3A
1
2

P1715-OUT2A
+ C77
330UF/2.5V-D

19

P1715-AGND

VCC3

JOPEN_120x60_MIL

2 P1715_ON1 10
1K

P1715-ILIM2

Z3

P1715-OUT1A

C133 10UF/6.3V_1206
1
2 P1715-AGND

P1715-VCC

C151
0.1UF

NC

SKIP

C145
330UF/2.5V-D

R440
10K_1%

C181 1UF/10V_0805
P1715-REF
2
1
1
R439

P1715-PGOOD 7

2
100K

P1715-AGND

C182
1000PF_R

TON

L17
3.3UH_CDR104R
1
2

P1715-TON

1
R414
1
R415

V+

P1715-V+
1
0.22UF/25V_0805

DH1

ILIM1

C502
0.1UF/50V_0805

P1715-ILM1
2

C115
10UF/25V_4532

LX1

FB1

R427
130K_1%

R437
10K_1%

C180
1000PF_R

1
R428
2
C186

P1715_PWRIN

P1715-FB1

P1715-AGND

1PS76SB40_SOD323
C
C

U13
MAX1715_QSOP28
2

C179
1000PF
2
1

D2
A
A

P1715-VDD

R435
100

R436

Document Number
G732-1-4-01
Tuesday, March 11, 2003

Rev
1.0
Sheet
1

40

of

41

HISTORY:
D

1.0
1.A
1.B
1.C
1.D
1.E
1.F
1.G
1.H

:
:
:
:
:
:
:
:
:

INITAL
P20 CPU THERMAL SHUT PROTECT
P25 , P21 AUDIO HAS NOISE
P31 BUG FIX FOR RUN TEST TOOL HANGED
P11,P18 SIS RECOMMEND FOR USB AND VGA
PURCHASE DIFFICULTY
PURCHASE DIFFICULTY FOR NS87591
EMI IMPRVE FOR G732 PCMICA AND DDR BUFFER
COOL BOOT TEST FAIL

ECS CORP. (G732 M/B)


Title
HISTORY

Size
B

Document Number
G732-1-4-01

Date:

Tuesday, March 11, 2003

Rev
1.H
Sheet
1

41

of

41

You might also like