You are on page 1of 30

Asynchronous vs.

Synchronous Timing

Muhammad Wasiur Rashid


Overview
„ Issues distinguishing synchronous and asynchronous
timing
„ Comparison of some real life circuits
„ Adder comparison

„ Microprocessor comparison

„ Globally asynchronous locally synchronous systems


„ Summary
Introduction

„ Synchronous: Circuits that use a clock to separate


consecutive system states from one another.

„ Asynchronous: Circuits that define states in terms


of input value and internal action.
„ Asynchronous timing is event driven
„ Completion logic and Handshaking signals are used to
identify separate events
Overview

„ Issues distinguishing synchronous and asynchronous


timing
„ Comparison of some real life circuits
„ Adder comparison

„ Microprocessor comparison

„ Globally asynchronous locally synchronous systems


„ Summary
Issues Distinguishing Synchronous and
Asynchronous Timing

„ Clock
„ Average vs. worst case delay
„ Power consumption
„ Noise and electromagnetic compatibility
„ Handshaking and completion detection overhead
„ Complexity of design
Clock

„ Global clock synchrony becoming harder to attain [1, 4, 18]


„ high clock speed
„ large chip size
„ large systems
„ Asynchronous circuits avoid clock distribution problem
„ Clock skew and jitter impact performance, functionality of
sequential circuits
Clock Period, T ≥ tc-q + tlogic + tsk - δ + 2tjitter , δ is the clock skew
„ Clock design is a major time, power budget and chip area drain
[4]
Average vs. Worst Case Delay

„ Average length of carry-propagation chain log2N [4]


„ For synchronous, clock period at least equal to worst
case
„ Completion detection and handshaking overheads
RAPPID [17]

„ Large data dependent variation in delay


„ RAPPID (Revolving Asynchronous Pentium
Processor Instruction Decoder)
„ Research by Intel
„ 3 times better performance then
the synchronous decoder in 400
MHz Pentium-II processor
Power Consumption

„ 40% of the total power of modern Synchronous


Processor is consumed by the clock network [11]
„ ‘On demand’ power consumption [4]
„ Clock gating
„ Asynchronous more efficient
when (Clock Rate > Data Rate)
Noise and EMC [4]

„ Significant current flow over very short period of time


„ Noise due to
„ Burst of current
„ package inductance
„ power supply grid resistance
Handshaking and Completion Detection

„ Handshaking and completion detection logic comes at the


expense of area, speed and power dissipation

B B0 B1
In transition 0 0
0 0 1
1 1 0
illegal 1 1

Redundant signal representation to include transition state


Complexity of Design

„ Manual design of asynchronous circuit


„ Difficult
„ Error prone
„ Can result in
„ Race conditions
„ Hazards
„ Synchronous design
„ Better understood
„ Process is more mature
Resource Utilization [23]

„ Asynchronous microprocessors require less resources


(ALU, Reservation Station)

1-station and 6-station model 1-ALU and 2-ALU models


Overview
„ Issues distinguishing synchronous and asynchronous
timing
„ Comparison of Some Real Life Circuits
„ Adder Comparison

„ Microprocessor Comparison

„ AMULET
„ ARM
„ MiniMIPS
„ ALPHA
„ TATIC-2
„ Globally asynchronous locally synchronous systems
„ Summary
Adder Circuit Comparison [14]

„ Comparisons are made between 32 bit


„ Synchronous static ripple carry adder (RCA)
„ Asynchronous dynamic adder (ADA)
„ Synchronous dynamic adder (SDA)
„ Synchronous static Manchester ALTAS adder (ATLAS)
Power Analysis of AMULET [8]

„ High performance asynchronous ARM processor


„ Developed by the 'Advanced Processor Technologies Group' at the University of
Manchester

Processor power breakdown

AMULET core power consumption


AMULET vs. ARM [8]

„ 26% power of ARM is used in clock


„ Handshaking circuit used 10.5% of the total power
consumed by the core of AMPLET
„ Other overheads of AMULET
„ Lack of synchronization at different stages of the pipeline
results in duplication of information
„ Fine grain control circuit leads to existence of extra states
„ AMULET 1100 MIPS/W
„ 0.35 µm ARM9 => 120 MHz, 800MIPS/W
MiniMIPS [15, 16]

„ Developed at Caltech
„ E * t2 metric
R3000 1.2µ 25MHz

R3000A 1.2µ 33MHz

VR3600 1.2µ 40MHz

R3000 0.6µ 47MHz

MiniMIPS 0.6µ 177MHz

Performance of MiniMIPS compared to R3000


Comparing MiniMIPS [15]
The Alpha Processor [11]
TATIC-2 [24]

„ Full-featured 32-bit architecture that uses delay


scaling
„ Developed jointly by group at Tokyo Institute of
Technology and Tokyo University
Other Asynchronous Processors [6]
„ Phillips Asynchronous 80C51: Microcontroller that
exhibits 4 times less power and significant less EM
emission compared to its Synchronous counterpart
„ Sharp DDMP Signal Processor: Operates at 8600
operations per second with less than 1 watt power
consumption
„ The Fred Architecture: It is a self-timed,
decoupled, pipelined, out-of-order architecture
Power and Speed Comparison [15]
Overview

„ Issues distinguishing synchronous and asynchronous


timing
„ Comparison of some real life circuits
„ Adder comparison

„ Microprocessor comparison

„ Globally asynchronous locally synchronous systems


„ Summary
Globally Asynchronous Locally
Synchronous Systems [1]

GALS

„ Delay due to synchronizers vs. clock skew


GALS Timing Analysis [1]

„ Curve suggests the use of two levels of granularity for VLSI


systems
„ At a finer level synchronous scheme to exploit higher rate
„ At a coarser level asynchronous scheme
Interconnection Network [20]

„ In a multiprocessor connection network, the


condition under which GALS data rate is greater than
synchronous data is given by
δ/d > 2( k-1 ) + dp/d
„ If δ is high GALS design is better, if dp is high
synchronous design is better
„ Ideal network has to be modular and expandable,
pointing to the use of GALS scheme
Summary

„ Distributing a clock at high speed becoming


exceedingly difficult
„ Asynchronous timing circuits will gain popularity
„ No asynchronous revolution, but a steady evolution
„ Globally-asynchronous locally-synchronous approach
most promising
References
1. M. Afghahi, C. Svensson, “Performance of synchronous and asynchronous schemes for VLSI systems,” IEEE Transaction on Computers, July 1992.

2. E. Arjomandi, M. J. Fisher, N. A. Lynch, “Efficiency of synchronous verses asynchronous distributed systems” J. ACM, vol 30, July 1983.

3. D. W. Bailey, B. J. Benschneider, “Clocking design and analysis for a 600-MHz Alpha Microprocessor,” IEEE Journal of Solid-State Circuits, 1998.

4. C. H. Van Berkel, M. B. Josephs, S. M. Nowick, “Applications of asynchronous circuits”, Proceedings of IEEE, Feb 1999.

5. E. Brunvand, S. Nowick, K. Yun, “Practical advances in asynchronous design and in asynchronous/synchronous interfaces”.

6. E. Brunvand, S.M. Nowick and K.Y. Yun, “Practical Advances in Asynchronous Design,” IEEE International Conference on Computer Design (ICCD),
Austin, Texas (1997).
7. P. Day, J. V. Woods, “Investigation into micropipeline latch design styles”, IEEE Transaction on VLSI, Jun 1995.
8. A. Efthymiou, J. D. Garside, S. Temple, “A Comparative Power Analysis of an Asynchronous Processor,” In Proc. of the 11th Intl. Workshop on Power and
Timing Modelling, Optimization and Simulation (PATMOS'01), Yverdon-les-bains, Switzerland, Sep. 2001.

9. M. A. Franklin, T. Pan, “Clocked and asynchronous instruction pipelines,” Proc. 26th ACM/IEEE Symposium on Microarchitecture, 1993.

10. E. G. Friedman, “Circuit distribution networks in synchronous digital integrated circuit,” Proc. IEEE, May 2001.

11. P. E. Gronowski, W. J. Bowhill, R. P. Preston, M. K. Gowen, R. L. Allmon, “High-Performance Microprocessor Design,” IEEE Journal of Solid-State
Circuits, Vol. 33, No. 5, May 1998.

12. S. H. Gunther, F. Binns, D. M. Carmean, J. C. Hall, “Managing the Impact of Increasing Microprocessor Power Consumption,” Intel Technology Journal, 1st
Quarter, 2001.

13. D. J. Kinnement,”An Evaluation of Asynchronous Addition,” IEEE Transaction on VLSI Systems, Vol. 4, No. 1, March 1996.
References(2)
14. D. J. Kinnement, J. D. Garside, B. Gao, “A Comparison of Power Consumption in Some CMOS Adder Circuits,” Proceedings of PATMOS'95,
Oldenburg, Germany, October 4-6 1995.

15. A. J. Martin, M. Nystrom, C. G. Wong, “Three Generations of Asynchronous Microprocessors,” IEEE Design & Test of Computers, special issue on
Clockless VLSI Design, Nov 2003.

16. A. J. Martin, M. Nystrom, P. Panzes, C. G. Wong, “Speed and Energy Performance of an Asynchronous MIPS R3000 Microprocessor,” Caltech
Computer Science Technical Report, CSTR:2001.012. June 2001.

17. C. J. Myers, W. Belluomini, K. Killpack, E. Mercer, E. Peskin, H. Zheng, “Timed Circuits : A New Paradigm for High-Speed Design,” Proc. of Asia
and South Pacific Design Automation Conference ,2001.

18. V. G. Oklobdzija, “Clocking and clocked storage elements in a multi-gigahertz environment”, IBM Journal of Research and Development, Vol 47, Sep-
Nov 2003, pg 567 – 582.

19. A. E. Sjogren, C. J. Myers, “Interfacing synchronous and asynchronous modules within a high-speed pipeline,” IEEE Transaction on VLSI Systems,
Oct. 2000.

20. D. F. Wann, M. A. Franklin, “Asynchronous and clocked control structures of VLSI-based interconnection networks”, IEEE Transaction on Computers,
Mar 1983.

21. K. Y. Yun, D. L. Dill, “Unifying synchronous/asynchronous state machine synthesis,” In ICCAD, Nov 1993.

22. K. Y. Yun and A. E. Dooply, " Pausible clocking based heterogeneous systems,"IEEE Transactions on VLSI Systems, vol. 7, no. 4, pp. 482-487, Dec.
1999.

23. A. Davey, D. Lloyd, “An evaluation of asynchronous and synchronous designfor superscalar architecture,” IEEE Transactions on VLSI Systems, 1997.

24. A.Takamura, M.Imai, M. Ozawa, L. Fukasaku, T. Fujii, M. Kuwako, Y. Ueno, T. Nanya, “TITAC-2: an Asynchronous 32-bit Microprocessor ”
Proceedings of the ASP-DAC '98. Asia and South Pacific Design Automation Conference, 1998.

You might also like