You are on page 1of 2

Instructions for installing Icarus Verilog simulator (Windows) Step1: Go to the following site ftp://ftp.icarus.com/pub/eda/verilog/v0.

7 Step2: Click on the directory named Windows and click on the file named iverilog-0.7-setup.exe and save it. Step3: Install the software Double click on the saved .exe file and it will begin installing. Accept all the default values. When it asks for PATH just ignore it and press OK. Also if it pops a window saying searching for just press Cancel. If it asks you to connect to the Icarus web-page, just click No. Thats pretty much it for installation! Step4: Make your own directory to work and copy the relevant files into it! i) From the windows, do: Start -> run -> cmd. This brings the command prompt. Change directory to the right place (e.g., C:\iverilog directory) by doing cd C:\iverilog and press Enter. ii) To see the list of files, do dir/p <Enter> The executable files are in the C:\iverilog\bin directory. iii) Create your own directory and copy the iverilog.exe and vvp.exe files into them. Just do: mkdir MyOwnDir <Enter>. Then do, copy C:\iverilog\bin\*.exe C:\iverilog\MyOwnDir <Enter> iv) Also, copy the sample Verilog code into your directory. Do the following, copy C:\iverilog\*.vl C:\iverilog\MyOwnDir <Enter>. Step5: Compile and run a sample code The command to COMPILE is iverilog (e.g., iverilog -o myobjectcode hello.vl) The command to RUN compiled code is vvp (e.g., vvp myobjectcode). Note that it is all-lowercase vee-vee-p NOT WP. Also note that Verilog programs for Icarus end in vee-el NOT veeone. i) Go to your directory by doing cd C:\iverilog\MyOwnDir <Enter> ii) Compile a program by doing iverilog o myobjectcode hello.vl <Enter iii) Run the compiled code by doing this vvp myobjectcode <Enter. You should see a oneline output on the screen that says Hello, World! Thats all it takes to install and get the Icarus running! Please see the next page on downloading an editor for Verilog

Downloading Textpad Software

Step1: Go to: http://www.textpad.com/download/

Step2: Download the executable from one of the links such as

ftp://download.textpad.com/pub/textpad5.3/txpeng531.exe

Step3: Run the executable by double clicking and it will install itself. Done!!!

Note: While saving a Verilog file in Textpad, do the following: i) ii) iii) iv) do file->save as Save as type is all files *.* File format is UNIX Encoding is ANSI

You might also like