Professional Documents
Culture Documents
Giri
MMI, 1978
PLAden daha az esnek, daha hzl, daha ucuz.
CPLD
Sahada programlanabilir,
FPGA
COUT
COUT
BUFT
BUF T
Slice 0
Slice S3
LUT
Carry
CLR
Slice S2
Switch
Matrix
PRE
D
Q
CE
SHIFT
Slice S1
LUT
Slice S0
CIN
Local Routing
CIN
Carry
D PRE
Q
CE
CLR
A B C D Z
0 0 0 0 0
0 0 0 1 0
0 0 1 0 0
0 0 1 1 1
0 1 0 0 1
0 1 0 1 1
Combinatorial Logic
A
B
C
D
. .
Z
1 1 0 0 0
1 1 0 1 0
1 1 1 0 0
1 1 1 1 1
Programlanabilen Anahtarlama
Teknolojileri
Programlanabilen Anahtarlama
Teknolojileri
OTP FPGA:
Antifuse
Verilog
VHDL
entity counter is
Port ( CLOCK : in STD_LOGIC;
DIRECTION : in STD_LOGIC;
COUNT_OUT : out STD_LOGIC_VECTOR (3 downto 0));
end counter;
architecture Behavioral of counter is
signal count_int : std_logic_vector(3 downto 0) := "0000";
begin
process (CLOCK)
begin
if CLOCK='1' and CLOCK'event then
if DIRECTION='1' then
count_int <= count_int + 1;
else
count_int <= count_int - 1;
end if;
end if;
end process;
COUNT_OUT <= count_int;
end Behavioral;
Design Entry
FPGA Platformunda tasarm sreci bir lojik tasarmn girii
ile balar. Bu ilem HDL ve/veya ematik olarak yaplabilir.
Behavioral Simulation
Tasarm girii yapldktan sonra sistemin davransal
simlasyonu yaplr ve tasarmn dorulamas yaplr. Eer
dzeltme gerekirse tasarm giriinde deiikliler yaplr.
Design Synthesis
Tasarm giriinde HDL kullanlmas durumunda gerekleme
yaplabilmesi iin HDL'den sentezlemesi yaplr. ematik
tasarmda ise, hedeflenen cihazda bulunan temel birimler
kullanldndan iin bu adma gerek yoktur. Sentezleme
sonucunda tasarlanan sistemi oluturuan lojik bloklar ve
bunlarn balantlarn ieren bir netlist oluturulur.
SelCPU
Multi-cycle execution,
77 instruction,
Hafzada Stack ,
SelCPU
SelCPU Mimarisi
SelCPU Assembler
Sonu
salarlar.
Hzlar gittike ykselen FPGA'ler ile DSP ilemcileri ile salanan performansn
onlarca kat fazlas elde edilebilmektedir.