Professional Documents
Culture Documents
Upf PDF
Upf PDF
Agenda
Introduction (00:15)
Kevin Kranen, Synopsys
Q&A
3
Agenda
Introduction (00:15)
Kevin Kranen, Synopsys
Q&A
4
Mentor
AMD
ArchPro
ARM
Atrenta
Azuro
Cadence
ChipVision
FreeScale
IBM
Infineon
Intel
LCDM Eng
LSI Logic
Magma
Mentor
Nokia
Nordic Semi
Novas
NXP
Qualcomm
Si2
STARC
STM
Synchronous
DA
Synopsys
TI
Toshiba
VaST
Virage Logic
Xilinx
Infineon - The quick development and release of the UPF 1.0 standard is
based on our close partnership relations with EDA suppliers who share
the same vision and attitude in making things happen. We are convinced
that UPF will support us in achieving zero-defect quality and our
productivity objectives, which both are key for Infineon's World class
Automotive Product Portfolio.
Hartmut Hiller, Senior Director Design Methodology Automotive,
Industrial & Multimarket
Synopsys - Applauds Accellera for approving the UPF standard for low
power design and verification. We plan to deliver our UPF 1.0-based
implementation and verification solution during 2007. In response to
customer demand for a standard that enables consistent and
interoperable end-user low power flows and methodologies, Synopsys together with Magma Design Automation, Mentor Graphics, leading endcustomers and IP companies - has made strong contributions to UPF 1.0
based on our proven technologies. UPF 1.0 is ready for industry use.
Rich Goldman, Vice President, Synopsys, Strategic Market
Development
Magma - The speed at which the UPF standard has been developed and
approved demonstrates the power of one open, inclusive and cooperative
industry-wide effort. Users will realize significant improvements in
productivity and quality of results by having a single, portable file and
format with which they can specify, modify and maintain design data.
Accellera, Magma, Mentor, Synopsys and all the companies that donated
technology and expertise should be commended.
Kam Kittrell, General Manager, Design Implementation Business
Unit, Magma Design Automation
Digital Design
COT/ASIC/FPGA Synthesis + Physical Implementation + DFT + Signoff
CPF
31%
Other
2%
UPF
67%
Based on Q4 05 through Q3 06 EDAC MSS data plus other publicly available market data
Digital Verification
RTL Verification + Formal Verification
(TTM Revenue: $579.8M)
Other
6%
UPF
49%
CPF
45%
Based on Q4 05 through Q3 06 EDAC MSS data plus other publicly available market data
Digital Verification
Other
1%
CPF
33%
UPF
66%
Based on 2007 John Cooley DeepChip Verification Survey Mindshare 818 Respondents
10
What is UPF?
Unified Power Format
UPF provides the ability for electronic systems to
be designed with power as a key consideration
early in the process.
Why UPF?
No existing HDL adequately supports the
specification of power distribution and management
Vendor-specific formats are non-portable and create
opportunities for bugs via inconsistent specifications
11
12
Retention
Isolation
Level shifters
Switches
RTL Verif
Unified Power Format
Power domains
Supply rails
Switches
Synthesis
Pre-Verif
Layout
Post-Verif
Signoff
Finished
GDSII
<100nm
Static leakage consumes >50% of power!
Intel 45nm Test Chip
Intel 45nm Memory Cell
13
Milestone
11 Sep 06
18 Sep 06
5 Oct 06
30 Oct 06
30 Nov 06
23 Jan 07
22 Feb 07
23 Feb 07
7 May 07
Design Compiler
15
Verification
Synthesis
Physical Implementation
Checking
Signoff
Low Power IP
UPF
UPF
Netlist
Netlist
IC Compiler
UPF
UPF
GDSII
GDSII
UPF
UPF
RTL
RTL
UPF in a Nutshell
Abstract supply distribution and control network
specification
Power-aware design intent
Used throughout design flow
Key Concept:
UPF extends without changing the
logic design specification
UPF
UPF
Verilog
Verilog
(Netlist)
(Netlist)
P&R
Key Concept:
Matching simulation & implementation
semantics
16
Synthesis
What is UPF?
UPF
UPF
HDL
HDL
(RTL)
(RTL)
UPF
UPF
Verilog
Verilog
(Netlist)
(Netlist)
Agenda
Introduction (00:15)
Kevin Kranen, Synopsys
Q&A
17
TCL
Exploit all TCL scripting capabilities
IP Accommodating
Specify how separate from what
Which registers require retention
Specifics of retention (supplies, control signals)
18
Lp1
Lp2
Ln1
Ln2
Ln3
Lp3
Module A
Logic Design
19
Ln1
Ln2
Ln3
Lp3
Module A
pdA
Logic Design
20
create_power_domain
create_power_domain domain_name
[-elements list]
[-include_scope]
[-scope instance_name]
21
Ln1
Ln2
Ln3
Lp3
spAOn
Module A
pdA
Logic Design
22
create_supply_port
create_supply_port port_name
-domain domain_name
[-direction <in | out>]
23
Ln1
Ln2
Ln3
Lp3
RET
spAOn
Module A
pdA
Logic Design
24
Ln1
Ln2
Ln3
Lp3
RET
Module A
PR
pdA
Logic Design
25
create_supply_net
create_supply_net net_name
-domain domain_name
[-reuse]
[-resolve < unresolved
| one_hot
| parallel >]
26
Ln1
Ln2
RET
Ln3
Lp3
PR
SW1
Module A
pdA
Logic Design
27
create_power_switch
create_power_switch switch_name
-domain domain_name
-output_supply_port { port_name supply_net_name }
{-input_supply_port { port_name supply_net_name }}*
{-control_port { port_name net_name }}*
{-on_state {state_name input_supply_port
{boolean_function}}}*
[-on_partial_state { state_name input_supply_port {
boolean_function }}]*
[-ack_port { port_name net_name [{boolean_function}] }]*
[-ack_delay { port_name delay}]*
[-off_state { state_name {boolean_function} }]*
[-error_state { state_name {boolean_function} }]*
28
Ln1
Ln3
Ln2
RET
Lp3
PR
SW1
Module A
pdA
Logic Design
29
connect_supply_net
connect_supply_net net_name
[-ports list]
[-pins list]
[< -cells list |
-domain domain_name >]
[< -rail_connection rail_type |
-pg_type pg_type >]*
[-vct vct_name]
30
Ln1
Ln3
Ln2
RET
Lp3
PR
SW1
Module A
pdA
Logic Design
31
Automation
Supply_port
Supply_net
Flexibility
Supply_net
Supply_port
Supply_net
U1
U1
Supply_net
Supply_net
U17
U2
Single create_supply_port
command
Single create_supply_net
command
Supply is routed to all design
elements in PD
U2
32
Supply_net
OFF:
Primary power and/or ground are OFF
Voltage value is irrelevant
PARTIAL_ON
For power-aware models may more accurately reflect
switching capacitive transition
33
OFF Means
All registers are corrupted
Retention (shadow) registers have separate
supply(ies)
Logic types = X
Other types = default initial value
ON Means
When logic is powered on (event)
Combinatorial processes are evaluated
Including continuous assignments
Edge triggered processes are not evaluated
until the next active edge
Logic (processes) are re-enabled for
evaluation
35
36
Pbatt
VDDchip
one_hot resolution:
Predefined
Specified in create_supply_net command
At most one supply (PARTIAL_)ON at any time
Voltage value is the value of the ON port
37
reconVDD
parallel resolution:
Predefined
Specified in create_supply_net command
All must be OFF
Or all must be ON and at same voltage
If any PARTIAL_ON, then PARTIAL_ON
38
Synthesis
UPF
UPF
Verilog
Verilog
(Netlist)
(Netlist)
P&R
UPF
UPF
HDL
HDL
(RTL)
(RTL)
UPF
UPF
Verilog
Verilog
(Netlist)
(Netlist)
39
P&R
UPF
UPF
Verilog
Verilog
(Netlist)
(Netlist)
Synthesis
Questa Simulation
UPF
UPF
Verilog
Verilog
(Netlist)
(Netlist)
40
FormalPro
Is the implementation
equivalent to what was
verified?
HDL Logic
Design
Vlog / VHDL
Compile
Library
Vopt
Elab &
Optimizer
Vsim
Simulation
41
42
FormalPro
Accelleras UPF: The Power
of One- Product Update 2006 Interoperability Forum 26 Apr 07
Agenda
Introduction (00:15)
Kevin Kranen, Synopsys
Q&A
44
Talus TM Platform
Rapid concurrent closure of
timing, power and yield
25% less power
Power signoff
RTL to
GDSII
Automation
DFM &
Variability
Extraction,
Timing/SI Closure
Signoff In the Loop
45
RTL
Sign-off power and IR drop
MTCMOS
Thermal
Talus
Design
Talus
Vortex
Automated MTCMOS/VTCMOS
Concurrent Multi-vt flow
Impact on delay/leakage
GDSII
46
Leakage Power
Optimization
Talus Power
Analysis
Quartz Rail
Talus Design
Talus Power
Sample UPF
Commands for
MVDD flow
Talus Power
Questa
Formal Pro
Talus Vortex
Analysis
Quartz Rail
47
48
1.2v
200Mhz
Constant VDD
1.4v
200Mhz
Constant VDD
1.2v
200Mhz
Switched VDD
1.4v
200Mhz
Constant VDD
49
1.2 to 1.6v
100to 200Mhz
Variable VDD ON
1.2 to 1.6v
100to 200Mhz
VDD - Switched
Variable
1.6v
200Mhz
VDD - Switched
Constant
1.4v
200Mhz
Constant VDD
DVFS
1.2v
200Mhz
Constant VDD
Switched
MTCMOS
Ln1
Ln2
Ln3
Lp3
Module A
pdA
Logic Design
50
Ln1
Ln3
Ln2
RET
Lp3
PR
SW1
Module A
pdA
Logic Design
51
sub2
($m3)
Domain
Creation
Attaching cells
Logical
Mapping
Domain Parameters
Libraries for domain
Electrical
Mapping
top
sub1
sub2
52
Mapping
B2
R5
B3
clk
G6
P7
B4
B7
B8
P5
P7
P6
Vlo
Vhi
P5
P7
P6
module G6
logic design
55
map_level_shifter_cell
ls_L2H
domain PDgreen
lib_cells { /lib/ls_123 }
module G6 in
domain PDgreen
P5
P7
P6
set_isolation_control
iso3
domain PDgreen
isolation_signal CPU_iso
location self
Vbu
P5
P7_iso
P6
CPU_iso
module G6
logic design
56
module G6 in
domain PDgreen
1.2v
Constant
Typical flow
Two
level shifters
57
1.08v
Constant
0.9v
Switched
1.2v
Constant
Length based
One
level shifter
1.08v
Constant
0.9v
Switched
Isolation cell
insertion
High
Congestion
1.08v
Constant
1.2v
switched
1.08v
Constant
1.2v
switched
58
Gas
Station 1.08v
Interoperability Forum 26 Apr 07
MTCMOS Switches
Coarse Grain Distributed Switches
1.2v
200Mhz
Switched VDD
1.4v
200Mhz
Constant VDD
1.6v
200Mhz
Switched VDD
MTCMOS
59
Ln1
Ln2
RET
Ln3
Lp3
PR
SW1
Module A
pdA
Logic Design
60
set_retention_control
ret3
domain PDgreen
save_signal s
restore_signal r
Vbu
D
Q
u37
module G6
logic design
61
Q
u37
module G6
in domain PDgreen
MTCMOS
Domain
1.08v
Constant
Flow
62
IR Drop based
Incremental
removal/insertion
63
Power
Spice
Engine
Rail
EM
IR
Drop
Quartz
Rail
Thermal
IR
Drop
Delay
Quartz SSTA
Talus
Vortex
Quartz Rail
Talus
Design
Quartz Time/RC
Talus ACC
Talus Power
Talus DFM
UPF Roadmap
UPF version 1.0 released Feb 22nd 2007
Magma support for UPF
UPF Implementation support May 07
Talus Power for Implementation
Quartz Rail for Analysis
64
Comprehensive
Power Management Solution
Advanced power management
techniques from RTL-to-GDSII
Unique architecture - Single
executable, Unique data model
Embedded analysis - enables
concurrent power, timing, area
tradeoffs
Continuous
Innovation
Outstanding
Partnerships
Agenda
Introduction (00:15)
Kevin Kranen, Synopsys
Q&A
66
UPF Workshop
Anand Iyer
Senior Director of Marketing
ArchPro Design Automation
67
67
Test Effort
Schedule Risk
Design Effort
# of islands
68
69
Synthesis
UPF
UPF
Verilog
Verilog
(Netlist)
(Netlist)
Comprehensive MV
verification based on
UPF
Read-only support of
UPF by DAC 07 (June
2007)
Read/write support Q4
07
UPF
UPF
HDL
HDL
(RTL)
(RTL)
P&R
UPF
UPF
GDSII
GDSII
69
Architecture
design
Architecture Verification
(incl. Coverage, assertions)
Implementation
Seamless connection to
Synthesis
Mainstream design flows
MVSIM
Test
MVRC
Floorplanning
Place, Opt &
CTS
Speedy Silicon
Debug
MVSYN
Route
Silicon Debug
70
MVSIM
Multi-voltage co-simulator
works with ModelSim and
VCS
MVRC
Vectorless verification of
multi-voltage conditions
Power sequence prediction
MVSYN
Scriptless insertion of
protection devices into RTL
71
INPUTS
/a
/b
/iso
OUTPUTS
/add_out
/AND_out
2f
01
1
0a
30
30
VOLTAGE ISLANDS
/Vadd 1.2
/Vfooter 1.2
/VAND 1.2
0
00
0b
0b
1.2
0.0
100
200
2f
01
1
0a
30 Z
30 X
VOLTAGE ISLANDS
/Vadd 1.2
/Vfooter 1.2
/VAND 1.2
0
00
0.0
100
0b
0b
1.2
200
71
Implementation is guaranteed
to be clean
Functional
Verification Complexity
BOM Cost
Battery Life
Power
# of islands
72
Whats Next
The Panel
Get involved with UPF for your customers
sake
Download current standard at accellera.org
Join the IEEE P1801 study/working group
UPF Workshop at DAC
73
THANK YOU
74
Atrenta Support of
Unified Power Format (UPF)
Piyush Sancheti
76
77
Talus Design
Talus Power
Talus Vortex
Questa
Formal Pro
Talus Power
Talus Vortex
Analysis
SpyGlass
Power
Quartz Rail
78
UPF
Library data
Supplies
Scope
Domains
signals
Power Estimation
Timing-aware power estimation at RTL, gates, layout
79
UPF examined
Atrenta UPF
CPF
always_on_cell
always_on_pin
create_power_switch_rule
update_power_switch_rule
Library
define_always_on_cell
define_isolation_cell
end_design
set_design
set_instance
powerswitch
retencell
create_mode_transition
add_pst_state
create_pst
supply
create_power_mode
update_power_mode
create_supply_net
Multimode analysis
voltagedomain
pinvoltage
levelshifter
add_domain_elements
create_power_domain
merge_power_domains
create_nominal_condition
create_operating_corner
set_switching_activity
update_nominal_condition
set_domain_supply_net
Simulation semantics
CPF
bind_checker
create_hdl2upf_vct
create_upf2hdl_vct
map_level_shifter_cell
set_level_shifter
Miscellaneous
map_retention_cell
set_retention
set_retention_control
80
Atrenta
load_upf
set_design_top
set_scope
create_analysis_view
Design - levelshifters
create_isolation_rule
update_isolation_rules
current_design
Design - modes
Design - domains
create_level_shifter_rule
update_level_shifter_rules
aonbufferedsignals
Design - scoping
inisocell
isocell
pgcell
pgpins_naming
create_power_nets
create_ground_nets
create_power_domain
update_power_domain
create_power_switch
map_power_switch
Design - always on
identify_always_on_driver
Design - supplies
create_bias_net
UPF
Design - powerswitches
set_pin_related_supply
set_power_switch
define_level_shifter_cell
aonbuffer
define_open_source_input_pin apcell
define_power_clamp_cell
define_power_switch_cell
define_state_retention_cell
identify_power_logic
Atrenta
ignorepdcrossing map_isolation_cell
set_isolation
set_isolation_control
create_global_connection
define_library_set
set_array_naming_style
add_port_state
connect_supply_net
create_supply_port
set_cpf_version
set_hierarchy_separator
set_power_target
set_power_unit
set_register_naming_style
set_time_unit
get_supply_net
name_format
save_upf
upf_version
UPF
Translated
Translated
SGDC
SGDC
UPF
UPF
Translationcommand
commandline
line
Translation
81
Agenda
Introduction (00:15)
Kevin Kranen, Synopsys
Q&A
82
Logic Hierarchy
View
PD_main
Interleaver
Tester (TB)
PD0
in2wire
Interleaver1
(PD_main)
in2wire
PD0
83
pkt_
counter
out2wire
fifo
VDD
pkt_
counter
PD1
fifo
PD1
ram_
block
out2wire
ram_
block